after slot5
authorBernhard Urban <lewurm@gmx.net>
Tue, 3 Nov 2009 16:50:57 +0000 (17:50 +0100)
committerBernhard Urban <lewurm@gmx.net>
Tue, 3 Nov 2009 16:50:57 +0000 (17:50 +0100)
906 files changed:
bsp2/Designflow/sim/beh/vsim.wlf
bsp2/Designflow/sim/beh/work/_info
bsp2/Designflow/sim/beh/work/board_driver/_primary.dat
bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs
bsp2/Designflow/sim/beh/work/board_driver/behav.dat
bsp2/Designflow/sim/beh/work/board_driver/behav.dbs
bsp2/Designflow/sim/beh/work/vga/_primary.dat
bsp2/Designflow/sim/beh/work/vga/_primary.dbs
bsp2/Designflow/sim/beh/work/vga/behav.dat
bsp2/Designflow/sim/beh/work/vga/behav.dbs
bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat
bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs
bsp2/Designflow/sim/beh/work/vga_control/_primary.dat
bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs
bsp2/Designflow/sim/beh/work/vga_control/behav.dat
bsp2/Designflow/sim/beh/work/vga_control/behav.dbs
bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat
bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs
bsp2/Designflow/sim/beh/work/vga_driver/behav.dat
bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs
bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat
bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs
bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat
bsp2/Designflow/sim/beh/work/vga_tb/_primary.dbs
bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat
bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs
bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.db_info [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.eda.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.fit.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.hier_info [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.hif [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.lpc.html [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.map.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.map.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.map.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.rtlv.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll.tmw_info [new file with mode: 0644]
bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo [new file with mode: 0644]
bsp4/Designflow/ppr/download/incremental_db/README [new file with mode: 0644]
bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft [new file with mode: 0644]
bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo [new file with mode: 0644]
bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf [new file with mode: 0644]
bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.asm.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.done [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.eda.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.fit.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.fit.smsg [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.fit.summary [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.flow.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.map.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.map.summary [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.pin [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.pof [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.qpf [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.qsf [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.qws [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.sof [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.tan.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.tan.summary [new file with mode: 0644]
bsp4/Designflow/ppr/download/vga_pll.tcl [new file with mode: 0755]
bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.asm.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cbx.xml [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.bpm [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.rdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp.tdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.db_info [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.eco.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.eda.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.fit.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.hier_info [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.hif [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.lpc.html [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.lpc.rdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.lpc.txt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.bpm [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.ecobp [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.map_bb.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.syn_hier_info [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.tan.qmsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga.tmw_info [new file with mode: 0644]
bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/README [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx [new file with mode: 0644]
bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft [new file with mode: 0644]
bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho [new file with mode: 0644]
bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf [new file with mode: 0644]
bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.asm.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.done [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.eda.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.fit.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.fit.smsg [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.fit.summary [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.flow.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.map.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.map.summary [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.pin [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.pof [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.qpf [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.qsf [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.qws [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.sof [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.tan.rpt [new file with mode: 0644]
bsp4/Designflow/ppr/sim/vga.tan.summary [new file with mode: 0644]
bsp4/Designflow/sim/beh/modelsim.ini [new file with mode: 0644]
bsp4/Designflow/sim/beh/vsim.wlf [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/_deps [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt1c269c [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt8q1x0f [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptat15ic [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptgbd035 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopth28297 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptjqehey [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptn3fj4t [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptnychme [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6 [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/_info [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/_vmake [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/board_driver/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/board_driver/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/board_driver/behav.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/board_driver/behav.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga/behav.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga/behav.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_control/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_control/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_control/behav.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_control/behav.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_driver/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_driver/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_driver/behav.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_driver/behav.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_pak/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_pak/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_tb/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_tb/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dat [new file with mode: 0644]
bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/modelsim.ini [new file with mode: 0644]
bsp4/Designflow/sim/post/vsim.wlf [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/__sdf1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/_deps [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt08ex53 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt08kryc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt09dzge [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0ahy7h [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0bcde8 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0erqfe [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0f4iae [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0iawx2 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0ibkkv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0kwmnq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0mgzch [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt0rtcca [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt14shet [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt155tsy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1bdmvd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1d2f47 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1evfav [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1fk02i [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1gksbx [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1ijeg6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1n5xyz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1s033z [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt1z6hji [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2b670j [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2h4rzg [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2ibjvf [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2j8zk3 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2mgxxq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2t7j3x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2tfyhr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt2tj0k3 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt317fgz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt31jqag [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt33et9m [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt35225s [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt358re4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3h35rm [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3hfw6g [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3m2fgs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3myh40 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3vsc4r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3w7zqv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3xgk9v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3yrcyz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt3yt1rm [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt46r52i [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt4876j6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt4di3yz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt4i7vgm [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt4qf407 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt4r7eqw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt52f4ry [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt54b9zk [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5cxa8i [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5j4m4i [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5qd867 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5s4jbj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5thky6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5x35ad [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt5y0t14 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt61mbc0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt62q3vc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt62zfa8 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt63j8qs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt65zr4s [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6avkz6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6az7tj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6b2z0h [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6bvbng [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6dbq31 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6gdey0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6j0yfe [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6r7tsc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6rhkjh [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt6z1zfc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt74b6jb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt78qenn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7bqb0b [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7gg4h6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7jdhxa [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7qcgtr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7rsq0z [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7y4sc6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt7y9y37 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt82eqsr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt83d5jx [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8ajd0d [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8cier0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8dhgb3 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8jrgny [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8k24r6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8nrfdi [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8r6xvx [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8x3c91 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8x6iaw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt8z0h4w [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt90edcd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt91fxkt [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt94cwyj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9bf8he [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9fdd3g [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9qd5w0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9rm6tz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9sgzah [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9x2ytb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopt9xtft4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopta1knfz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopta2bsbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopta3bzdd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopta9iigc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptace792 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptafit2j [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptagtbq8 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptan8zz7 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptanw60x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptaqh4we [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptarssy2 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptat585x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptatc2ig [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptav4gks [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptavffkt [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptayseae [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptb2hb4s [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptb5jf2x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptb7s6jy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbc4sy1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbe0yhi [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbehe9r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbfih0x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbjwgxq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbq70ei [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbrh2yj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbvqftw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptbz1x59 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptc24v6r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptc5rdyb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptcgbn81 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptchkf2x [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptcixxbq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptck7ky1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptckt7rt [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptcmbbr6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptcrgh4e [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptcywdvj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptd0zxnv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptd1j2cn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptd1nzv2 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptd2egs7 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptd2sz76 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptdbwi2v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptdbwsnn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptddtkm9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptde8mwa [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptditse5 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptdscvbi [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptdwdhks [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptdzrcjn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte1d7dk [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte334zy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte3y3z4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte55fyb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte8nm5b [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopte9vafy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptecfa24 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptecfn9q [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptegbjqd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptegjhtv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptej8mb9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptejzkaf [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptezbh8z [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf0ahar [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf3hgkd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf6b8ck [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf7j1rs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf7z3mk [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf8b44e [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptf8h5v4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptffewxd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptfm6b5q [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptfq54th [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptg93f6r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptg9vg4h [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptghne9v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptgn7mc3 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptgsdqfz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptgydr4a [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptgz6dw1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptgzk7k9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopth2119g [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopth3s80r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopth4si33 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopth9nmz1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptharqyt [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopthmq37r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopthn4sz1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptht59v0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopthy0dtc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopti16xtd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopti97r0b [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopti9n5xy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptif5g62 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptirdxd5 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptj8ag4n [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptj95smf [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptje755v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptjkewxg [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptjrrj84 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptjtjdsx [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptjv1ry4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptjzq16i [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptk31etb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptk4aq1r [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptk9b2m0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptka9bz6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptkqbenv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptkt6s62 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptktzfbn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptkya4fj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptm0yxw8 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptm4dz0h [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmbfce4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmfsiyr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmgnm7b [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmiakac [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmrhth4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmx2fty [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptmzy4v1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptn5eana [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptn8ir5v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptnh3sad [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptnkhwb9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptnmh1t0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptnmhxdi [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptq0cg1y [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptq6cfgv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqedyhw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqg5wr7 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqg9wyh [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqh9jyh [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqk28ai [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqqt52n [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptqysdc4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptr4jicd [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptr5kmdb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptr7dw94 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptr90j3k [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptrjkq3v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptrtwn0c [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptrzyhxv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopts3hizv [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopts4jhki [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptsagtxc [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptsgwk3t [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptst48jm [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptswy1ge [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptsxt03z [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt131na [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt1nr12 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt4f8st [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt62mnq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt7mqfh [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptt8t1yr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttbhrrj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttd959g [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttev8ci [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttg1dz6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptti24zz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttj7qm0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttq1mke [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttq80dm [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttrr404 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttvbqfj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptty0seq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopttyd8ny [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptv8b0w6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvgvxme [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvk5snb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvmeb4j [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvmhh90 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvn092a [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvqcbx1 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvrx375 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvtqazy [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvxnfzb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvxvs6t [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvy0s9h [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptvybg3n [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwa3dd6 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwezg21 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwf7jcw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwi835w [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwk8q5n [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwqfjby [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwrbhz9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwryiye [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptwzasam [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptx1tivb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptx2qxj9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptx7ttqa [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptx9af1v [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxat1rz [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxbsgw5 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxf48e0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxqdz5e [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxqs866 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptxztqaj [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopty1afqa [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopty6ffkw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/vopty9knv0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptyadfe9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptybdmm0 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptybxghr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptyd54gx [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptygf8tn [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptyk7fyb [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptysmg2s [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptytct1m [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptyxvs2a [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptz3qgc3 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzaejdq [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzar2ty [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzgne4n [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzhwx79 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzig3m9 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzqf8ds [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzt7ksw [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptztcmra [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzxden4 [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzxzi5e [new file with mode: 0644]
bsp4/Designflow/sim/post/work/@_opt/voptzyb3vr [new file with mode: 0644]
bsp4/Designflow/sim/post/work/_info [new file with mode: 0644]
bsp4/Designflow/sim/post/work/_vmake [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga/structure.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga/structure.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pak/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pak/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dat [new file with mode: 0644]
bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/modelsim.ini [new file with mode: 0644]
bsp4/Designflow/sim/pre/vsim.wlf [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/_deps [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt151g02 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt6yd9kn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt7n53ie [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptarbydi [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptb5612n [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptc92bae [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptcw759t [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptd275x6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptda3a10 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptde88ev [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdkka42 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptdst94k [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptemie0k [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptet5r96 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgf218h [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgj358q [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgsd662 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptgshed9 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopth969di [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptiy80et [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptm09i64 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptnm275x [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptnmis97 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptr663fr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptv5v0a2 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvdey23 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptve8zdn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwntq90 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxmke47 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptynym01 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptzczb23 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1 [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/_info [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/_vmake [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga/beh.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga/beh.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_control/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_control/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_control/beh.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_control/beh.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_driver/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_driver/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_driver/beh.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_driver/beh.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pak/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pak/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dat [new file with mode: 0644]
bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dbs [new file with mode: 0644]
bsp4/Designflow/src/board_driver_arc.vhd [new file with mode: 0644]
bsp4/Designflow/src/board_driver_ent.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga.hex [new file with mode: 0644]
bsp4/Designflow/src/vga_arc.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_beh_tb.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_control_arc.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_control_ent.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_driver_arc.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_driver_ent.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_ent.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_pak.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_pll.bdf [new file with mode: 0755]
bsp4/Designflow/src/vga_pll.tcl [new file with mode: 0755]
bsp4/Designflow/src/vga_pos_tb.vhd [new file with mode: 0644]
bsp4/Designflow/src/vga_pre_tb.vhd [new file with mode: 0644]
bsp4/Designflow/src/vpll.bsf [new file with mode: 0644]
bsp4/Designflow/src/vpll.vhd [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/.recordref [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/backup/vga.srr [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/rpt_vga.areasrr [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/rpt_vga_areasrr.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/run_options.txt [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/scratchproject.prs [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/sap_log_flink.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/sap_log_srr.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga.msg [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga.plg [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga_flink.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga_srr.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/syntmp/vga_toc.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/verif/vga.vif [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.fse [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.htm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.map [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.sap [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.srd [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.srm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.srr [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.srs [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.sxr [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.szr [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.tcl [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.tlg [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.vhm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.vqm [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga.xrf [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga_cons.tcl [new file with mode: 0644]
bsp4/Designflow/syn/rev_1/vga_rm.tcl [new file with mode: 0644]
bsp4/Designflow/syn/vga.prd [new file with mode: 0644]
bsp4/Designflow/syn/vga.prj [new file with mode: 0644]
bsp4/Protokolle/notiz [new file with mode: 0644]
bsp4/Protokolle/notiz~ [new file with mode: 0644]
bsp4/Protokolle/pics/auslastung.png [new file with mode: 0644]
bsp4/Protokolle/pics/col-defekt.png [new file with mode: 0644]
bsp4/Protokolle/pics/col-work.png [new file with mode: 0644]
bsp4/Protokolle/pics/logik.JPG [new file with mode: 0644]
bsp4/Protokolle/pics/postlayout.png [new file with mode: 0644]
bsp4/Protokolle/pics/prelayoutsim.png [new file with mode: 0644]
bsp4/Protokolle/pics/syntax_fehler.png [new file with mode: 0644]

index 646c9c8eef4ee126ad90a2a551a48acccbb8a0d6..12b6145ee848ddc18b26554d2571ea701aa0aa45 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/vsim.wlf and b/bsp2/Designflow/sim/beh/vsim.wlf differ
index b94024561e3b7c4476230af0eac51d77b504c120..fe558367bbd02b7666cdb7220fd5b05188c7cd27 100644 (file)
@@ -6,230 +6,230 @@ Z0 d/homes/burban/didelu/dide_16/bsp2/Designflow/sim/beh
 T_opt
 Vdiz6mXkIS^]`O3n`aVZc]3
 04 12 0 work vga_conf_beh 1
-Z1 =1-0015609ecc30-4ae842ee-919f-6c43
-Z2 o-quiet -auto_acc_if_foreign -work work
-Z3 n@_opt
-Z4 OE;O;6.5b;42
+=1-0015609ecc30-4ae842ee-919f-6c43
+o-quiet -auto_acc_if_foreign -work work
+n@_opt
+OE;O;6.5b;42
 Eboard_driver
-Z5 w1255952276
-Z6 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
-Z7 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
-Z8 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
-Z9 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
-Z10 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd
-Z11 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd
+Z1 w1255952276
+Z2 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z3 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z4 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+Z5 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_ent.vhd
 l0
 L36
-Z12 VBVQhR;nY9[R<n2hUAfP^Z2
-Z13 OE;C;6.5b;42
+VBVQhR;nY9[R<n2hUAfP^Z2
+Z6 OE;C;6.5b;42
 32
-Z14 o-work work
-Z15 tExplicit 1
-Z16 !s100 ZmKIT`@9Y:8bV1lIMd:O50
+Z7 o-work work
+Z8 tExplicit 1
+!s100 ZmKIT`@9Y:8bV1lIMd:O50
 Abehav
-Z17 DEx4 work 12 board_driver 0 22 BVQhR;nY9[R<n2hUAfP^Z2
-R6
-R7
-R8
-R9
-Z18 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd
-Z19 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd
+DEx4 work 12 board_driver 0 22 BVQhR;nY9[R<n2hUAfP^Z2
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/board_driver_arc.vhd
 l49
 L37
-Z20 VGBN_oSTG]bM6]TXPeRSH52
-R13
-32
-Z21 Mx4 4 ieee 14 std_logic_1164
-Z22 Mx3 4 ieee 18 std_logic_unsigned
-Z23 Mx2 4 ieee 15 std_logic_arith
-Z24 Mx1 4 work 7 vga_pak
-R14
-R15
-Z25 !s100 z`LzgF:SW^5X7Ld12aiE[3
-Evga
-R5
+VGBN_oSTG]bM6]TXPeRSH52
 R6
+32
+Z9 Mx4 4 ieee 14 std_logic_1164
+Z10 Mx3 4 ieee 18 std_logic_unsigned
+Z11 Mx2 4 ieee 15 std_logic_arith
+Z12 Mx1 4 work 7 vga_pak
 R7
 R8
-R9
-Z26 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd
-Z27 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd
+!s100 z`LzgF:SW^5X7Ld12aiE[3
+Evga
+R1
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_ent.vhd
 l0
 L38
-Z28 V;Z82Tkc_=iYE3=^SJM?Z72
-R13
-32
-R14
-R15
-Z29 !s100 [Gn7gl]K8XZ[NlCWflEmQ1
-Abehav
-Z30 w1256135072
-Z31 DEx4 work 3 vga 0 22 ;Z82Tkc_=iYE3=^SJM?Z72
+V;Z82Tkc_=iYE3=^SJM?Z72
 R6
+32
 R7
 R8
-R9
-Z32 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd
-Z33 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd
+!s100 [Gn7gl]K8XZ[NlCWflEmQ1
+Abehav
+w1256135072
+Z13 DEx4 work 3 vga 0 22 ;Z82Tkc_=iYE3=^SJM?Z72
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_arc.vhd
 l109
 L36
-Z34 V^=j1omIkg8OEUbG72d1?23
-R13
-32
-R21
-R22
-R23
-R24
-R14
-R15
-Z35 !s100 >[o9ATEzKLEaUQOnGh0z01
-Cvga_conf_beh
-Z36 abehaviour
-Z37 evga_tb
-R31
-Z38 DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2
+V^=j1omIkg8OEUbG72d1?23
+!s100 >[o9ATEzKLEaUQOnGh0z01
 R6
+32
+R9
+R10
+R11
+R12
 R7
 R8
-R9
-Z39 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1
+Cvga_conf_beh
+abehaviour
+evga_tb
+R13
+DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2
+R2
+R3
+R4
 R5
-Z40 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd
-Z41 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd
+Z14 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1
+R1
+Z15 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd
+Z16 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_beh_tb.vhd
 l0
 L187
-Z42 VeNNJi03>MIdzNk_IKJFBX0
-R13
-32
-R21
-R22
-R23
-R24
-R14
-R15
-Z43 !s100 baoj;WW0d=L:Y@hn2U?=:1
-Evga_control
-R5
+VeNNJi03>MIdzNk_IKJFBX0
+!s100 baoj;WW0d=L:Y@hn2U?=:1
 R6
+32
+R9
+R10
+R11
+R12
 R7
 R8
-R9
-Z44 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd
-Z45 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd
+Evga_control
+R1
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_ent.vhd
 l0
 L37
-Z46 VOiaY^6HGzj]Hj@bZog<<C2
-R13
-32
-R14
-R15
-Z47 !s100 ]^V2KE>B7amzdNkAG;;Ie2
-Abehav
-Z48 w1256138582
-Z49 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<<C2
+VOiaY^6HGzj]Hj@bZog<<C2
 R6
+32
 R7
 R8
-R9
-Z50 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd
-Z51 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd
+!s100 ]^V2KE>B7amzdNkAG;;Ie2
+Abehav
+w1256138582
+DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<<C2
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_control_arc.vhd
 l53
 L36
-Z52 VL8O]e;?bSQ_<j_YaOSZ;L0
-R13
-32
-R21
-R22
-R23
-R24
-R14
-R15
-Z53 !s100 3Q2nhICcblAXMY^b3Czzh0
-Evga_driver
-Z54 w1256135047
+VL8O]e;?bSQ_<j_YaOSZ;L0
 R6
+32
+R9
+R10
+R11
+R12
 R7
 R8
-R9
-Z55 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd
-Z56 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd
+!s100 3Q2nhICcblAXMY^b3Czzh0
+Evga_driver
+Z17 w1256135047
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_ent.vhd
 l0
 L37
-Z57 VWM]N=KVQa>:4ozHZC=^hX0
-R13
-32
-R14
-R15
-Z58 !s100 Y<?mNHeGL<kb9W4ng:D_62
-Abehav
-Z59 DEx4 work 10 vga_driver 0 22 WM]N=KVQa>:4ozHZC=^hX0
+VWM]N=KVQa>:4ozHZC=^hX0
 R6
+32
 R7
 R8
-R9
-Z60 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd
-Z61 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd
+!s100 Y<?mNHeGL<kb9W4ng:D_62
+Abehav
+DEx4 work 10 vga_driver 0 22 WM]N=KVQa>:4ozHZC=^hX0
+R2
+R3
+R4
+R5
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_driver_arc.vhd
 l89
 L36
-Z62 Ve;Di?_OoPUgXCMBlVURO<1
-R13
+Ve;Di?_OoPUgXCMBlVURO<1
+R6
 32
-R21
-R22
-R23
-R24
-R14
-R15
-Z63 !s100 m[>=IM[TaR5C=MnzMT7>c2
-Pvga_pak
+R9
+R10
+R11
+R12
 R7
 R8
-R9
+!s100 m[>=IM[TaR5C=MnzMT7>c2
+Pvga_pak
+R3
+R4
 R5
-Z64 8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd
-Z65 F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd
+R1
+8/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd
+F/homes/burban/didelu/dide_16/bsp2/Designflow/src/vga_pak.vhd
 l0
 L35
-Z66 VHkmzP=gd;mD@MOhh4AYKl3
-R13
-32
-Z67 Mx3 4 ieee 14 std_logic_1164
-Z68 Mx2 4 ieee 18 std_logic_unsigned
-Z69 Mx1 4 ieee 15 std_logic_arith
-R14
-R15
-Z70 !s100 VL:Z2?FJISz9N5>XaK:5k0
-Evga_tb
-R5
+VHkmzP=gd;mD@MOhh4AYKl3
 R6
+32
+Z18 Mx3 4 ieee 14 std_logic_1164
+Mx2 4 ieee 18 std_logic_unsigned
+Z19 Mx1 4 ieee 15 std_logic_arith
 R7
 R8
-R9
-R40
-R41
+!s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_tb
+R1
+R2
+R3
+R4
+R5
+R15
+R16
 l0
 L37
-Z71 VK;WQR0;ZeC2I8`N5aIRdM1
-R13
-32
-R14
-R15
-Z72 !s100 KBk8Lb76>dJd2ihUfkYfd2
-Abehaviour
+VK;WQR0;ZeC2I8`N5aIRdM1
+!s100 KBk8Lb76>dJd2ihUfkYfd2
 R6
+32
 R7
 R8
-R9
-R39
+Abehaviour
+R2
+R3
+R4
+R5
+R14
 l100
 L45
-Z73 VI3NFZcjIh_=T`0za;J3h^2
-R13
+Z20 VI3NFZcjIh_=T`0za;J3h^2
+Z21 !s100 gzdc1SL=je=>NSFaLPW;]2
+R6
 32
-R21
-R22
-R23
-R24
-R14
-R15
-Z74 !s100 gzdc1SL=je=>NSFaLPW;]2
+R9
+R10
+R11
+R12
+R7
+R8
index e1bae7e75ecd9df7b72a38204de5d5c093aee8a7..894e7f8ed29348924e9e70cf9a1e5898f3b61f10 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat and b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dat differ
index 454b40ddc414206707b3f0782fd587a9314dbdaf..447563b4c8747714ef3d5f2bf5b23b36f0cbab2c 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs and b/bsp2/Designflow/sim/beh/work/board_driver/_primary.dbs differ
index 74373f9a9fe5cba5971c11611ff339e1e5ccd5c8..7275e77b310b94a26a0bf1ecc33e6b3298bb1bd6 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/board_driver/behav.dat and b/bsp2/Designflow/sim/beh/work/board_driver/behav.dat differ
index c6ac581c781e8738fa34dfaba7dfec4cca9a7927..4c12eeb328c26518b61efa3e6b3d2bcd3f728916 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs and b/bsp2/Designflow/sim/beh/work/board_driver/behav.dbs differ
index 5e002471549f84721b1bda06d791eaca547237f2..9c762aec23e6fc8e93adac6f1ecdb3e95b212a4b 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga/_primary.dat differ
index aba719ca0a6058c5c67d54b81eff87ca573e9ebd..76d4c3842399bf83fd6faf59e59d504722f9a36d 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga/_primary.dbs differ
index ac0f0a6bb41fe989a94239090e5929ed5bccfadb..32a37e6ad5e814c5d6dc2d3b8bf488888c2f184d 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga/behav.dat and b/bsp2/Designflow/sim/beh/work/vga/behav.dat differ
index 06857701f20b454830d0d961f04dadbd64692e83..b02c62dfd60a1928831b35d18c23cb7ae2add858 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga/behav.dbs and b/bsp2/Designflow/sim/beh/work/vga/behav.dbs differ
index 1343e840f52bbe7d5e36224e748d4eaaf9365f65..e220b5ec9edb2b1f1566913c18ba1301682c2d47 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dat differ
index 9bcb92b94eaee2aa4417bcf4afa9fcecf9c0ed7c..ec27c56cb3aceff7b1757c0ea9205679d2c870dc 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs differ
index 3b3c58d0f032aab49f18c4141f781d4a996990fb..a8cdd577c27783540fbf36aacb64124d69fc69af 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_control/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga_control/_primary.dat differ
index 629e74ad613633b8632f01eb68531ed442382afc..c64c1d0a7fa2933631509464a1539c1c3478126d 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga_control/_primary.dbs differ
index a4ba25fa856e99bf6de6f7bedf4fd12c73d11021..41f77c068b91ee527b561f11433be469cd6c6c81 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_control/behav.dat and b/bsp2/Designflow/sim/beh/work/vga_control/behav.dat differ
index 85f6dc21ff983a689603e5ffaf745d4cfb001585..ede18081ef7d93c53baa3e19258c245cd5847955 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_control/behav.dbs and b/bsp2/Designflow/sim/beh/work/vga_control/behav.dbs differ
index e7c03ecf4d066d11ef89d2869d42a37efdc0c1dd..9ee61d0f750f34704342fad6e7ed10e2d56eddb4 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dat differ
index ca854a2ff13e83b7f0a87c5acb6a98f996433d8e..3d2e193586af0069d9f040da5131d70c295a9057 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga_driver/_primary.dbs differ
index 3220ade9911ac34a6c462e4bcb7c4ad7bed4c4c8..d19560ee5a3a8e8309515588b4d3cfff7c836317 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat and b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dat differ
index 297e5f73bb3204b0cfab9cb33aca339a2d8f5d16..e674d6c2f5787ff180ce4490b9d2eadd50e8648b 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs and b/bsp2/Designflow/sim/beh/work/vga_driver/behav.dbs differ
index 4c6afed64f5f3579ab32ac2961c3ade69071dc36..df099690f46f0c378c35187af0dffbd94c2ca183 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dat differ
index a164d5247cb1db5ebab68993d4a14a58ee486c5d..8b12b4eb5309844aa7371bb3600d9acbda155fcf 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga_pak/_primary.dbs differ
index 33a5ab950f031e05f53c825b3977da2c0f2c03d4..07178b1fecae0432fa54ed5066931898c12a9543 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat and b/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dat differ
index 74c32f83e1cd91b7a5d0560c0286bb3fe137901e..1640919bad56b42a7bbd1e9bb73de825c7c71863 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dbs and b/bsp2/Designflow/sim/beh/work/vga_tb/_primary.dbs differ
index b206255ba46a745903ca85179c42b91d357ec50e..c8a5665cbb3f27908dcaec1bc440777d09aebe5d 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat and b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dat differ
index 503520dddcfcc80a3ae59aed6e886a8ae616760e..5e847868aff43d106136fa23cc58d64ef454d01d 100644 (file)
Binary files a/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs and b/bsp2/Designflow/sim/beh/work/vga_tb/behaviour.dbs differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb
new file mode 100644 (file)
index 0000000..a997b99
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb
new file mode 100644 (file)
index 0000000..9a1ebd5
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(0).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb
new file mode 100644 (file)
index 0000000..98641a9
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb
new file mode 100644 (file)
index 0000000..c1da6d8
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(1).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb
new file mode 100644 (file)
index 0000000..8fed73c
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb
new file mode 100644 (file)
index 0000000..78ab6a6
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(2).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb
new file mode 100644 (file)
index 0000000..2a9d511
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb
new file mode 100644 (file)
index 0000000..74a732e
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(3).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb
new file mode 100644 (file)
index 0000000..63b1001
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb
new file mode 100644 (file)
index 0000000..fe00fe9
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(4).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb
new file mode 100644 (file)
index 0000000..82c6ea4
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb
new file mode 100644 (file)
index 0000000..c338c25
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.(5).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.asm.qmsg
new file mode 100644 (file)
index 0000000..045182e
--- /dev/null
@@ -0,0 +1,5 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:37:16 2009 " "Info: Processing started: Tue Nov  3 17:37:16 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" {  } {  } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:37:36 2009 " "Info: Processing ended: Tue Nov  3 17:37:36 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:20 " "Info: Elapsed time: 00:00:20" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml b/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml
new file mode 100644 (file)
index 0000000..0c82b90
--- /dev/null
@@ -0,0 +1,5 @@
+<?xml version="1.0" ?>
+<LOG_ROOT>
+       <PROJECT NAME="vga_pll">
+       </PROJECT>
+</LOG_ROOT>
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb
new file mode 100644 (file)
index 0000000..bdd86ce
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb
new file mode 100644 (file)
index 0000000..f2c80b3
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.kpt
new file mode 100644 (file)
index 0000000..77fe779
--- /dev/null
@@ -0,0 +1,10 @@
+<kpt_db name="vga_pll.cmp" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+  </key_points_set>
+  <transformations_set hier_sep="|">
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb
new file mode 100644 (file)
index 0000000..a0fb61a
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.rdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb
new file mode 100644 (file)
index 0000000..ea2d7e3
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.cmp.tdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb b/bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb
new file mode 100644 (file)
index 0000000..8b6248e
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.cmp0.ddb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.db_info b/bsp4/Designflow/ppr/download/db/vga_pll.db_info
new file mode 100644 (file)
index 0000000..2ddfe9b
--- /dev/null
@@ -0,0 +1,3 @@
+Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version
+Version_Index = 167805952
+Creation_Time = Tue Nov  3 17:35:41 2009
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb
new file mode 100644 (file)
index 0000000..a488d53
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.eco.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.eda.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.eda.qmsg
new file mode 100644 (file)
index 0000000..b948115
--- /dev/null
@@ -0,0 +1,5 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II " "Info: Running Quartus II EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:37:42 2009 " "Info: Processing started: Tue Nov  3 17:37:42 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IWSC_DONE_HDL_SDO_GENERATION" "vga_pll.vo vga_pll_v.sdo /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/ simulation " "Info: Generated files \"vga_pll.vo\" and \"vga_pll_v.sdo\" in directory \"/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 0 "Generated files \"%1!s!\" and \"%2!s!\" in directory \"%3!s!\" for EDA %4!s! tool" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II " "Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "162 " "Info: Peak virtual memory: 162 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:37:44 2009 " "Info: Processing ended: Tue Nov  3 17:37:44 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.fit.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.fit.qmsg
new file mode 100644 (file)
index 0000000..7fb123a
--- /dev/null
@@ -0,0 +1,51 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:36:41 2009 " "Info: Processing started: Tue Nov  3 17:36:41 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" {  } {  } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1}
+{ "Info" "IMPP_MPP_USER_DEVICE" "vga_pll EP1S25F672C6 " "Info: Selected device EP1S25F672C6 for design \"vga_pll\"" {  } {  } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1}
+{ "Warning" "WCUT_CUT_YGR_PLL_BAD_FANOUT_CLK3" "clk0 vpll:inst1\|altpll:altpll_component\|pll " "Warning: Output port clk0 of PLL \"vpll:inst1\|altpll:altpll_component\|pll\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" {  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } }  } 0 0 "Output port %1!s! of PLL \"%2!s!\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "" 0 -1}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" {  } {  } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S10F672C6 " "Info: Device EP1S10F672C6 is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S20F672C6 " "Info: Device EP1S20F672C6 is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE " "Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1}  } {  } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1}
+{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "1 " "Info: Fitter converted 1 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~DATA0~ F16 " "Info: Pin ~DATA0~ is reserved at location F16" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { ~DATA0~ } } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~DATA0~ } "NODE_NAME" } }  } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "26 117 " "Warning: No exact pin location assignment(s) for 26 pins of 117 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[6\] " "Info: Pin d_hsync_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[6] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[6\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5436 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[5\] " "Info: Pin d_hsync_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[5] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[5\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5449 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[4\] " "Info: Pin d_hsync_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[4] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[4\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5462 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[3\] " "Info: Pin d_hsync_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[3] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[3\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5475 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[2\] " "Info: Pin d_hsync_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[2] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[2\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5488 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[1\] " "Info: Pin d_hsync_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[1] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_hsync_counter\[1\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5501 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[14\] " "Info: Pin d_toggle_counter\[14\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[14] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4773 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[14] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[13\] " "Info: Pin d_toggle_counter\[13\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[13] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4786 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[13] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[12\] " "Info: Pin d_toggle_counter\[12\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[12] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4799 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[12] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[11\] " "Info: Pin d_toggle_counter\[11\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[11] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4812 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[11] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[10\] " "Info: Pin d_toggle_counter\[10\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[10] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4825 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[10] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[9\] " "Info: Pin d_toggle_counter\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4838 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[8\] " "Info: Pin d_toggle_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4851 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[7\] " "Info: Pin d_toggle_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4864 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[6\] " "Info: Pin d_toggle_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4877 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[5\] " "Info: Pin d_toggle_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4890 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[4\] " "Info: Pin d_toggle_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4903 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[3\] " "Info: Pin d_toggle_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4916 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[2\] " "Info: Pin d_toggle_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4929 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[1\] " "Info: Pin d_toggle_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4942 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[6\] " "Info: Pin d_vsync_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[6] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[6\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5306 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[5\] " "Info: Pin d_vsync_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[5] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[5\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5319 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[4\] " "Info: Pin d_vsync_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[4] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[4\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5332 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[3\] " "Info: Pin d_vsync_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[3] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[3\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5345 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[2\] " "Info: Pin d_vsync_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[2] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[2\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5358 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[1\] " "Info: Pin d_vsync_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[1] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_vsync_counter\[1\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5371 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1}  } {  } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1}
+{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" {  } {  } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1}
+{ "Info" "ITAN_TDC_USER_OPTIMIZATION_GOALS" "" "Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements" {  } {  } 0 0 "Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" {  } {  } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" {  } {  } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Info: Completed User Assigned Global Signals Promotion Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "ICUT_CUT_YGR_PLL_CAN_ACHIEVE_RATIO_AND_PHASE_SHIFT" "vpll:inst1\|altpll:altpll_component\|pll " "Info: Implementing parameter values for PLL \"vpll:inst1\|altpll:altpll_component\|pll\"" { { "Info" "ICUT_CUT_YGR_PLL_PARAMETERS_FACTORS" "vpll:inst1\|altpll:altpll_component\|_clk0 31 38 0 -18 " "Info: Implementing clock multiplication of 31, clock division of 38, and phase shift of 0 degrees (-18 ps) for vpll:inst1\|altpll:altpll_component\|_clk0 port" {  } {  } 0 0 "Implementing clock multiplication of %2!d!, clock division of %3!d!, and phase shift of %4!d! degrees (%5!d! ps) for %1!s! port" 0 0 "" 0 -1}  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } }  } 0 0 "Implementing parameter values for PLL \"%1!s!\"" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_PLL_CLK_PROMOTION" "" "Info: Promoted PLL clock signals" { { "Info" "IFYGR_FYGR_PLL_PROMOTE_GCLK" "vpll:inst1\|altpll:altpll_component\|_clk0 " "Info: Promoted signal \"vpll:inst1\|altpll:altpll_component\|_clk0\" to use global clock" {  } { { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "vpll:inst1\|altpll:altpll_component\|_clk0" } } } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 592 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } }  } 0 0 "Promoted signal \"%1!s!\" to use global clock" 0 0 "" 0 -1}  } {  } 0 0 "Promoted PLL clock signals" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "PLL Placement Operation " "Info: Completed PLL Placement Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x Global clock " "Info: Automatically promoted some destinations of signal \"vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|hsync_state_6_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_6_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 117 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_0_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_0_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_1_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_1_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 109 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|v_enable_sig_Z " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|v_enable_sig_Z\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 153 22 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_Z " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_Z\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_4_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_4_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 108 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_3_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_3_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 106 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|vsync_state_2_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_2_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 104 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5_ " "Info: Destination \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_5_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 114 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_LIMITED_TO_SUB" "10 " "Info: Limited to 10 non-global destinations" {  } {  } 0 0 "Limited to %1!d! non-global destinations" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Info: Completed Auto Global Promotion Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Info: Starting register packing" {  } {  } 0 0 "Starting register packing" 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" {  } {  } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" {  } {  } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Extra Info: Start inferring scan chains for DSP blocks" {  } {  } 1 0 "Start inferring scan chains for DSP blocks" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Extra Info: Inferring scan chains for DSP blocks is complete" {  } {  } 1 0 "Inferring scan chains for DSP blocks is complete" 1 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" {  } {  } 0 0 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_LUT_IO_MAC_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density" {  } {  } 1 0 "Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_IO_MAC_RAM_PACKING" "" "Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks" {  } {  } 1 0 "Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks" 1 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" {  } {  } 0 0 "Finished register packing" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "26 unused 3.3V 0 26 0 " "Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 0 input, 26 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." {  } {  } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1}  } {  } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 11 50 " "Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 11 total pin(s) used --  50 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 31 28 " "Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used --  28 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use 3.3V 6 48 " "Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used --  48 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use 3.3V 8 48 " "Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used --  48 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use 3.3V 26 33 " "Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used --  33 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use 3.3V 8 53 " "Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used --  53 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 57 " "Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  57 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use 3.3V 2 52 " "Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used --  52 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "9 does not use undetermined 0 6 " "Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "11 does not use undetermined 0 6 " "Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1}  } {  } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1}
+{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:03 " "Info: Fitter preparation operations ending: elapsed time is 00:00:03" {  } {  } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" {  } {  } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" {  } {  } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" {  } {  } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" {  } {  } 0 0 "Fitter placement was successful" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:03 " "Info: Fitter placement operations ending: elapsed time is 00:00:03" {  } {  } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_SLACK_TPD_RESULT" "register vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4 register vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1 31.223 ns " "Info: Slack time is 31.223 ns between source register \"vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4\" and destination register \"vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1\"" { { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "36.591 ns + Largest register register " "Info: + Largest register to register requirement is 36.591 ns" {  } {  } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.138 ns   Shortest register " "Info:   Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.138 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.578 ns) + CELL(0.560 ns) 2.138 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1 2 REG Unassigned 6 " "Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.138 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.19 % ) " "Info: Total cell delay = 0.560 ns ( 26.19 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.578 ns ( 73.81 % ) " "Info: Total interconnect delay = 1.578 ns ( 73.81 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.138 ns   Longest register " "Info:   Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.138 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.578 ns) + CELL(0.560 ns) 2.138 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1 2 REG Unassigned 6 " "Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.138 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.19 % ) " "Info: Total cell delay = 0.560 ns ( 26.19 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.578 ns ( 73.81 % ) " "Info: Total interconnect delay = 1.578 ns ( 73.81 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.138 ns   Shortest register " "Info:   Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.138 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.578 ns) + CELL(0.560 ns) 2.138 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4 2 REG Unassigned 8 " "Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.138 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 143 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.19 % ) " "Info: Total cell delay = 0.560 ns ( 26.19 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.578 ns ( 73.81 % ) " "Info: Total interconnect delay = 1.578 ns ( 73.81 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.138 ns   Longest register " "Info:   Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.138 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.578 ns) + CELL(0.560 ns) 2.138 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4 2 REG Unassigned 8 " "Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.138 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 143 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.19 % ) " "Info: Total cell delay = 0.560 ns ( 26.19 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.578 ns ( 73.81 % ) " "Info: Total interconnect delay = 1.578 ns ( 73.81 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns   " "Info:   Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 143 25 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns   " "Info:   Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.368 ns - Longest register register " "Info: - Longest register to register delay is 5.368 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4 1 REG Unassigned 8 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 143 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.210 ns) + CELL(0.087 ns) 1.297 ns vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter_4 2 COMB Unassigned 1 " "Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.297 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 269 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.136 ns) + CELL(0.087 ns) 2.520 ns vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter 3 COMB Unassigned 2 " "Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.223 ns" { vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 253 26 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.470 ns) + CELL(0.087 ns) 3.077 ns vga:inst\|vga_driver:vga_driver_unit\|un1_hsync_state_next_1_sqmuxa_0 4 COMB Unassigned 1 " "Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un1_hsync_state_next_1_sqmuxa_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.557 ns" { vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 262 39 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.427 ns) + CELL(0.087 ns) 3.591 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 5 COMB Unassigned 6 " "Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = Unassigned; Fanout = 6; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.514 ns" { vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 249 33 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.051 ns) + CELL(0.726 ns) 5.368 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1 6 REG Unassigned 6 " "Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.777 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.074 ns ( 20.01 % ) " "Info: Total cell delay = 1.074 ns ( 20.01 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.294 ns ( 79.99 % ) " "Info: Total interconnect delay = 4.294 ns ( 79.99 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.368 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.368 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } }  } 0 0 "Slack time is %5!s! between source %1!s! \"%2!s!\" and destination %3!s! \"%4!s!\"" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_ESTIMATED_DATA_PATH_RESULT" "5.368 ns register register " "Info: Estimated most critical path is register to register delay of 5.368 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4 1 REG LAB_X21_Y42 8 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X21_Y42; Fanout = 8; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 143 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.210 ns) + CELL(0.087 ns) 1.297 ns vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter_4 2 COMB LAB_X22_Y43 1 " "Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = LAB_X22_Y43; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.297 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 269 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.136 ns) + CELL(0.087 ns) 2.520 ns vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter 3 COMB LAB_X22_Y42 2 " "Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = LAB_X22_Y42; Fanout = 2; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un12_hsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.223 ns" { vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 253 26 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.470 ns) + CELL(0.087 ns) 3.077 ns vga:inst\|vga_driver:vga_driver_unit\|un1_hsync_state_next_1_sqmuxa_0 4 COMB LAB_X22_Y42 1 " "Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = LAB_X22_Y42; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un1_hsync_state_next_1_sqmuxa_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.557 ns" { vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 262 39 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.427 ns) + CELL(0.087 ns) 3.591 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 5 COMB LAB_X22_Y42 6 " "Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = LAB_X22_Y42; Fanout = 6; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.514 ns" { vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 249 33 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.051 ns) + CELL(0.726 ns) 5.368 ns vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1 6 REG LAB_X22_Y43 6 " "Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = LAB_X22_Y43; Fanout = 6; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|hsync_state_1'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.777 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.074 ns ( 20.01 % ) " "Info: Total cell delay = 1.074 ns ( 20.01 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.294 ns ( 79.99 % ) " "Info: Total interconnect delay = 4.294 ns ( 79.99 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.368 ns" { vga:inst|vga_driver:vga_driver_unit|hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4 vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga:inst|vga_driver:vga_driver_unit|hsync_state_1 } "NODE_NAME" } }  } 0 0 "Estimated most critical path is %2!s! to %3!s! delay of %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" {  } {  } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "1 X22_Y36 X33_Y47 " "Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X22_Y36 to location X33_Y47" {  } {  } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1}  } {  } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Info: Fitter routing operations ending: elapsed time is 00:00:01" {  } {  } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" {  } {  } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" {  } {  } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1}  } {  } 0 0 "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Fixed Delay Chain Operation " "Info: Completed Fixed Delay Chain Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" {  } {  } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1}
+{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" {  } {  } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Delay Chain Operation " "Info: Completed Auto Delay Chain Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN" "19 " "Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results" { { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_r GND " "Info: Pin d_r has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_r } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_r" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5202 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_r } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_g GND " "Info: Pin d_g has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_g } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_g" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5189 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_g } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r0_pin GND " "Info: Pin r0_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r0_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "r0_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6125 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r1_pin GND " "Info: Pin r1_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r1_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "r1_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6112 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r2_pin GND " "Info: Pin r2_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r2_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "r2_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6099 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g0_pin GND " "Info: Pin g0_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g0_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "g0_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6086 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g1_pin GND " "Info: Pin g1_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g1_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "g1_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6073 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g2_pin GND " "Info: Pin g2_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g2_pin } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "g2_pin" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6060 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[24\] GND " "Info: Pin d_toggle_counter\[24\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[24] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_toggle_counter\[24\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4643 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[24] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[23\] GND " "Info: Pin d_toggle_counter\[23\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[23] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_toggle_counter\[23\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4656 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[23] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[22\] GND " "Info: Pin d_toggle_counter\[22\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[22] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_toggle_counter\[22\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4669 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[22] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[21\] GND " "Info: Pin d_toggle_counter\[21\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[21] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_toggle_counter\[21\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4682 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[21] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[20\] GND " "Info: Pin d_toggle_counter\[20\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[20] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "d_toggle_counter\[20\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4695 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[20] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[13\] GND " "Info: Pin seven_seg_pin\[13\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[13] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[13\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5826 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[13] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[6\] GND " "Info: Pin seven_seg_pin\[6\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[6] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[6\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5917 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[5\] GND " "Info: Pin seven_seg_pin\[5\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[5] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[5\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5930 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[4\] GND " "Info: Pin seven_seg_pin\[4\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[4] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[4\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5943 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[3\] GND " "Info: Pin seven_seg_pin\[3\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[3] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[3\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5956 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[0\] GND " "Info: Pin seven_seg_pin\[0\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[0] } } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "seven_seg_pin\[0\]" } } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5995 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1}  } {  } 0 0 "Following %1!d! pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." {  } {  } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg " "Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg" {  } {  } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "320 " "Info: Peak virtual memory: 320 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:37:13 2009 " "Info: Processing ended: Tue Nov  3 17:37:13 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:32 " "Info: Elapsed time: 00:00:32" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:29 " "Info: Total CPU time (on all processors): 00:00:29" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.hier_info b/bsp4/Designflow/ppr/download/db/vga_pll.hier_info
new file mode 100644 (file)
index 0000000..1fb6a0b
--- /dev/null
@@ -0,0 +1,571 @@
+|vga_pll
+d_hsync <= vga:inst.d_hsync
+board_clk => vpll:inst1.inclk0
+reset => vga:inst.reset_pin
+d_vsync <= vga:inst.d_vsync
+d_set_column_counter <= vga:inst.d_set_column_counter
+d_set_line_counter <= vga:inst.d_set_line_counter
+d_set_hsync_counter <= vga:inst.d_set_hsync_counter
+d_set_vsync_counter <= vga:inst.d_set_vsync_counter
+d_r <= vga:inst.d_r
+d_g <= vga:inst.d_g
+d_b <= vga:inst.d_b
+d_h_enable <= vga:inst.d_h_enable
+d_v_enable <= vga:inst.d_v_enable
+d_state_clk <= vga:inst.d_state_clk
+d_toggle <= vga:inst.d_toggle
+r0_pin <= vga:inst.r0_pin
+r1_pin <= vga:inst.r1_pin
+r2_pin <= vga:inst.r2_pin
+g0_pin <= vga:inst.g0_pin
+g1_pin <= vga:inst.g1_pin
+g2_pin <= vga:inst.g2_pin
+b0_pin <= vga:inst.b0_pin
+b1_pin <= vga:inst.b1_pin
+hsync_pin <= vga:inst.hsync_pin
+vsync_pin <= vga:inst.vsync_pin
+d_column_counter[0] <= vga:inst.d_column_counter[0]
+d_column_counter[1] <= vga:inst.d_column_counter[1]
+d_column_counter[2] <= vga:inst.d_column_counter[2]
+d_column_counter[3] <= vga:inst.d_column_counter[3]
+d_column_counter[4] <= vga:inst.d_column_counter[4]
+d_column_counter[5] <= vga:inst.d_column_counter[5]
+d_column_counter[6] <= vga:inst.d_column_counter[6]
+d_column_counter[7] <= vga:inst.d_column_counter[7]
+d_column_counter[8] <= vga:inst.d_column_counter[8]
+d_column_counter[9] <= vga:inst.d_column_counter[9]
+d_hsync_counter[0] <= vga:inst.d_hsync_counter[0]
+d_hsync_counter[1] <= vga:inst.d_hsync_counter[1]
+d_hsync_counter[2] <= vga:inst.d_hsync_counter[2]
+d_hsync_counter[3] <= vga:inst.d_hsync_counter[3]
+d_hsync_counter[4] <= vga:inst.d_hsync_counter[4]
+d_hsync_counter[5] <= vga:inst.d_hsync_counter[5]
+d_hsync_counter[6] <= vga:inst.d_hsync_counter[6]
+d_hsync_counter[7] <= vga:inst.d_hsync_counter[7]
+d_hsync_counter[8] <= vga:inst.d_hsync_counter[8]
+d_hsync_counter[9] <= vga:inst.d_hsync_counter[9]
+d_hsync_state[6] <= vga:inst.d_hsync_state[6]
+d_hsync_state[5] <= vga:inst.d_hsync_state[5]
+d_hsync_state[4] <= vga:inst.d_hsync_state[4]
+d_hsync_state[3] <= vga:inst.d_hsync_state[3]
+d_hsync_state[2] <= vga:inst.d_hsync_state[2]
+d_hsync_state[1] <= vga:inst.d_hsync_state[1]
+d_hsync_state[0] <= vga:inst.d_hsync_state[0]
+d_line_counter[0] <= vga:inst.d_line_counter[0]
+d_line_counter[1] <= vga:inst.d_line_counter[1]
+d_line_counter[2] <= vga:inst.d_line_counter[2]
+d_line_counter[3] <= vga:inst.d_line_counter[3]
+d_line_counter[4] <= vga:inst.d_line_counter[4]
+d_line_counter[5] <= vga:inst.d_line_counter[5]
+d_line_counter[6] <= vga:inst.d_line_counter[6]
+d_line_counter[7] <= vga:inst.d_line_counter[7]
+d_line_counter[8] <= vga:inst.d_line_counter[8]
+d_toggle_counter[0] <= vga:inst.d_toggle_counter[0]
+d_toggle_counter[1] <= vga:inst.d_toggle_counter[1]
+d_toggle_counter[2] <= vga:inst.d_toggle_counter[2]
+d_toggle_counter[3] <= vga:inst.d_toggle_counter[3]
+d_toggle_counter[4] <= vga:inst.d_toggle_counter[4]
+d_toggle_counter[5] <= vga:inst.d_toggle_counter[5]
+d_toggle_counter[6] <= vga:inst.d_toggle_counter[6]
+d_toggle_counter[7] <= vga:inst.d_toggle_counter[7]
+d_toggle_counter[8] <= vga:inst.d_toggle_counter[8]
+d_toggle_counter[9] <= vga:inst.d_toggle_counter[9]
+d_toggle_counter[10] <= vga:inst.d_toggle_counter[10]
+d_toggle_counter[11] <= vga:inst.d_toggle_counter[11]
+d_toggle_counter[12] <= vga:inst.d_toggle_counter[12]
+d_toggle_counter[13] <= vga:inst.d_toggle_counter[13]
+d_toggle_counter[14] <= vga:inst.d_toggle_counter[14]
+d_toggle_counter[15] <= vga:inst.d_toggle_counter[15]
+d_toggle_counter[16] <= vga:inst.d_toggle_counter[16]
+d_toggle_counter[17] <= vga:inst.d_toggle_counter[17]
+d_toggle_counter[18] <= vga:inst.d_toggle_counter[18]
+d_toggle_counter[19] <= vga:inst.d_toggle_counter[19]
+d_toggle_counter[20] <= vga:inst.d_toggle_counter[20]
+d_toggle_counter[21] <= vga:inst.d_toggle_counter[21]
+d_toggle_counter[22] <= vga:inst.d_toggle_counter[22]
+d_toggle_counter[23] <= vga:inst.d_toggle_counter[23]
+d_toggle_counter[24] <= vga:inst.d_toggle_counter[24]
+d_vsync_counter[0] <= vga:inst.d_vsync_counter[0]
+d_vsync_counter[1] <= vga:inst.d_vsync_counter[1]
+d_vsync_counter[2] <= vga:inst.d_vsync_counter[2]
+d_vsync_counter[3] <= vga:inst.d_vsync_counter[3]
+d_vsync_counter[4] <= vga:inst.d_vsync_counter[4]
+d_vsync_counter[5] <= vga:inst.d_vsync_counter[5]
+d_vsync_counter[6] <= vga:inst.d_vsync_counter[6]
+d_vsync_counter[7] <= vga:inst.d_vsync_counter[7]
+d_vsync_counter[8] <= vga:inst.d_vsync_counter[8]
+d_vsync_counter[9] <= vga:inst.d_vsync_counter[9]
+d_vsync_state[6] <= vga:inst.d_vsync_state[6]
+d_vsync_state[5] <= vga:inst.d_vsync_state[5]
+d_vsync_state[4] <= vga:inst.d_vsync_state[4]
+d_vsync_state[3] <= vga:inst.d_vsync_state[3]
+d_vsync_state[2] <= vga:inst.d_vsync_state[2]
+d_vsync_state[1] <= vga:inst.d_vsync_state[1]
+d_vsync_state[0] <= vga:inst.d_vsync_state[0]
+seven_seg_pin[0] <= vga:inst.seven_seg_pin[0]
+seven_seg_pin[1] <= vga:inst.seven_seg_pin[1]
+seven_seg_pin[2] <= vga:inst.seven_seg_pin[2]
+seven_seg_pin[3] <= vga:inst.seven_seg_pin[3]
+seven_seg_pin[4] <= vga:inst.seven_seg_pin[4]
+seven_seg_pin[5] <= vga:inst.seven_seg_pin[5]
+seven_seg_pin[6] <= vga:inst.seven_seg_pin[6]
+seven_seg_pin[7] <= vga:inst.seven_seg_pin[7]
+seven_seg_pin[8] <= vga:inst.seven_seg_pin[8]
+seven_seg_pin[9] <= vga:inst.seven_seg_pin[9]
+seven_seg_pin[10] <= vga:inst.seven_seg_pin[10]
+seven_seg_pin[11] <= vga:inst.seven_seg_pin[11]
+seven_seg_pin[12] <= vga:inst.seven_seg_pin[12]
+seven_seg_pin[13] <= vga:inst.seven_seg_pin[13]
+
+
+|vga_pll|vga:inst
+clk_pin => clk_pin_in.PADIO
+reset_pin => reset_pin_in.PADIO
+r0_pin <= r0_pin_out.PADIO
+r1_pin <= r1_pin_out.PADIO
+r2_pin <= r2_pin_out.PADIO
+g0_pin <= g0_pin_out.PADIO
+g1_pin <= g1_pin_out.PADIO
+g2_pin <= g2_pin_out.PADIO
+b0_pin <= b0_pin_out.PADIO
+b1_pin <= b1_pin_out.PADIO
+hsync_pin <= hsync_pin_out.PADIO
+vsync_pin <= vsync_pin_out.PADIO
+seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO
+seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO
+seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO
+seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO
+seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO
+seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO
+seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO
+seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO
+seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO
+seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO
+seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO
+seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO
+seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO
+seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO
+d_hsync <= d_hsync_out.PADIO
+d_vsync <= d_vsync_out.PADIO
+d_column_counter[0] <= d_column_counter_out_0_.PADIO
+d_column_counter[1] <= d_column_counter_out_1_.PADIO
+d_column_counter[2] <= d_column_counter_out_2_.PADIO
+d_column_counter[3] <= d_column_counter_out_3_.PADIO
+d_column_counter[4] <= d_column_counter_out_4_.PADIO
+d_column_counter[5] <= d_column_counter_out_5_.PADIO
+d_column_counter[6] <= d_column_counter_out_6_.PADIO
+d_column_counter[7] <= d_column_counter_out_7_.PADIO
+d_column_counter[8] <= d_column_counter_out_8_.PADIO
+d_column_counter[9] <= d_column_counter_out_9_.PADIO
+d_line_counter[0] <= d_line_counter_out_0_.PADIO
+d_line_counter[1] <= d_line_counter_out_1_.PADIO
+d_line_counter[2] <= d_line_counter_out_2_.PADIO
+d_line_counter[3] <= d_line_counter_out_3_.PADIO
+d_line_counter[4] <= d_line_counter_out_4_.PADIO
+d_line_counter[5] <= d_line_counter_out_5_.PADIO
+d_line_counter[6] <= d_line_counter_out_6_.PADIO
+d_line_counter[7] <= d_line_counter_out_7_.PADIO
+d_line_counter[8] <= d_line_counter_out_8_.PADIO
+d_set_column_counter <= d_set_column_counter_out.PADIO
+d_set_line_counter <= d_set_line_counter_out.PADIO
+d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO
+d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO
+d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO
+d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO
+d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO
+d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO
+d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO
+d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO
+d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO
+d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO
+d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO
+d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO
+d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO
+d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO
+d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO
+d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO
+d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO
+d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO
+d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO
+d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO
+d_set_hsync_counter <= d_set_hsync_counter_out.PADIO
+d_set_vsync_counter <= d_set_vsync_counter_out.PADIO
+d_h_enable <= d_h_enable_out.PADIO
+d_v_enable <= d_v_enable_out.PADIO
+d_r <= d_r_out.PADIO
+d_g <= d_g_out.PADIO
+d_b <= d_b_out.PADIO
+d_hsync_state[6] <= d_hsync_state_out_6_.PADIO
+d_hsync_state[5] <= d_hsync_state_out_5_.PADIO
+d_hsync_state[4] <= d_hsync_state_out_4_.PADIO
+d_hsync_state[3] <= d_hsync_state_out_3_.PADIO
+d_hsync_state[2] <= d_hsync_state_out_2_.PADIO
+d_hsync_state[1] <= d_hsync_state_out_1_.PADIO
+d_hsync_state[0] <= d_hsync_state_out_0_.PADIO
+d_vsync_state[6] <= d_vsync_state_out_6_.PADIO
+d_vsync_state[5] <= d_vsync_state_out_5_.PADIO
+d_vsync_state[4] <= d_vsync_state_out_4_.PADIO
+d_vsync_state[3] <= d_vsync_state_out_3_.PADIO
+d_vsync_state[2] <= d_vsync_state_out_2_.PADIO
+d_vsync_state[1] <= d_vsync_state_out_1_.PADIO
+d_vsync_state[0] <= d_vsync_state_out_0_.PADIO
+d_state_clk <= d_state_clk_out.PADIO
+d_toggle <= d_toggle_out.PADIO
+d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO
+d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO
+d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO
+d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO
+d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO
+d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO
+d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO
+d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO
+d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO
+d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO
+d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO
+d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO
+d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO
+d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO
+d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO
+d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO
+d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO
+d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO
+d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO
+d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO
+d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO
+d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO
+d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO
+d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO
+d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO
+
+
+|vga_pll|vga:inst|vga_driver:vga_driver_unit
+line_counter_sig_0 <= line_counter_sig_0_.REGOUT
+line_counter_sig_1 <= line_counter_sig_1_.REGOUT
+line_counter_sig_2 <= line_counter_sig_2_.REGOUT
+line_counter_sig_3 <= line_counter_sig_3_.REGOUT
+line_counter_sig_4 <= line_counter_sig_4_.REGOUT
+line_counter_sig_5 <= line_counter_sig_5_.REGOUT
+line_counter_sig_6 <= line_counter_sig_6_.REGOUT
+line_counter_sig_7 <= line_counter_sig_7_.REGOUT
+line_counter_sig_8 <= line_counter_sig_8_.REGOUT
+dly_counter_1 => vsync_state_6_.DATAC
+dly_counter_1 => h_sync_Z.DATAC
+dly_counter_1 => v_sync_Z.DATAC
+dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC
+dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC
+dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC
+dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC
+dly_counter_0 => vsync_state_6_.DATAB
+dly_counter_0 => h_sync_Z.DATAB
+dly_counter_0 => v_sync_Z.DATAB
+dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB
+dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB
+dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB
+dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB
+vsync_state_2 <= vsync_state_2_.REGOUT
+vsync_state_5 <= vsync_state_5_.REGOUT
+vsync_state_3 <= vsync_state_3_.REGOUT
+vsync_state_6 <= vsync_state_6_.REGOUT
+vsync_state_4 <= vsync_state_4_.REGOUT
+vsync_state_1 <= vsync_state_1_.REGOUT
+vsync_state_0 <= vsync_state_0_.REGOUT
+hsync_state_2 <= hsync_state_2_.REGOUT
+hsync_state_4 <= hsync_state_4_.REGOUT
+hsync_state_0 <= hsync_state_0_.REGOUT
+hsync_state_5 <= hsync_state_5_.REGOUT
+hsync_state_1 <= hsync_state_1_.REGOUT
+hsync_state_3 <= hsync_state_3_.REGOUT
+hsync_state_6 <= hsync_state_6_.REGOUT
+column_counter_sig_0 <= column_counter_sig_0_.REGOUT
+column_counter_sig_1 <= column_counter_sig_1_.REGOUT
+column_counter_sig_2 <= column_counter_sig_2_.REGOUT
+column_counter_sig_3 <= column_counter_sig_3_.REGOUT
+column_counter_sig_4 <= column_counter_sig_4_.REGOUT
+column_counter_sig_5 <= column_counter_sig_5_.REGOUT
+column_counter_sig_6 <= column_counter_sig_6_.REGOUT
+column_counter_sig_7 <= column_counter_sig_7_.REGOUT
+column_counter_sig_8 <= column_counter_sig_8_.REGOUT
+column_counter_sig_9 <= column_counter_sig_9_.REGOUT
+vsync_counter_9 <= vsync_counter_9_.REGOUT
+vsync_counter_8 <= vsync_counter_8_.REGOUT
+vsync_counter_7 <= vsync_counter_7_.REGOUT
+vsync_counter_6 <= vsync_counter_6_.REGOUT
+vsync_counter_5 <= vsync_counter_5_.REGOUT
+vsync_counter_4 <= vsync_counter_4_.REGOUT
+vsync_counter_3 <= vsync_counter_3_.REGOUT
+vsync_counter_2 <= vsync_counter_2_.REGOUT
+vsync_counter_1 <= vsync_counter_1_.REGOUT
+vsync_counter_0 <= vsync_counter_0_.REGOUT
+hsync_counter_9 <= hsync_counter_9_.REGOUT
+hsync_counter_8 <= hsync_counter_8_.REGOUT
+hsync_counter_7 <= hsync_counter_7_.REGOUT
+hsync_counter_6 <= hsync_counter_6_.REGOUT
+hsync_counter_5 <= hsync_counter_5_.REGOUT
+hsync_counter_4 <= hsync_counter_4_.REGOUT
+hsync_counter_3 <= hsync_counter_3_.REGOUT
+hsync_counter_2 <= hsync_counter_2_.REGOUT
+hsync_counter_1 <= hsync_counter_1_.REGOUT
+hsync_counter_0 <= hsync_counter_0_.REGOUT
+d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT
+un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT
+v_sync <= v_sync_Z.REGOUT
+h_sync <= h_sync_Z.REGOUT
+h_enable_sig <= h_enable_sig_Z.REGOUT
+v_enable_sig <= v_enable_sig_Z.REGOUT
+reset_pin_c => vsync_state_6_.DATAA
+reset_pin_c => h_sync_Z.DATAA
+reset_pin_c => v_sync_Z.DATAA
+reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA
+reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA
+reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA
+reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA
+un6_dly_counter_0_x <= vsync_state_6_.COMBOUT
+d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT
+clk_pin_c => hsync_counter_0_.CLK
+clk_pin_c => hsync_counter_1_.CLK
+clk_pin_c => hsync_counter_2_.CLK
+clk_pin_c => hsync_counter_3_.CLK
+clk_pin_c => hsync_counter_4_.CLK
+clk_pin_c => hsync_counter_5_.CLK
+clk_pin_c => hsync_counter_6_.CLK
+clk_pin_c => hsync_counter_7_.CLK
+clk_pin_c => hsync_counter_8_.CLK
+clk_pin_c => hsync_counter_9_.CLK
+clk_pin_c => vsync_counter_0_.CLK
+clk_pin_c => vsync_counter_1_.CLK
+clk_pin_c => vsync_counter_2_.CLK
+clk_pin_c => vsync_counter_3_.CLK
+clk_pin_c => vsync_counter_4_.CLK
+clk_pin_c => vsync_counter_5_.CLK
+clk_pin_c => vsync_counter_6_.CLK
+clk_pin_c => vsync_counter_7_.CLK
+clk_pin_c => vsync_counter_8_.CLK
+clk_pin_c => vsync_counter_9_.CLK
+clk_pin_c => column_counter_sig_9_.CLK
+clk_pin_c => column_counter_sig_8_.CLK
+clk_pin_c => column_counter_sig_7_.CLK
+clk_pin_c => column_counter_sig_6_.CLK
+clk_pin_c => column_counter_sig_5_.CLK
+clk_pin_c => column_counter_sig_4_.CLK
+clk_pin_c => column_counter_sig_3_.CLK
+clk_pin_c => column_counter_sig_2_.CLK
+clk_pin_c => column_counter_sig_1_.CLK
+clk_pin_c => column_counter_sig_0_.CLK
+clk_pin_c => hsync_state_6_.CLK
+clk_pin_c => vsync_state_0_.CLK
+clk_pin_c => vsync_state_1_.CLK
+clk_pin_c => vsync_state_6_.CLK
+clk_pin_c => line_counter_sig_8_.CLK
+clk_pin_c => line_counter_sig_7_.CLK
+clk_pin_c => line_counter_sig_6_.CLK
+clk_pin_c => line_counter_sig_5_.CLK
+clk_pin_c => line_counter_sig_4_.CLK
+clk_pin_c => line_counter_sig_3_.CLK
+clk_pin_c => line_counter_sig_2_.CLK
+clk_pin_c => line_counter_sig_1_.CLK
+clk_pin_c => line_counter_sig_0_.CLK
+clk_pin_c => v_enable_sig_Z.CLK
+clk_pin_c => h_enable_sig_Z.CLK
+clk_pin_c => h_sync_Z.CLK
+clk_pin_c => v_sync_Z.CLK
+clk_pin_c => vsync_state_5_.CLK
+clk_pin_c => vsync_state_4_.CLK
+clk_pin_c => vsync_state_3_.CLK
+clk_pin_c => vsync_state_2_.CLK
+clk_pin_c => hsync_state_5_.CLK
+clk_pin_c => hsync_state_4_.CLK
+clk_pin_c => hsync_state_3_.CLK
+clk_pin_c => hsync_state_2_.CLK
+clk_pin_c => hsync_state_1_.CLK
+clk_pin_c => hsync_state_0_.CLK
+
+
+|vga_pll|vga:inst|vga_control:vga_control_unit
+column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAA
+column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelto3.DATAC
+column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelto3.DATAA
+column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto6.DATAC
+column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto3.DATAD
+column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB
+column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAB
+column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA
+column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelto3.DATAB
+column_counter_sig_9 => DRAW_SQUARE_next_un9_v_enablelto9.DATAC
+column_counter_sig_9 => b_next_0_g0_3_cZ.DATAD
+column_counter_sig_8 => DRAW_SQUARE_next_un9_v_enablelto9.DATAB
+column_counter_sig_8 => b_next_0_g0_3_cZ.DATAC
+column_counter_sig_7 => DRAW_SQUARE_next_un5_v_enablelto7.DATAB
+column_counter_sig_7 => DRAW_SQUARE_next_un9_v_enablelto9.DATAA
+column_counter_sig_6 => DRAW_SQUARE_next_un5_v_enablelto7.DATAA
+line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelt2.DATAC
+line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelt2.DATAA
+line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAA
+line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelt2.DATAB
+line_counter_sig_8 => DRAW_SQUARE_next_un13_v_enablelto8.DATAA
+line_counter_sig_8 => b_next_0_g0_3_cZ.DATAA
+line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto5.DATAC
+line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAC
+line_counter_sig_5 => DRAW_SQUARE_next_un17_v_enablelto5.DATAB
+line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAD
+line_counter_sig_4 => DRAW_SQUARE_next_un17_v_enablelto5.DATAA
+line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAB
+line_counter_sig_7 => DRAW_SQUARE_next_un17_v_enablelto7.DATAB
+line_counter_sig_7 => DRAW_SQUARE_next_un13_v_enablelto8.DATAB
+line_counter_sig_6 => DRAW_SQUARE_next_un17_v_enablelto7.DATAA
+line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto8.DATAC
+toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT
+toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT
+toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT
+toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT
+toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT
+toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT
+toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT
+toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT
+toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT
+toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT
+toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT
+toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT
+toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT
+toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT
+toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT
+toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT
+toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT
+toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT
+toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT
+toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT
+toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT
+toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT
+toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT
+toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT
+toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT
+v_enable_sig => b_next_0_g0_3_cZ.DATAB
+un10_column_counter_siglt6_1 => DRAW_SQUARE_next_un9_v_enablelto6.DATAD
+h_enable_sig => b_next_0_g0_5_cZ.DATAA
+g <= g_Z.REGOUT
+r <= r_Z.REGOUT
+b <= b_Z.REGOUT
+toggle_sig <= toggle_sig_Z.REGOUT
+un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR
+un6_dly_counter_0_x => toggle_sig_Z.ACLR
+un6_dly_counter_0_x => b_Z.ACLR
+un6_dly_counter_0_x => r_Z.ACLR
+un6_dly_counter_0_x => g_Z.ACLR
+clk_pin_c => toggle_counter_sig_24_.CLK
+clk_pin_c => toggle_counter_sig_23_.CLK
+clk_pin_c => toggle_counter_sig_22_.CLK
+clk_pin_c => toggle_counter_sig_21_.CLK
+clk_pin_c => toggle_counter_sig_20_.CLK
+clk_pin_c => toggle_counter_sig_19_.CLK
+clk_pin_c => toggle_counter_sig_18_.CLK
+clk_pin_c => toggle_counter_sig_17_.CLK
+clk_pin_c => toggle_counter_sig_16_.CLK
+clk_pin_c => toggle_counter_sig_15_.CLK
+clk_pin_c => toggle_counter_sig_14_.CLK
+clk_pin_c => toggle_counter_sig_13_.CLK
+clk_pin_c => toggle_counter_sig_12_.CLK
+clk_pin_c => toggle_counter_sig_11_.CLK
+clk_pin_c => toggle_counter_sig_10_.CLK
+clk_pin_c => toggle_counter_sig_9_.CLK
+clk_pin_c => toggle_counter_sig_8_.CLK
+clk_pin_c => toggle_counter_sig_7_.CLK
+clk_pin_c => toggle_counter_sig_6_.CLK
+clk_pin_c => toggle_counter_sig_5_.CLK
+clk_pin_c => toggle_counter_sig_4_.CLK
+clk_pin_c => toggle_counter_sig_3_.CLK
+clk_pin_c => toggle_counter_sig_2_.CLK
+clk_pin_c => toggle_counter_sig_1_.CLK
+clk_pin_c => toggle_counter_sig_0_.CLK
+clk_pin_c => toggle_sig_Z.CLK
+clk_pin_c => b_Z.CLK
+clk_pin_c => r_Z.CLK
+clk_pin_c => g_Z.CLK
+
+
+|vga_pll|vpll:inst1
+inclk0 => altpll:altpll_component.inclk[0]
+c0 <= altpll:altpll_component.clk[0]
+
+
+|vga_pll|vpll:inst1|altpll:altpll_component
+inclk[0] => pll.CLK
+inclk[1] => ~NO_FANOUT~
+fbin => ~NO_FANOUT~
+pllena => ~NO_FANOUT~
+clkswitch => ~NO_FANOUT~
+areset => ~NO_FANOUT~
+pfdena => ~NO_FANOUT~
+clkena[0] => ~NO_FANOUT~
+clkena[1] => pll.ENA1
+clkena[2] => pll.ENA2
+clkena[3] => pll.ENA3
+clkena[4] => pll.ENA4
+clkena[5] => pll.ENA5
+extclkena[0] => pll.EXTCLKENA
+extclkena[1] => pll.EXTCLKENA1
+extclkena[2] => pll.EXTCLKENA2
+extclkena[3] => pll.EXTCLKENA3
+scanclk => ~NO_FANOUT~
+scanclkena => ~NO_FANOUT~
+scanaclr => ~NO_FANOUT~
+scanread => ~NO_FANOUT~
+scanwrite => ~NO_FANOUT~
+scandata => ~NO_FANOUT~
+phasecounterselect[0] => ~NO_FANOUT~
+phasecounterselect[1] => ~NO_FANOUT~
+phasecounterselect[2] => ~NO_FANOUT~
+phasecounterselect[3] => ~NO_FANOUT~
+phaseupdown => ~NO_FANOUT~
+phasestep => ~NO_FANOUT~
+configupdate => ~NO_FANOUT~
+fbmimicbidir <= <GND>
+clk[0] <= clk[0]~0.DB_MAX_OUTPUT_PORT_TYPE
+clk[1] <= <GND>
+clk[2] <= <GND>
+clk[3] <= <GND>
+clk[4] <= <GND>
+clk[5] <= <GND>
+extclk[0] <= <GND>
+extclk[1] <= <GND>
+extclk[2] <= <GND>
+extclk[3] <= <GND>
+clkbad[0] <= <GND>
+clkbad[1] <= <GND>
+enable1 <= <GND>
+enable0 <= <GND>
+activeclock <= <GND>
+clkloss <= <GND>
+locked <= <GND>
+scandataout <= <GND>
+scandone <= <GND>
+sclkout0 <= <GND>
+sclkout1 <= sclkout1~0.DB_MAX_OUTPUT_PORT_TYPE
+phasedone <= <GND>
+vcooverrange <= <GND>
+vcounderrange <= <GND>
+fbout <= <GND>
+
+
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.hif b/bsp4/Designflow/ppr/download/db/vga_pll.hif
new file mode 100644 (file)
index 0000000..1250f4b
--- /dev/null
@@ -0,0 +1,1669 @@
+Version 9.0 Build 132 02/25/2009 SJ Full Version
+45
+3235
+OFF
+OFF
+OFF
+ON
+ON
+OFF
+FV_OFF
+Level2
+0
+0
+VRSM_ON
+VHSM_ON
+synplcty.lmf
+-- Start Library Paths --
+-- End Library Paths --
+-- Start VHDL Libraries --
+-- End VHDL Libraries --
+# entity
+vga_pll
+# storage
+db|vga_pll.(0).cnf
+db|vga_pll.(0).cnf
+# case_insensitive
+# source_file
+..|..|src|vga_pll.bdf
+99c3b73be69bba6a49dedfda59395ee
+26
+# internal_option {
+BLOCK_DESIGN_NAMING
+AUTO
+}
+# hierarchies {
+|
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vga
+# storage
+db|vga_pll.(1).cnf
+db|vga_pll.(1).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+vga:inst
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vga_driver
+# storage
+db|vga_pll.(2).cnf
+db|vga_pll.(2).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+vga:inst|vga_driver:vga_driver_unit
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vga_control
+# storage
+db|vga_pll.(3).cnf
+db|vga_pll.(3).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+vga:inst|vga_control:vga_control_unit
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vpll
+# storage
+db|vga_pll.(4).cnf
+db|vga_pll.(4).cnf
+# logic_option {
+AUTO_RAM_RECOGNITION
+ON
+}
+# case_insensitive
+# source_file
+..|..|src|vpll.vhd
+ccc2bcb05887d5721243fd22481948be
+5
+# internal_option {
+HDL_INITIAL_FANOUT_LIMIT
+OFF
+AUTO_RESOURCE_SHARING
+OFF
+AUTO_RAM_RECOGNITION
+ON
+AUTO_ROM_RECOGNITION
+ON
+}
+# hierarchies {
+vpll:inst1
+}
+# lmf
+|opt|quartus|quartus|lmf|maxplus2.lmf
+9a59d39b0706640b4b2718e8a1ff1f
+# macro_sequence
+
+# end
+# entity
+altpll
+# storage
+db|vga_pll.(5).cnf
+db|vga_pll.(5).cnf
+# case_insensitive
+# source_file
+|opt|quartus|quartus|libraries|megafunctions|altpll.tdf
+d980162588d7aa8b78874932c782e18
+7
+# user_parameter {
+OPERATION_MODE
+NORMAL
+PARAMETER_UNKNOWN
+USR
+PLL_TYPE
+AUTO
+PARAMETER_UNKNOWN
+USR
+QUALIFY_CONF_DONE
+OFF
+PARAMETER_UNKNOWN
+DEF
+COMPENSATE_CLOCK
+CLK0
+PARAMETER_UNKNOWN
+USR
+SCAN_CHAIN
+LONG
+PARAMETER_UNKNOWN
+DEF
+PRIMARY_CLOCK
+INCLK0
+PARAMETER_UNKNOWN
+DEF
+INCLK0_INPUT_FREQUENCY
+30003
+PARAMETER_SIGNED_DEC
+USR
+INCLK1_INPUT_FREQUENCY
+0
+PARAMETER_UNKNOWN
+DEF
+GATE_LOCK_SIGNAL
+NO
+PARAMETER_UNKNOWN
+USR
+GATE_LOCK_COUNTER
+0
+PARAMETER_UNKNOWN
+DEF
+LOCK_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+LOCK_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+VALID_LOCK_MULTIPLIER
+1
+PARAMETER_SIGNED_DEC
+USR
+INVALID_LOCK_MULTIPLIER
+5
+PARAMETER_SIGNED_DEC
+USR
+SWITCH_OVER_ON_LOSSCLK
+OFF
+PARAMETER_UNKNOWN
+DEF
+SWITCH_OVER_ON_GATED_LOCK
+OFF
+PARAMETER_UNKNOWN
+DEF
+ENABLE_SWITCH_OVER_COUNTER
+OFF
+PARAMETER_UNKNOWN
+DEF
+SKIP_VCO
+OFF
+PARAMETER_UNKNOWN
+DEF
+SWITCH_OVER_COUNTER
+0
+PARAMETER_UNKNOWN
+DEF
+SWITCH_OVER_TYPE
+AUTO
+PARAMETER_UNKNOWN
+DEF
+FEEDBACK_SOURCE
+EXTCLK0
+PARAMETER_UNKNOWN
+DEF
+BANDWIDTH
+0
+PARAMETER_UNKNOWN
+DEF
+BANDWIDTH_TYPE
+AUTO
+PARAMETER_UNKNOWN
+USR
+SPREAD_FREQUENCY
+0
+PARAMETER_SIGNED_DEC
+USR
+DOWN_SPREAD
+0
+PARAMETER_UNKNOWN
+DEF
+SELF_RESET_ON_GATED_LOSS_LOCK
+OFF
+PARAMETER_UNKNOWN
+DEF
+SELF_RESET_ON_LOSS_LOCK
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK9_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK8_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK7_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK6_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK5_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK4_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK3_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK2_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK1_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK0_MULTIPLY_BY
+5435
+PARAMETER_SIGNED_DEC
+USR
+CLK9_DIVIDE_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK8_DIVIDE_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK7_DIVIDE_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK6_DIVIDE_BY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK5_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK4_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK3_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK2_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK1_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+CLK0_DIVIDE_BY
+6666
+PARAMETER_SIGNED_DEC
+USR
+CLK9_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK8_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK7_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK6_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK5_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK4_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK3_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK2_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK1_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+CLK0_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+USR
+CLK5_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK4_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK3_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK2_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK1_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK0_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+USR
+CLK9_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK8_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK7_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK6_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK5_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK4_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK3_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK2_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK1_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+CLK0_DUTY_CYCLE
+50
+PARAMETER_SIGNED_DEC
+USR
+CLK9_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK8_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK7_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK6_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK5_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK4_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK3_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK2_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK1_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK0_USE_EVEN_COUNTER_MODE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK9_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK8_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK7_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK6_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK5_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK4_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK3_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK2_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK1_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK0_USE_EVEN_COUNTER_VALUE
+OFF
+PARAMETER_UNKNOWN
+DEF
+LOCK_WINDOW_UI
+ 0.05
+PARAMETER_UNKNOWN
+DEF
+LOCK_WINDOW_UI_BITS
+UNUSED
+PARAMETER_UNKNOWN
+DEF
+VCO_RANGE_DETECTOR_LOW_BITS
+UNUSED
+PARAMETER_UNKNOWN
+DEF
+VCO_RANGE_DETECTOR_HIGH_BITS
+UNUSED
+PARAMETER_UNKNOWN
+DEF
+DPA_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+DPA_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+DPA_DIVIDER
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_MULTIPLY_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_DIVIDE_BY
+1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_DUTY_CYCLE
+50
+PARAMETER_UNKNOWN
+DEF
+VCO_MULTIPLY_BY
+0
+PARAMETER_UNKNOWN
+DEF
+VCO_DIVIDE_BY
+0
+PARAMETER_UNKNOWN
+DEF
+SCLKOUT0_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+SCLKOUT1_PHASE_SHIFT
+0
+PARAMETER_UNKNOWN
+DEF
+VCO_MIN
+0
+PARAMETER_UNKNOWN
+DEF
+VCO_MAX
+0
+PARAMETER_UNKNOWN
+DEF
+VCO_CENTER
+0
+PARAMETER_UNKNOWN
+DEF
+PFD_MIN
+0
+PARAMETER_UNKNOWN
+DEF
+PFD_MAX
+0
+PARAMETER_UNKNOWN
+DEF
+M_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+M
+0
+PARAMETER_UNKNOWN
+DEF
+N
+1
+PARAMETER_UNKNOWN
+DEF
+M2
+1
+PARAMETER_UNKNOWN
+DEF
+N2
+1
+PARAMETER_UNKNOWN
+DEF
+SS
+1
+PARAMETER_UNKNOWN
+DEF
+C0_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C1_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C2_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C3_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C4_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C5_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C6_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C7_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C8_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C9_HIGH
+0
+PARAMETER_UNKNOWN
+DEF
+C0_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C1_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C2_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C3_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C4_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C5_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C6_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C7_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C8_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C9_LOW
+0
+PARAMETER_UNKNOWN
+DEF
+C0_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C1_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C2_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C3_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C4_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C5_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C6_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C7_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C8_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C9_INITIAL
+0
+PARAMETER_UNKNOWN
+DEF
+C0_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C1_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C2_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C3_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C4_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C5_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C6_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C7_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C8_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C9_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+C0_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C1_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C2_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C3_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C4_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C5_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C6_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C7_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C8_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C9_PH
+0
+PARAMETER_UNKNOWN
+DEF
+L0_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+L1_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+G0_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+G1_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+G2_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+G3_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+E0_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+E1_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+E2_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+E3_HIGH
+1
+PARAMETER_UNKNOWN
+DEF
+L0_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+L1_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+G0_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+G1_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+G2_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+G3_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+E0_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+E1_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+E2_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+E3_LOW
+1
+PARAMETER_UNKNOWN
+DEF
+L0_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+L1_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+G0_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+G1_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+G2_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+G3_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+E0_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+E1_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+E2_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+E3_INITIAL
+1
+PARAMETER_UNKNOWN
+DEF
+L0_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+L1_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+G0_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+G1_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+G2_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+G3_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+E0_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+E1_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+E2_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+E3_MODE
+BYPASS
+PARAMETER_UNKNOWN
+DEF
+L0_PH
+0
+PARAMETER_UNKNOWN
+DEF
+L1_PH
+0
+PARAMETER_UNKNOWN
+DEF
+G0_PH
+0
+PARAMETER_UNKNOWN
+DEF
+G1_PH
+0
+PARAMETER_UNKNOWN
+DEF
+G2_PH
+0
+PARAMETER_UNKNOWN
+DEF
+G3_PH
+0
+PARAMETER_UNKNOWN
+DEF
+E0_PH
+0
+PARAMETER_UNKNOWN
+DEF
+E1_PH
+0
+PARAMETER_UNKNOWN
+DEF
+E2_PH
+0
+PARAMETER_UNKNOWN
+DEF
+E3_PH
+0
+PARAMETER_UNKNOWN
+DEF
+M_PH
+0
+PARAMETER_UNKNOWN
+DEF
+C1_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C2_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C3_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C4_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C5_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C6_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C7_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C8_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+C9_USE_CASC_IN
+OFF
+PARAMETER_UNKNOWN
+DEF
+CLK0_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK1_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK2_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK3_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK4_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK5_COUNTER
+G0
+PARAMETER_UNKNOWN
+DEF
+CLK6_COUNTER
+E0
+PARAMETER_UNKNOWN
+DEF
+CLK7_COUNTER
+E1
+PARAMETER_UNKNOWN
+DEF
+CLK8_COUNTER
+E2
+PARAMETER_UNKNOWN
+DEF
+CLK9_COUNTER
+E3
+PARAMETER_UNKNOWN
+DEF
+L0_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+L1_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+G0_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+G1_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+G2_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+G3_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+E0_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+E1_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+E2_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+E3_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+M_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+N_TIME_DELAY
+0
+PARAMETER_UNKNOWN
+DEF
+EXTCLK3_COUNTER
+E3
+PARAMETER_UNKNOWN
+DEF
+EXTCLK2_COUNTER
+E2
+PARAMETER_UNKNOWN
+DEF
+EXTCLK1_COUNTER
+E1
+PARAMETER_UNKNOWN
+DEF
+EXTCLK0_COUNTER
+E0
+PARAMETER_UNKNOWN
+DEF
+ENABLE0_COUNTER
+L0
+PARAMETER_UNKNOWN
+DEF
+ENABLE1_COUNTER
+L0
+PARAMETER_UNKNOWN
+DEF
+CHARGE_PUMP_CURRENT
+2
+PARAMETER_UNKNOWN
+DEF
+LOOP_FILTER_R
+ 1.000000
+PARAMETER_UNKNOWN
+DEF
+LOOP_FILTER_C
+5
+PARAMETER_UNKNOWN
+DEF
+CHARGE_PUMP_CURRENT_BITS
+9999
+PARAMETER_UNKNOWN
+DEF
+LOOP_FILTER_R_BITS
+9999
+PARAMETER_UNKNOWN
+DEF
+LOOP_FILTER_C_BITS
+9999
+PARAMETER_UNKNOWN
+DEF
+VCO_POST_SCALE
+0
+PARAMETER_UNKNOWN
+DEF
+CLK2_OUTPUT_FREQUENCY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK1_OUTPUT_FREQUENCY
+0
+PARAMETER_UNKNOWN
+DEF
+CLK0_OUTPUT_FREQUENCY
+0
+PARAMETER_UNKNOWN
+DEF
+INTENDED_DEVICE_FAMILY
+Stratix
+PARAMETER_UNKNOWN
+USR
+PORT_CLKENA0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKENA1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKENA2
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKENA3
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKENA4
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKENA5
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLKENA0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLKENA1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLKENA2
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLKENA3
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLK0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLK1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLK2
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_EXTCLK3
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKBAD0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKBAD1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK2
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK3
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK4
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK5
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK6
+PORT_UNUSED
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK7
+PORT_UNUSED
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK8
+PORT_UNUSED
+PARAMETER_UNKNOWN
+DEF
+PORT_CLK9
+PORT_UNUSED
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANDATA
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANDATAOUT
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANDONE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCLKOUT1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCLKOUT0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_ACTIVECLOCK
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKLOSS
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_INCLK1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_INCLK0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_FBIN
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PLLENA
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CLKSWITCH
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_ARESET
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PFDENA
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANCLK
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANACLR
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANREAD
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANWRITE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_ENABLE0
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_ENABLE1
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_LOCKED
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_CONFIGUPDATE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_FBOUT
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PHASEDONE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PHASESTEP
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PHASEUPDOWN
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_SCANCLKENA
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_PHASECOUNTERSELECT
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_VCOOVERRANGE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+PORT_VCOUNDERRANGE
+PORT_CONNECTIVITY
+PARAMETER_UNKNOWN
+DEF
+M_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C0_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C1_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C2_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C3_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C4_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C5_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C6_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C7_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C8_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+C9_TEST_SOURCE
+5
+PARAMETER_UNKNOWN
+DEF
+CBXI_PARAMETER
+NOTHING
+PARAMETER_UNKNOWN
+DEF
+VCO_FREQUENCY_CONTROL
+AUTO
+PARAMETER_UNKNOWN
+DEF
+VCO_PHASE_SHIFT_STEP
+0
+PARAMETER_UNKNOWN
+DEF
+WIDTH_CLOCK
+6
+PARAMETER_UNKNOWN
+DEF
+WIDTH_PHASECOUNTERSELECT
+4
+PARAMETER_UNKNOWN
+DEF
+USING_FBMIMICBIDIR_PORT
+OFF
+PARAMETER_UNKNOWN
+DEF
+DEVICE_FAMILY
+Stratix
+PARAMETER_UNKNOWN
+USR
+SCAN_CHAIN_MIF_FILE
+UNUSED
+PARAMETER_UNKNOWN
+DEF
+SIM_GATE_LOCK_DEVICE_BEHAVIOR
+OFF
+PARAMETER_UNKNOWN
+DEF
+AUTO_CARRY_CHAINS
+ON
+AUTO_CARRY
+USR
+IGNORE_CARRY_BUFFERS
+OFF
+IGNORE_CARRY
+USR
+AUTO_CASCADE_CHAINS
+ON
+AUTO_CASCADE
+USR
+IGNORE_CASCADE_BUFFERS
+OFF
+IGNORE_CASCADE
+USR
+}
+# used_port {
+inclk0
+-1
+3
+clk0
+-1
+3
+inclk1
+-1
+1
+extclkena3
+-1
+1
+extclkena2
+-1
+1
+extclkena1
+-1
+1
+extclkena0
+-1
+1
+clkena5
+-1
+1
+clkena4
+-1
+1
+clkena3
+-1
+1
+clkena2
+-1
+1
+clkena1
+-1
+1
+areset
+-1
+1
+pllena
+-1
+2
+clkena0
+-1
+2
+}
+# include_file {
+|opt|quartus|quartus|libraries|megafunctions|cycloneii_pll.inc
+39a0d9d1237d1db39c848c3f9faffc
+|opt|quartus|quartus|libraries|megafunctions|stratix_pll.inc
+5f8211898149ceae8264a0ea5036254f
+|opt|quartus|quartus|libraries|megafunctions|aglobal90.inc
+99832fdf63412df51d7531202d74e75
+|opt|quartus|quartus|libraries|megafunctions|stratixii_pll.inc
+6d1985e16ab5f59a1fd6b0ae20978a4e
+}
+# hierarchies {
+vpll:inst1|altpll:altpll_component
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# complete
+\r
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.html b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.html
new file mode 100644 (file)
index 0000000..6c17b29
--- /dev/null
@@ -0,0 +1,82 @@
+<TABLE BORDER="1" cellspacing="1" cellpadding="2">
+<TR valign="middle" bgcolor="#C0C0C0">
+<TH>Hierarchy</TH>
+<TH>Input</TH>
+<TH>Constant Input</TH>
+<TH>Unused Input</TH>
+<TH>Floating Input</TH>
+<TH>Output</TH>
+<TH>Constant Output</TH>
+<TH>Unused Output</TH>
+<TH>Floating Output</TH>
+<TH>Bidir</TH>
+<TH>Constant Bidir</TH>
+<TH>Unused Bidir</TH>
+<TH>Input only Bidir</TH>
+<TH>Output only Bidir</TH>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">inst1</TD>
+<TD ALIGN="LEFT">1</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">1</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">inst|vga_control_unit</TD>
+<TD ALIGN="LEFT">24</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">29</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">inst|vga_driver_unit</TD>
+<TD ALIGN="LEFT">4</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">61</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">inst</TD>
+<TD ALIGN="LEFT">2</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">115</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+</TABLE>
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb
new file mode 100644 (file)
index 0000000..c9b53b7
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.rdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt b/bsp4/Designflow/ppr/download/db/vga_pll.lpc.txt
new file mode 100644 (file)
index 0000000..93f2bc0
--- /dev/null
@@ -0,0 +1,10 @@
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Legal Partition Candidates                                                                                                                                                                                                 ;
++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; Hierarchy             ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; inst1                 ; 1     ; 0              ; 0            ; 0              ; 1      ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
+; inst|vga_control_unit ; 24    ; 0              ; 0            ; 0              ; 29     ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
+; inst|vga_driver_unit  ; 4     ; 0              ; 0            ; 0              ; 61     ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
+; inst                  ; 2     ; 0              ; 0            ; 0              ; 115    ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
++-----------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.map.cdb
new file mode 100644 (file)
index 0000000..ef40f0d
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.map.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.map.hdb
new file mode 100644 (file)
index 0000000..eb749d8
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.map.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.logdb b/bsp4/Designflow/ppr/download/db/vga_pll.map.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.map.qmsg
new file mode 100644 (file)
index 0000000..6443af7
--- /dev/null
@@ -0,0 +1,22 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:36:33 2009 " "Info: Processing started: Tue Nov  3 17:36:33 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IACF_REVISION_DEFAULT_FILE_CREATED" "vga_pll 6.0 /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf " "Info: Revision \"vga_pll\" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0." {  } {  } 0 0 "Revision \"%1!s!\" was previously opened in Quartus II software version %2!s!. Created Quartus II Default Settings File %3!s!, which contains the default assignment setting information from Quartus II software version %2!s!." 0 0 "" 0 -1}
+{ "Info" "IACF_WHERE_TO_VIEW_DEFAULT_CHANGES" "/opt/quartus/quartus/linux/assignment_defaults.qdf " "Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf" {  } {  } 0 0 "Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vga_pll.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 vga_pll " "Info: Found entity 1: vga_pll" {  } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { } } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 25 18 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3147 19 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4440 11 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../src/vpll.vhd 2 1 " "Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 vpll-SYN " "Info: Found design unit 1: vpll-SYN" {  } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 57 -1 0 } }  } 0 0 "Found design unit %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "1 vpll " "Info: Found entity 1: vpll" {  } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 45 -1 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_TOP" "vga_pll " "Info: Elaborating entity \"vga_pll\" for the top level hierarchy" {  } {  } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga vga:inst " "Info: Elaborating entity \"vga\" for hierarchy \"vga:inst\"" {  } { { "../../src/vga_pll.bdf" "inst" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga:inst\|vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga:inst\|vga_driver:vga_driver_unit\"" {  } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6195 3 0 } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga:inst\|vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga:inst\|vga_control:vga_control_unit\"" {  } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6251 3 0 } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vpll vpll:inst1 " "Info: Elaborating entity \"vpll\" for hierarchy \"vpll:inst1\"" {  } { { "../../src/vga_pll.bdf" "inst1" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "locked vpll.vhd(73) " "Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object \"locked\" assigned a value but never read" {  } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 73 0 0 } }  } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altpll vpll:inst1\|altpll:altpll_component " "Info: Elaborating entity \"altpll\" for hierarchy \"vpll:inst1\|altpll:altpll_component\"" {  } { { "../../src/vpll.vhd" "altpll_component" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_ELABORATION_HEADER" "vpll:inst1\|altpll:altpll_component " "Info: Elaborated megafunction instantiation \"vpll:inst1\|altpll:altpll_component\"" {  } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } }  } 0 0 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_MEGAFN_PARAM_TOP" "vpll:inst1\|altpll:altpll_component " "Info: Instantiated megafunction \"vpll:inst1\|altpll:altpll_component\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "bandwidth_type AUTO " "Info: Parameter \"bandwidth_type\" = \"AUTO\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_duty_cycle 50 " "Info: Parameter \"clk0_duty_cycle\" = \"50\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "lpm_type altpll " "Info: Parameter \"lpm_type\" = \"altpll\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_multiply_by 5435 " "Info: Parameter \"clk0_multiply_by\" = \"5435\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "invalid_lock_multiplier 5 " "Info: Parameter \"invalid_lock_multiplier\" = \"5\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "inclk0_input_frequency 30003 " "Info: Parameter \"inclk0_input_frequency\" = \"30003\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "gate_lock_signal NO " "Info: Parameter \"gate_lock_signal\" = \"NO\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_divide_by 6666 " "Info: Parameter \"clk0_divide_by\" = \"6666\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "pll_type AUTO " "Info: Parameter \"pll_type\" = \"AUTO\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "valid_lock_multiplier 1 " "Info: Parameter \"valid_lock_multiplier\" = \"1\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_time_delay 0 " "Info: Parameter \"clk0_time_delay\" = \"0\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "spread_frequency 0 " "Info: Parameter \"spread_frequency\" = \"0\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "intended_device_family Stratix " "Info: Parameter \"intended_device_family\" = \"Stratix\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "operation_mode NORMAL " "Info: Parameter \"operation_mode\" = \"NORMAL\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "compensate_clock CLK0 " "Info: Parameter \"compensate_clock\" = \"CLK0\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1} { "Info" "ISGN_MEGAFN_PARAM_SUB" "clk0_phase_shift 0 " "Info: Parameter \"clk0_phase_shift\" = \"0\"" {  } {  } 0 0 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "" 0 -1}  } { { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } }  } 0 0 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "" 0 -1}
+{ "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO_HDR" "" "Info: WYSIWYG I/O primitives converted to equivalent logic" { { "Info" "ISCL_SCL_WYSIWYG_UNMAPPED_IO" "vga:inst\|clk_pin_in " "Info: WYSIWYG I/O primitive \"vga:inst\|clk_pin_in\" converted to equivalent logic" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4630 3 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 712 928 600 "inst" "" } } } }  } 0 0 "WYSIWYG I/O primitive \"%1!s!\" converted to equivalent logic" 0 0 "" 0 -1}  } {  } 0 0 "WYSIWYG I/O primitives converted to equivalent logic" 0 0 "" 0 -1}
+{ "Info" "ISCL_SCL_WANNA_REM_USR_WIRE" "" "Info: Found the following redundant logic cells in design" { { "Info" "ISCL_SCL_CELL_NAME" "vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1 " "Info (17048): Logic cell \"vga:inst\|vga_control:vga_control_unit\|toggle_sig_0_0_0_g1\"" {  } { { "../../syn/rev_1/vga.vqm" "toggle_sig_0_0_0_g1_cZ" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4013 3 0 } }  } 0 17048 "Logic cell \"%1!s!\"" 0 0 "" 0 -1}  } {  } 0 0 "Found the following redundant logic cells in design" 0 0 "" 0 -1}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "293 " "Info: Implemented 293 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" {  } {  } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" {  } {  } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" {  } {  } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_PLLS" "1 " "Info: Implemented 1 ClockLock PLLs" {  } {  } 0 0 "Implemented %1!d! ClockLock PLLs" 0 0 "" 0 -1}  } {  } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1}
+{ "Warning" "WCUT_CUT_YGR_PLL_BAD_FANOUT_CLK3" "clk0 vpll:inst1\|altpll:altpll_component\|pll " "Warning: Output port clk0 of PLL \"vpll:inst1\|altpll:altpll_component\|pll\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" {  } { { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } } { "../../src/vpll.vhd" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd" 121 0 0 } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 56 416 512 152 "inst1" "" } } } }  } 0 0 "Output port %1!s! of PLL \"%2!s!\" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "204 " "Info: Peak virtual memory: 204 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:36:38 2009 " "Info: Processing ended: Tue Nov  3 17:36:38 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Info: Elapsed time: 00:00:05" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Info: Total CPU time (on all processors): 00:00:04" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb
new file mode 100644 (file)
index 0000000..3ff6cd2
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb
new file mode 100644 (file)
index 0000000..e21f1f4
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.pre_map.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.rtlv.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv.hdb
new file mode 100644 (file)
index 0000000..b9c43d3
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb
new file mode 100644 (file)
index 0000000..704cd9b
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb
new file mode 100644 (file)
index 0000000..e967d28
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.rtlv_sg_swap.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb
new file mode 100644 (file)
index 0000000..f7c40ad
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.cdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb
new file mode 100644 (file)
index 0000000..b610390
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.sgdiff.hdb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci
new file mode 100644 (file)
index 0000000..57580ed
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry.sci differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci
new file mode 100644 (file)
index 0000000..7117510
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.sld_design_entry_dsc.sci differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info b/bsp4/Designflow/ppr/download/db/vga_pll.syn_hier_info
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg b/bsp4/Designflow/ppr/download/db/vga_pll.tan.qmsg
new file mode 100644 (file)
index 0000000..e219dcc
--- /dev/null
@@ -0,0 +1,15 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:37:38 2009 " "Info: Processing started: Tue Nov  3 17:37:38 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" {  } {  } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1}
+{ "Warning" "WTAN_USE_ENABLE_CLOCK_LATENCY_FOR_PLL" "" "Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" {  } {  } 0 0 "Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled" 0 0 "" 0 -1}
+{ "Info" "ITAN_SLACK_ANALYSIS" "" "Info: Found timing assignments -- calculating delays" {  } {  } 0 0 "Found timing assignments -- calculating delays" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 register vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 29.952 ns " "Info: Slack time is 29.952 ns for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9\" and destination register \"vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5\"" { { "Info" "ITDB_SIMPLE_FMAX_RESULT" "146.52 MHz 6.825 ns " "Info: Fmax is 146.52 MHz (period= 6.825 ns)" {  } {  } 0 0 "Fmax is %1!s! (period= %2!s!)" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "36.581 ns + Largest register register " "Info: + Largest register to register requirement is 36.581 ns" { { "Info" "ITDB_FULL_SETUP_REQUIREMENT" "36.777 ns + " "Info: + Setup relationship between source and destination is 36.777 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch 35.747 ns " "Info: + Latch edge is 35.747 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns  50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50" {  } {  } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1}  } {  } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns  50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50" {  } {  } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1}  } {  } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1}  } {  } 0 0 "%2!c! Setup relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.010 ns + Largest " "Info: + Largest clock skew is -0.010 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.058 ns + Shortest register " "Info: + Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.058 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.498 ns) + CELL(0.560 ns) 2.058 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 2 REG LC_X24_Y41_N8 4 " "Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.21 % ) " "Info: Total cell delay = 0.560 ns ( 27.21 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.498 ns ( 72.79 % ) " "Info: Total interconnect delay = 1.498 ns ( 72.79 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.068 ns - Longest register " "Info: - Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.068 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.508 ns) + CELL(0.560 ns) 2.068 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 2 REG LC_X25_Y43_N9 9 " "Info: 2: + IC(1.508 ns) + CELL(0.560 ns) = 2.068 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.08 % ) " "Info: Total cell delay = 0.560 ns ( 27.08 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.508 ns ( 72.92 % ) " "Info: Total interconnect delay = 1.508 ns ( 72.92 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns - " "Info: - Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.629 ns - Longest register register " "Info: - Longest register to register delay is 6.629 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9 1 REG LC_X25_Y43_N9 9 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_counter_9'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 128 25 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.176 ns) + CELL(0.459 ns) 1.635 ns vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_3 2 COMB LC_X25_Y42_N4 1 " "Info: 2: + IC(1.176 ns) + CELL(0.459 ns) = 1.635 ns; Loc. = LC_X25_Y42_N4; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_3'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.635 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 276 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.827 ns) + CELL(0.332 ns) 2.794 ns vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_4 3 COMB LC_X24_Y42_N3 2 " "Info: 3: + IC(0.827 ns) + CELL(0.332 ns) = 2.794 ns; Loc. = LC_X24_Y42_N3; Fanout = 2; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un13_vsync_counter_4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.159 ns" { vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 241 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.373 ns) + CELL(0.213 ns) 3.380 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_2 4 COMB LC_X24_Y42_N5 1 " "Info: 4: + IC(0.373 ns) + CELL(0.213 ns) = 3.380 ns; Loc. = LC_X24_Y42_N5; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_1_sqmuxa_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.586 ns" { vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 265 35 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.350 ns) + CELL(0.332 ns) 4.062 ns vga:inst\|vga_driver:vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0 5 COMB LC_X24_Y42_N9 1 " "Info: 5: + IC(0.350 ns) + CELL(0.332 ns) = 4.062 ns; Loc. = LC_X24_Y42_N9; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.682 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 259 39 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.571 ns) + CELL(0.213 ns) 4.846 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa 6 COMB LC_X24_Y42_N7 5 " "Info: 6: + IC(0.571 ns) + CELL(0.213 ns) = 4.846 ns; Loc. = LC_X24_Y42_N7; Fanout = 5; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_next_2_sqmuxa'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.784 ns" { vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 239 33 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.057 ns) + CELL(0.726 ns) 6.629 ns vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5 7 REG LC_X24_Y41_N8 4 " "Info: 7: + IC(1.057 ns) + CELL(0.726 ns) = 6.629 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|vsync_state_5'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.783 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 105 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.275 ns ( 34.32 % ) " "Info: Total cell delay = 2.275 ns ( 34.32 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.354 ns ( 65.68 % ) " "Info: Total interconnect delay = 4.354 ns ( 65.68 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 {} vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.176ns 0.827ns 0.373ns 0.350ns 0.571ns 1.057ns } { 0.000ns 0.459ns 0.332ns 0.213ns 0.332ns 0.213ns 0.726ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.068 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} } { 0.000ns 1.508ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa vga:inst|vga_driver:vga_driver_unit|vsync_state_5 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.629 ns" { vga:inst|vga_driver:vga_driver_unit|vsync_counter_9 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3 {} vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 {} vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 {} vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa {} vga:inst|vga_driver:vga_driver_unit|vsync_state_5 {} } { 0.000ns 1.176ns 0.827ns 0.373ns 0.350ns 0.571ns 1.057ns } { 0.000ns 0.459ns 0.332ns 0.213ns 0.332ns 0.213ns 0.726ns } "" } }  } 0 0 "Slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1}
+{ "Info" "ITAN_NO_REG2REG_EXIST" "board_clk " "Info: No valid register-to-register data paths exist for clock \"board_clk\"" {  } {  } 0 0 "No valid register-to-register data paths exist for clock \"%1!s!\"" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_MIN_SLACK_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 register vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 register vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 736 ps " "Info: Minimum slack time is 736 ps for clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" between source register \"vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0\" and destination register \"vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0\"" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "0.660 ns + Shortest register register " "Info: + Shortest register to register delay is 0.660 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 1 REG LC_X50_Y46_N6 7 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.425 ns) + CELL(0.235 ns) 0.660 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(0.425 ns) + CELL(0.235 ns) = 0.660 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.235 ns ( 35.61 % ) " "Info: Total cell delay = 0.235 ns ( 35.61 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "0.425 ns ( 64.39 % ) " "Info: Total interconnect delay = 0.425 ns ( 64.39 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 0.425ns } { 0.000ns 0.235ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "-0.076 ns - Smallest register register " "Info: - Smallest register to register requirement is -0.076 ns" { { "Info" "ITDB_FULL_HOLD_REQUIREMENT" "0.000 ns + " "Info: + Hold relationship between source and destination is 0.000 ns" { { "Info" "ITDB_EDGE_RESULT" "+ Latch -1.030 ns " "Info: + Latch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Destination vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns  50 " "Info: Clock period of Destination clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50" {  } {  } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Setup 1 " "Info: Multicycle Setup factor for Destination register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Destination Hold 1 " "Info: Multicycle Hold factor for Destination register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1}  } {  } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_EDGE_RESULT" "- Launch -1.030 ns " "Info: - Launch edge is -1.030 ns" { { "Info" "ITDB_CLOCK_SETTING_RESULT" "Source vpll:inst1\|altpll:altpll_component\|_clk0 36.777 ns -1.030 ns  50 " "Info: Clock period of Source clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50" {  } {  } 0 0 "Clock period of %1!s! clock \"%2!s!\" is %3!s! with %5!s! offset of %4!s! and duty cycle of %6!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Setup 1 " "Info: Multicycle Setup factor for Source register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1} { "Info" "ITDB_MULTICYCLE_RESULT" "Source Hold 1 " "Info: Multicycle Hold factor for Source register is 1" {  } {  } 0 0 "Multicycle %2!s! factor for %1!s! register is %3!d!" 0 0 "" 0 -1}  } {  } 0 0 "%1!s! %2!s! edge is %3!s!" 0 0 "" 0 -1}  } {  } 0 0 "%2!c! Hold relationship between source and destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "0.000 ns + Smallest " "Info: + Smallest clock skew is 0.000 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.107 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.107 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.547 ns) + CELL(0.560 ns) 2.107 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.58 % ) " "Info: Total cell delay = 0.560 ns ( 26.58 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.547 ns ( 73.42 % ) " "Info: Total interconnect delay = 1.547 ns ( 73.42 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.107 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.107 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.547 ns) + CELL(0.560 ns) 2.107 ns vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0 2 REG LC_X50_Y46_N6 7 " "Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst\|vga_control:vga_control_unit\|toggle_counter_sig_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.58 % ) " "Info: Total cell delay = 0.560 ns ( 26.58 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.547 ns ( 73.42 % ) " "Info: Total interconnect delay = 1.547 ns ( 73.42 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns - " "Info: - Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3222 30 0 } }  } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "0.660 ns" { vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 0.425ns } { 0.000ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.107 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 {} } { 0.000ns 1.547ns } { 0.000ns 0.560ns } "" } }  } 0 0 "Minimum slack time is %6!s! for clock \"%1!s!\" between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\"" 0 0 "" 0 -1}
+{ "Info" "ITDB_TSU_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig reset board_clk 10.814 ns register " "Info: tsu for register \"vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig\" (data pin = \"reset\", clock pin = \"board_clk\") is 10.814 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "11.861 ns + Longest pin register " "Info: + Longest pin to register delay is 11.861 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.264 ns) + CELL(0.332 ns) 6.737 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.596 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.933 ns) + CELL(0.087 ns) 8.757 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4 3 COMB LC_X24_Y41_N1 1 " "Info: 3: + IC(1.933 ns) + CELL(0.087 ns) = 8.757 ns; Loc. = LC_X24_Y41_N1; Fanout = 1; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.020 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 245 36 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.378 ns) + CELL(0.726 ns) 11.861 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 4 REG LC_X49_Y33_N0 2 " "Info: 4: + IC(2.378 ns) + CELL(0.726 ns) = 11.861 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.104 ns" { vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.286 ns ( 19.27 % ) " "Info: Total cell delay = 2.286 ns ( 19.27 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.575 ns ( 80.73 % ) " "Info: Total interconnect delay = 9.575 ns ( 80.73 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.861 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.861 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.264ns 1.933ns 2.378ns } { 0.000ns 1.141ns 0.332ns 0.087ns 0.726ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns - " "Info: - Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" {  } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.087 ns - Shortest register " "Info: - Shortest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.087 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.527 ns) + CELL(0.560 ns) 2.087 ns vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig 2 REG LC_X49_Y33_N0 2 " "Info: 2: + IC(1.527 ns) + CELL(0.560 ns) = 2.087 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_enable_sig'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 152 22 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 26.83 % ) " "Info: Total cell delay = 0.560 ns ( 26.83 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.527 ns ( 73.17 % ) " "Info: Total interconnect delay = 1.527 ns ( 73.17 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.527ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "11.861 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "11.861 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 0.000ns 5.264ns 1.933ns 2.378ns } { 0.000ns 1.141ns 0.332ns 0.087ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_enable_sig } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.087 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_enable_sig {} } { 0.000ns 1.527ns } { 0.000ns 0.560ns } "" } }  } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_TCO_RESULT" "board_clk seven_seg_pin\[7\] vga:inst\|dly_counter\[0\] 12.054 ns register " "Info: tco from clock \"board_clk\" to destination pin \"seven_seg_pin\[7\]\" through register \"vga:inst\|dly_counter\[0\]\" is 12.054 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" {  } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 source 2.058 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to source register is 2.058 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.498 ns) + CELL(0.560 ns) 2.058 ns vga:inst\|dly_counter\[0\] 2 REG LC_X24_Y41_N4 10 " "Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.21 % ) " "Info: Total cell delay = 0.560 ns ( 27.21 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.498 ns ( 72.79 % ) " "Info: Total interconnect delay = 1.498 ns ( 72.79 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "10.850 ns + Longest register pin " "Info: + Longest register to pin delay is 10.850 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga:inst\|dly_counter\[0\] 1 REG LC_X24_Y41_N4 10 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst\|dly_counter\[0\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga:inst|dly_counter[0] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4534 24 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.184 ns) + CELL(0.213 ns) 1.397 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(1.184 ns) + CELL(0.213 ns) = 1.397 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.397 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.262 ns) + CELL(4.191 ns) 10.850 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 10.850 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.453 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "4.404 ns ( 40.59 % ) " "Info: Total cell delay = 4.404 ns ( 40.59 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "6.446 ns ( 59.41 % ) " "Info: Total interconnect delay = 6.446 ns ( 59.41 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.850 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.850 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.184ns 5.262ns } { 0.000ns 0.213ns 4.191ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|dly_counter[0] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.058 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|dly_counter[0] {} } { 0.000ns 1.498ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.850 ns" { vga:inst|dly_counter[0] vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.850 ns" { vga:inst|dly_counter[0] {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 1.184ns 5.262ns } { 0.000ns 0.213ns 4.191ns } "" } }  } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_TPD_RESULT" "reset seven_seg_pin\[7\] 16.190 ns Longest " "Info: Longest tpd from source pin \"reset\" to destination pin \"seven_seg_pin\[7\]\" is 16.190 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.264 ns) + CELL(0.332 ns) 6.737 ns vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X25_Y42_N0 51 " "Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst\|vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.596 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.262 ns) + CELL(4.191 ns) 16.190 ns seven_seg_pin\[7\] 3 PIN PIN_Y11 0 " "Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 16.190 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin\[7\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "9.453 ns" { vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 240 928 1148 256 "seven_seg_pin\[13..0\]" "" } } } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "5.664 ns ( 34.98 % ) " "Info: Total cell delay = 5.664 ns ( 34.98 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "10.526 ns ( 65.02 % ) " "Info: Total interconnect delay = 10.526 ns ( 65.02 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "16.190 ns" { reset vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[7] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "16.190 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[7] {} } { 0.000ns 0.000ns 5.264ns 5.262ns } { 0.000ns 1.141ns 0.332ns 4.191ns } "" } }  } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_TH_RESULT" "vga:inst\|vga_driver:vga_driver_unit\|h_sync reset board_clk -5.344 ns register " "Info: th for register \"vga:inst\|vga_driver:vga_driver_unit\|h_sync\" (data pin = \"reset\", clock pin = \"board_clk\") is -5.344 ns" { { "Info" "ITDB_FULL_PLL_OFFSET" "board_clk vpll:inst1\|altpll:altpll_component\|_clk0 -1.030 ns + " "Info: + Offset between input clock \"board_clk\" and output clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" is -1.030 ns" {  } { { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 80 248 416 96 "board_clk" "" } } } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!c! Offset between input clock \"%1!s!\" and output clock \"%2!s!\" is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "vpll:inst1\|altpll:altpll_component\|_clk0 destination 2.064 ns + Longest register " "Info: + Longest clock path from clock \"vpll:inst1\|altpll:altpll_component\|_clk0\" to destination register is 2.064 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vpll:inst1\|altpll:altpll_component\|_clk0 1 CLK PLL_1 82 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1\|altpll:altpll_component\|_clk0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vpll:inst1|altpll:altpll_component|_clk0 } "NODE_NAME" } } { "altpll.tdf" "" { Text "/opt/quartus/quartus/libraries/megafunctions/altpll.tdf" 905 3 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.504 ns) + CELL(0.560 ns) 2.064 ns vga:inst\|vga_driver:vga_driver_unit\|h_sync 2 REG LC_X23_Y42_N6 3 " "Info: 2: + IC(1.504 ns) + CELL(0.560 ns) = 2.064 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_sync'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "0.560 ns ( 27.13 % ) " "Info: Total cell delay = 0.560 ns ( 27.13 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.504 ns ( 72.87 % ) " "Info: Total interconnect delay = 1.504 ns ( 72.87 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } }  } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.478 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.478 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns reset 1 PIN PIN_A5 10 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "../../src/vga_pll.bdf" "" { Schematic "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf" { { 96 544 712 112 "reset" "" } } } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(4.973 ns) + CELL(0.364 ns) 6.478 ns vga:inst\|vga_driver:vga_driver_unit\|h_sync 2 REG LC_X23_Y42_N6 3 " "Info: 2: + IC(4.973 ns) + CELL(0.364 ns) = 6.478 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst\|vga_driver:vga_driver_unit\|h_sync'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.337 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 151 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.505 ns ( 23.23 % ) " "Info: Total cell delay = 1.505 ns ( 23.23 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.973 ns ( 76.77 % ) " "Info: Total interconnect delay = 4.973 ns ( 76.77 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.478 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.478 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.973ns } { 0.000ns 1.141ns 0.364ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "2.064 ns" { vpll:inst1|altpll:altpll_component|_clk0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 1.504ns } { 0.000ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.478 ns" { reset vga:inst|vga_driver:vga_driver_unit|h_sync } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.478 ns" { reset {} reset~out0 {} vga:inst|vga_driver:vga_driver_unit|h_sync {} } { 0.000ns 0.000ns 4.973ns } { 0.000ns 1.141ns 0.364ns } "" } }  } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1}
+{ "Info" "ITAN_REQUIREMENTS_MET_SLOW" "" "Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details." {  } {  } 0 0 "All timing requirements were met for slow timing model timing analysis. See Report window for more details." 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1  Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:37:39 2009 " "Info: Processing ended: Tue Nov  3 17:37:39 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb b/bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb
new file mode 100644 (file)
index 0000000..7a45114
Binary files /dev/null and b/bsp4/Designflow/ppr/download/db/vga_pll.tis_db_list.ddb differ
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll.tmw_info b/bsp4/Designflow/ppr/download/db/vga_pll.tmw_info
new file mode 100644 (file)
index 0000000..41588ed
--- /dev/null
@@ -0,0 +1,7 @@
+start_full_compilation:s:00:01:14
+start_analysis_synthesis:s:00:00:09-start_full_compilation
+start_analysis_elaboration:s-start_full_compilation
+start_fitter:s:00:00:35-start_full_compilation
+start_assembler:s:00:00:23-start_full_compilation
+start_timing_analyzer:s:00:00:03-start_full_compilation
+start_eda_netlist_writer:s:00:00:04-start_full_compilation
diff --git a/bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo b/bsp4/Designflow/ppr/download/db/vga_pll_global_asgn_op.abo
new file mode 100644 (file)
index 0000000..0b756b6
--- /dev/null
@@ -0,0 +1,15388 @@
+Version:
+       9.0 Build 132 02/25/2009 SJ Full Version
+
+Chip Device Options:
+       Device Name:    EP1S25F672C6
+       Device JTAG code:       ffffffff
+       Programming_mode:       Passive Serial
+       NWS_NRS_NCS:    UNRESERVED
+       RDYNBUSY:       UNRESERVED
+       DATA 7 to 1:    UNRESERVED
+       nCEO:   UNRESERVED
+       UNUSED PINS:    RESERVED_GND
+       Default IO Standard::   3.3-V LVTTL
+       User Start-up Clock:    0
+       Auto Restart on Error:  1
+       Release Clears Before Tristates:        0
+       Device Clear:   0
+       Test And Scan:  0
+       Device OE:      0
+       Enable Lock Output:     0
+       Enable Init Done:       0
+       Enable JTAG BST:        0
+       Enable Vref A:  0
+       Enable Vref B:  0
+
+
+
+****************************
+******Individual Atoms******
+****************************
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 230
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|h_sync      LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 231
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|v_sync      LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 232
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_1       LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 233
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_1       LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 234
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter LIT INDEX 0 FANOUTS 5
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 235
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 236
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|un13_v_enablelto8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|un5_v_enablelto7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|un17_v_enablelto7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|b_next_0_g0_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|b LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 237
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|h_enable_sig        LIT INDEX 0 FANOUTS 2 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 238
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|v_enable_sig        LIT INDEX 0 FANOUTS 2 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vpll:inst1|altpll:altpll_component|pll -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 239
+       Atom Type: stratix_pll (WYSIWYG)
+
+User mode PLL
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [INCLK]        board_clk     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: [INCLK]     DISCONNECTED
+               11: [CLKENA]    DISCONNECTED
+               12: [CLKENA]    DISCONNECTED
+               13: [CLKENA]    DISCONNECTED
+               14: [CLKENA]    DISCONNECTED
+               15: [CLKENA]    DISCONNECTED
+               16: [CLKENA]    DISCONNECTED
+               17: [EXTCLKENA] DISCONNECTED
+               18: [EXTCLKENA] DISCONNECTED
+               19: [EXTCLKENA] DISCONNECTED
+               20: [EXTCLKENA] DISCONNECTED
+       OUTPUTS (Int. Connections):
+               0: [ACTIVECLOCK]        vpll:inst1|altpll:altpll_component|pll~ACTIVECLOCK      LIT INDEX 0 FANOUTS 0
+               1: [CLKLOSS]    vpll:inst1|altpll:altpll_component|pll~GLOCKED  LIT INDEX 0 FANOUTS 0
+               2: [LOCKED]     vpll:inst1|altpll:altpll_component|pll~LOCKED   LIT INDEX 0 FANOUTS 0
+               3: [SCANDATAOUT]        vpll:inst1|altpll:altpll_component|pll~SCANDATAOUT      LIT INDEX 0 FANOUTS 0
+               4: [ENABLE0]    vpll:inst1|altpll:altpll_component|pll~ENAOUT0  LIT INDEX 0 FANOUTS 0
+               5: [ENABLE1]    vpll:inst1|altpll:altpll_component|pll~ENAOUT1  LIT INDEX 0 FANOUTS 0
+               6: [CLK]        vpll:inst1|altpll:altpll_component|_clk0        LIT INDEX 0 FANOUTS 82
+               7: [CLK]        vpll:inst1|altpll:altpll_component|pll~CLK1     LIT INDEX 1 FANOUTS 0
+               8: [CLK]        vpll:inst1|altpll:altpll_component|pll~CLK2     LIT INDEX 2 FANOUTS 0
+               9: [CLK]        vpll:inst1|altpll:altpll_component|pll~CLK3     LIT INDEX 3 FANOUTS 0
+               10: [CLK]       vpll:inst1|altpll:altpll_component|pll~CLK4     LIT INDEX 4 FANOUTS 0
+               11: [CLK]       vpll:inst1|altpll:altpll_component|pll~CLK5     LIT INDEX 5 FANOUTS 0
+               12: [EXTCLK]    vpll:inst1|altpll:altpll_component|pll~EXTCLK0  LIT INDEX 0 FANOUTS 0
+               13: [EXTCLK]    vpll:inst1|altpll:altpll_component|pll~EXTCLK1  LIT INDEX 1 FANOUTS 0
+               14: [EXTCLK]    vpll:inst1|altpll:altpll_component|pll~EXTCLK2  LIT INDEX 2 FANOUTS 0
+               15: [EXTCLK]    vpll:inst1|altpll:altpll_component|pll~EXTCLK3  LIT INDEX 3 FANOUTS 0
+               16: [CLKBAD]    vpll:inst1|altpll:altpll_component|pll~CLKBAD0  LIT INDEX 0 FANOUTS 0
+               17: [CLKBAD]    vpll:inst1|altpll:altpll_component|pll~CLKBAD1  LIT INDEX 1 FANOUTS 0
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               pll_type                       = auto
+               qualify_conf_done              = off
+               valid_lock_multiplier          = 1
+               invalid_lock_multiplier        = 5
+               scan_chain_mif_file            = 
+               compensate_clock               = clk0
+               feedback_source                = 
+               inclk0_input_frequency         = 30003
+               inclk1_input_frequency         = 30003
+               pfd_min                        = 2380
+               pfd_max                        = 333333
+               vco_min                        = 1250
+               vco_max                        = 3334
+               vco_center                     = 1666
+               pll_compensation_delay         = 3806
+               input_comp_delay_chain_bits    = 0
+               feedback_comp_delay_chain_bits = 0
+               common_rx_tx                   = on
+               skip_vco                       = off
+               rx_outclock_resource           = auto
+               primary_clock                  = inclk0
+               switch_over_on_lossclk         = off
+               switch_over_on_gated_lock      = off
+               enable_switch_over_counter     = off
+               gate_lock_signal               = no
+               scan_chain                     = 
+               gate_lock_counter              = 0
+               switch_over_counter            = 1
+               ======= Real External and Internal Parameters ======= = 
+               bandwidth_type                 = auto
+               bandwidth                      = 8043251
+               spread_frequency               = 0
+               down_spread                    = 0 %
+               clk0_multiply_by               = 53
+               clk1_multiply_by               = 1
+               clk2_multiply_by               = 1
+               clk3_multiply_by               = 1
+               clk4_multiply_by               = 1
+               clk5_multiply_by               = 1
+               extclk0_multiply_by            = 1
+               extclk1_multiply_by            = 1
+               extclk2_multiply_by            = 1
+               extclk3_multiply_by            = 1
+               clk0_divide_by                 = 65
+               clk1_divide_by                 = 1
+               clk2_divide_by                 = 1
+               clk3_divide_by                 = 1
+               clk4_divide_by                 = 1
+               clk5_divide_by                 = 1
+               extclk0_divide_by              = 1
+               extclk1_divide_by              = 1
+               extclk2_divide_by              = 1
+               extclk3_divide_by              = 1
+               clk0_phase_shift               = 0
+               clk1_phase_shift               = 0
+               clk2_phase_shift               = 0
+               clk3_phase_shift               = 0
+               clk4_phase_shift               = 0
+               clk5_phase_shift               = 0
+               extclk0_phase_shift            = 0
+               extclk1_phase_shift            = 0
+               extclk2_phase_shift            = 0
+               extclk3_phase_shift            = 0
+               clk0_time_delay                = 0
+               clk1_time_delay                = 0
+               clk2_time_delay                = 0
+               clk3_time_delay                = 0
+               clk4_time_delay                = 0
+               clk5_time_delay                = 0
+               extclk0_time_delay             = 0
+               extclk1_time_delay             = 0
+               extclk2_time_delay             = 0
+               extclk3_time_delay             = 0
+               clk0_duty_cycle                = 50
+               clk1_duty_cycle                = 50
+               clk2_duty_cycle                = 50
+               clk3_duty_cycle                = 50
+               clk4_duty_cycle                = 50
+               clk5_duty_cycle                = 50
+               extclk0_duty_cycle             = 50
+               extclk1_duty_cycle             = 50
+               extclk2_duty_cycle             = 50
+               extclk3_duty_cycle             = 50
+               clk0_use_even_counter_mode     = off
+               clk1_use_even_counter_mode     = off
+               clk2_use_even_counter_mode     = off
+               clk3_use_even_counter_mode     = off
+               clk4_use_even_counter_mode     = off
+               clk5_use_even_counter_mode     = off
+               extclk0_use_even_counter_mode  = off
+               extclk1_use_even_counter_mode  = off
+               extclk2_use_even_counter_mode  = off
+               extclk3_use_even_counter_mode  = off
+               clk0_use_even_counter_value    = off
+               clk1_use_even_counter_value    = off
+               clk2_use_even_counter_value    = off
+               clk3_use_even_counter_value    = off
+               clk4_use_even_counter_value    = off
+               clk5_use_even_counter_value    = off
+               extclk0_use_even_counter_value = off
+               extclk1_use_even_counter_value = off
+               extclk2_use_even_counter_value = off
+               extclk3_use_even_counter_value = off
+               m                              = 106
+               n                              = 5
+               m2                             = 1
+               n2                             = 1
+               ss                             = 0
+               charge_pump_current            = 50
+               loop_filter_c                  = 10
+               loop_filter_r                  = 1.021000
+               enable0_counter                = 
+               enable1_counter                = 
+               clk0_counter                   = g0
+               clk1_counter                   = 
+               clk2_counter                   = 
+               clk3_counter                   = 
+               clk4_counter                   = 
+               clk5_counter                   = 
+               extclk0_counter                = 
+               extclk1_counter                = 
+               extclk2_counter                = 
+               extclk3_counter                = 
+               l0_is_used                     = no
+               l1_is_used                     = no
+               g0_is_used                     = yes
+               g1_is_used                     = no
+               g2_is_used                     = no
+               g3_is_used                     = no
+               e0_is_used                     = no
+               e1_is_used                     = no
+               e2_is_used                     = no
+               e3_is_used                     = no
+               l0_mode                        = odd
+               l1_mode                        = bypass
+               g0_mode                        = even
+               g1_mode                        = bypass
+               g2_mode                        = bypass
+               g3_mode                        = bypass
+               e0_mode                        = bypass
+               e1_mode                        = bypass
+               e2_mode                        = bypass
+               e3_mode                        = bypass
+               l0_high                        = 10
+               l1_high                        = 0
+               g0_high                        = 13
+               g1_high                        = 0
+               g2_high                        = 0
+               g3_high                        = 0
+               e0_high                        = 0
+               e1_high                        = 0
+               e2_high                        = 0
+               e3_high                        = 0
+               l0_low                         = 9
+               l1_low                         = 0
+               g0_low                         = 13
+               g1_low                         = 0
+               g2_low                         = 0
+               g3_low                         = 0
+               e0_low                         = 0
+               e1_low                         = 0
+               e2_low                         = 0
+               e3_low                         = 0
+               m_initial                      = 1
+               l0_initial                     = 1
+               l1_initial                     = 1
+               g0_initial                     = 1
+               g1_initial                     = 1
+               g2_initial                     = 1
+               g3_initial                     = 1
+               e0_initial                     = 1
+               e1_initial                     = 1
+               e2_initial                     = 1
+               e3_initial                     = 1
+               m_ph                           = 0
+               l0_ph                          = 0
+               l1_ph                          = 0
+               g0_ph                          = 0
+               g1_ph                          = 0
+               g2_ph                          = 0
+               g3_ph                          = 0
+               e0_ph                          = 0
+               e1_ph                          = 0
+               e2_ph                          = 0
+               e3_ph                          = 0
+               m_time_delay                   = 0
+               n_time_delay                   = 0
+               l0_time_delay                  = 0
+               l1_time_delay                  = 0
+               g0_time_delay                  = 0
+               g1_time_delay                  = 0
+               g2_time_delay                  = 0
+               g3_time_delay                  = 0
+               e0_time_delay                  = 0
+               e1_time_delay                  = 0
+               e2_time_delay                  = 0
+               e3_time_delay                  = 0
+               ======= User External and Internal Parameters ======= = 
+               bandwidth_type                 = auto
+               bandwidth                      = 0
+               spread_frequency               = 0
+               down_spread                    = 0
+               clk0_multiply_by               = 5435
+               clk1_multiply_by               = 1
+               clk2_multiply_by               = 1
+               clk3_multiply_by               = 1
+               clk4_multiply_by               = 1
+               clk5_multiply_by               = 1
+               extclk0_multiply_by            = 1
+               extclk1_multiply_by            = 1
+               extclk2_multiply_by            = 1
+               extclk3_multiply_by            = 1
+               clk0_divide_by                 = 6666
+               clk1_divide_by                 = 1
+               clk2_divide_by                 = 1
+               clk3_divide_by                 = 1
+               clk4_divide_by                 = 1
+               clk5_divide_by                 = 1
+               extclk0_divide_by              = 1
+               extclk1_divide_by              = 1
+               extclk2_divide_by              = 1
+               extclk3_divide_by              = 1
+               clk0_phase_shift               = 0
+               clk1_phase_shift               = 0
+               clk2_phase_shift               = 0
+               clk3_phase_shift               = 0
+               clk4_phase_shift               = 0
+               clk5_phase_shift               = 0
+               extclk0_phase_shift            = 0
+               extclk1_phase_shift            = 0
+               extclk2_phase_shift            = 0
+               extclk3_phase_shift            = 0
+               clk0_time_delay                = 0
+               clk1_time_delay                = 0
+               clk2_time_delay                = 0
+               clk3_time_delay                = 0
+               clk4_time_delay                = 0
+               clk5_time_delay                = 0
+               extclk0_time_delay             = 0
+               extclk1_time_delay             = 0
+               extclk2_time_delay             = 0
+               extclk3_time_delay             = 0
+               clk0_duty_cycle                = 50
+               clk1_duty_cycle                = 50
+               clk2_duty_cycle                = 50
+               clk3_duty_cycle                = 50
+               clk4_duty_cycle                = 50
+               clk5_duty_cycle                = 50
+               extclk0_duty_cycle             = 50
+               extclk1_duty_cycle             = 50
+               extclk2_duty_cycle             = 50
+               extclk3_duty_cycle             = 50
+               clk0_use_even_counter_mode     = off
+               clk1_use_even_counter_mode     = off
+               clk2_use_even_counter_mode     = off
+               clk3_use_even_counter_mode     = off
+               clk4_use_even_counter_mode     = off
+               clk5_use_even_counter_mode     = off
+               extclk0_use_even_counter_mode  = off
+               extclk1_use_even_counter_mode  = off
+               extclk2_use_even_counter_mode  = off
+               extclk3_use_even_counter_mode  = off
+               clk0_use_even_counter_value    = off
+               clk1_use_even_counter_value    = off
+               clk2_use_even_counter_value    = off
+               clk3_use_even_counter_value    = off
+               clk4_use_even_counter_value    = off
+               clk5_use_even_counter_value    = off
+               extclk0_use_even_counter_value = off
+               extclk1_use_even_counter_value = off
+               extclk2_use_even_counter_value = off
+               extclk3_use_even_counter_value = off
+               m                              = 234
+               n                              = 7
+               m2                             = 1
+               n2                             = 1
+               ss                             = 0
+               charge_pump_current            = 0
+               loop_filter_c                  = 0
+               loop_filter_r                  = 0.000000
+               enable0_counter                = 
+               enable1_counter                = 
+               clk0_counter                   = l0
+               clk1_counter                   = 
+               clk2_counter                   = 
+               clk3_counter                   = 
+               clk4_counter                   = 
+               clk5_counter                   = 
+               extclk0_counter                = 
+               extclk1_counter                = 
+               extclk2_counter                = 
+               extclk3_counter                = 
+               l0_is_used                     = yes
+               l1_is_used                     = no
+               g0_is_used                     = no
+               g1_is_used                     = no
+               g2_is_used                     = no
+               g3_is_used                     = no
+               e0_is_used                     = no
+               e1_is_used                     = no
+               e2_is_used                     = no
+               e3_is_used                     = no
+               l0_mode                        = odd
+               l1_mode                        = bypass
+               g0_mode                        = bypass
+               g1_mode                        = bypass
+               g2_mode                        = bypass
+               g3_mode                        = bypass
+               e0_mode                        = bypass
+               e1_mode                        = bypass
+               e2_mode                        = bypass
+               e3_mode                        = bypass
+               l0_high                        = 21
+               l1_high                        = 0
+               g0_high                        = 0
+               g1_high                        = 0
+               g2_high                        = 0
+               g3_high                        = 0
+               e0_high                        = 0
+               e1_high                        = 0
+               e2_high                        = 0
+               e3_high                        = 0
+               l0_low                         = 20
+               l1_low                         = 0
+               g0_low                         = 0
+               g1_low                         = 0
+               g2_low                         = 0
+               g3_low                         = 0
+               e0_low                         = 0
+               e1_low                         = 0
+               e2_low                         = 0
+               e3_low                         = 0
+               m_initial                      = 1
+               l0_initial                     = 1
+               l1_initial                     = 1
+               g0_initial                     = 1
+               g1_initial                     = 1
+               g2_initial                     = 1
+               g3_initial                     = 1
+               e0_initial                     = 1
+               e1_initial                     = 1
+               e2_initial                     = 1
+               e3_initial                     = 1
+               m_ph                           = 0
+               l0_ph                          = 0
+               l1_ph                          = 0
+               g0_ph                          = 0
+               g1_ph                          = 0
+               g2_ph                          = 0
+               g3_ph                          = 0
+               e0_ph                          = 0
+               e1_ph                          = 0
+               e2_ph                          = 0
+               e3_ph                          = 0
+               m_time_delay                   = 0
+               n_time_delay                   = 0
+               l0_time_delay                  = 0
+               l1_time_delay                  = 0
+               g0_time_delay                  = 0
+               g1_time_delay                  = 0
+               g2_time_delay                  = 0
+               g3_time_delay                  = 0
+               e0_time_delay                  = 0
+               e1_time_delay                  = 0
+               e2_time_delay                  = 0
+               e3_time_delay                  = 0
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 240
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_sig        LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 9999
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 241
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 242
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 243
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 244
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 245
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 246
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 247
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 248
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 249
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 250
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7777
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 251
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_9     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 252
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_8     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[8]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 253
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_7     LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[7]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 254
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_6     LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[6]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 255
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_5     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[5]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 256
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_4     LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[4]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 257
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_3     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[3]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 258
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_2     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[2]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 259
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_1     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[1]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 260
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_2_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_counter_0     LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_cout[0]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 55aa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 261
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_0       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 262
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_2       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 263
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_3       LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaaa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 264
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_4       LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 265
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_5       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 266
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|hsync_state_6       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff00
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 267
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8  LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 268
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 269
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 270
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 271
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 272
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 273
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2  LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 274
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1  LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 275
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0  LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 276
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19     LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c6c
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 277
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 278
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[17]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 279
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[16]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 280
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[15]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 281
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[14]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 282
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[13]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 283
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[12]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 284
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[11]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 285
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10     LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[10]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 286
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[9]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 287
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[8]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 288
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[7]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 289
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[6]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 290
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[5]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 291
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[4]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 292
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[3]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 293
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[2]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 294
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_cout[1]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 295
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 5555
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 296
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_9     LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 297
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_8     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[8]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 298
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_7     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[7]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 299
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_6     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[6]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 300
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_5     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[5]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 301
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_4     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 302
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_3     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[3]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 303
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_2     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[2]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 304
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_1     LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[1]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 305
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [SYNCH_DATA]   vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga:inst|vga_driver:vga_driver_unit|G_16_i) LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_counter_0     LIT INDEX 0 FANOUTS 9 REGED POS
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[0]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 306
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_0       LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0cae
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 307
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_2       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 308
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_3       LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaaa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 309
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_4       LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 310
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_5       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 311
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x LIT INDEX 0 FANOUTS 51
+               1: [REGOUT]     vga:inst|vga_driver:vga_driver_unit|vsync_state_6       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = reg_and_comb
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|dly_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 313
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|dly_counter[0] LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = a2a2
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|dly_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 314
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga:inst|dly_counter[1] LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = a8a8
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 315
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|h_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ccd8
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 316
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|v_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ccd8
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 317
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0808
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 318
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1        LIT INDEX 0 FANOUTS 4
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 319
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0008
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 320
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0   LIT INDEX 0 FANOUTS 6
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f0f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 321
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7        LIT INDEX 0 FANOUTS 3
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 322
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 323
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un13_v_enablelto8_a     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un13_v_enablelto8 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 324
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|un5_v_enablelto5_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un5_v_enablelto3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un5_v_enablelto7  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8880
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 325
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|un17_v_enablelto5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un17_v_enablelto7 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_g0_5_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 326
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|h_enable_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|b_next_0_g0_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un9_v_enablelto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|b_next_0_g0_5     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 327
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 328
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 330
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1       LIT INDEX 0 FANOUTS 21
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff00
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 331
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[9]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c6c6
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 332
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9 LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1f0f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 333
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1    LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 334
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[8]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a5a5
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 335
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[7]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[7]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 336
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[6]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[6]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 337
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[5]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[5]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 338
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[4]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[4]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 339
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[3]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[3]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 340
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0]   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[2]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[2]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 341
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_combout[1]  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[1]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 342
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 343
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|G_2_i       LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 344
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9        LIT INDEX 0 FANOUTS 11
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f7ff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 345
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter  LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 346
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter  LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 347
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 348
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 349
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8   LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 350
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c6c6
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 351
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1      LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 352
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a5a5
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 353
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 354
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 355
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 356
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 357
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 358
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 359
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1]     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 360
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT        LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga:inst|vga_control:vga_control_unit|un2_toggle_counter_next_cout[0]   LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 361
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|dly_counter[0]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|dly_counter[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|G_16 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 362
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|G_16_i      LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 363
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9        LIT INDEX 0 FANOUTS 11
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fff7
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 364
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 365
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa   LIT INDEX 0 FANOUTS 5
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaab
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 366
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8        LIT INDEX 0 FANOUTS 4
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 368
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_3_0 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 369
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_2_0 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 370
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2aaa
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 371
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un10_hsync_counter_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un11_hsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2aaa
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 372
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0ace
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 373
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 374
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un13_v_enablelto8_a       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 01ff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 375
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un5_v_enablelto5_0        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 376
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un5_v_enablelto3  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fe00
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 377
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un17_v_enablelt2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un17_v_enablelto5 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = feee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|b_next_0_g0_3_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 378
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|v_enable_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|b_next_0_g0_3     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0004
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 379
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un9_v_enablelto6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un9_v_enablelto9  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 380
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto10     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 381
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fff7
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 382
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT  LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un2_column_counter_next_cout[0]     LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 383
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9_3      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 384
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_7        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 385
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un13_hsync_counter_2        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 386
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_3        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0020
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 387
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0010
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 388
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto5   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f07
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 389
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT   LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga:inst|vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 390
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_5      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 391
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9_6      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 392
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6        LIT INDEX 0 FANOUTS 3
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 393
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1010
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 394
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = d0f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 395
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un14_vsync_counter_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 70f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 396
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff2a
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 397
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un17_v_enablelt2  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fefe
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 398
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un9_v_enablelto6  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff01
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 399
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_5     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 400
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto10       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 3f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 401
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_1        LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7777
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 402
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglt6_2        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 403
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglt4_2  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 404
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|un15_vsync_counter_3        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0020
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 405
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_driver:vga_driver_unit|vsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_driver:vga_driver_unit|un12_vsync_counter_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2a2a
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 406
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto19_4     LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 407
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 408
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga:inst|vga_control:vga_control_unit|un1_toggle_counter_siglto7_4      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: board_clk -- NON-UNIQUE
+       Atom Hier Name: 
+       Atom Id: 329
+       Atom Type: stratix_io
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    board_clk       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: [PADIO]      board_clk       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 115
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|h_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 116
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|v_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_set_column_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 117
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_column_counter    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_set_line_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 118
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_line_counter      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_set_hsync_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 119
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|d_set_hsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_hsync_counter     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_set_vsync_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 120
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|d_set_vsync_counter       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_vsync_counter     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_r_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 121
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_r     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_g_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 122
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_g     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_b_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 123
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|b       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_b     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_h_enable_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 124
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|h_enable_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_h_enable      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_v_enable_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 125
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|v_enable_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_v_enable      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_state_clk_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 126
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vpll:inst1|altpll:altpll_component|_clk0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_state_clk     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 127
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_sig      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|r0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 128
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|r1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 129
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|r2_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 130
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r2_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|g0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 131
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|g1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 132
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|g2_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 133
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g2_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|b0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 134
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|b       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      b0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|b1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 135
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|b       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      b1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|hsync_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 136
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|h_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      hsync_pin       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|vsync_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 137
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|v_sync    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      vsync_pin       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 138
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[9]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 139
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[8]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 140
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[7]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 141
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[6]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 142
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[5]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 143
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[4]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 144
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[3]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 145
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[2]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 146
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[1]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_column_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 147
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[0]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 148
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[9]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 149
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[8]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 150
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[7]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 151
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[6]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 152
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[5]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 153
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[4]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 154
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[3]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 155
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[2]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 156
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[1]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 157
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[0]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 158
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 159
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 160
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 161
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 162
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 163
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_hsync_state_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 164
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|hsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 165
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[8]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 166
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[7]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 167
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[6]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 168
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[5]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 169
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[4]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 170
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[3]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 171
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[2]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 172
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[1]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_line_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 173
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[0]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_24_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 174
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[24]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_23_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 175
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[23]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_22_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 176
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[22]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_21_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 177
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[21]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_20_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 178
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[20]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_19_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 179
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[19]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_18_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 180
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[18]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_17_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 181
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[17]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_16_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 182
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[16]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_15_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 183
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[15]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_14_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 184
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[14]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 185
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[13]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 186
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[12]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 187
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[11]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 188
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[10]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 189
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[9]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 190
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[8]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 191
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[7]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 192
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[6]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 193
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[5]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 194
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[4]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 195
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[3]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 196
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[2]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 197
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[1]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_toggle_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 198
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[0]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 199
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_9   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[9]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 200
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_8   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[8]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 201
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_7   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[7]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 202
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_6   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[6]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 203
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_5   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[5]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 204
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_4   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[4]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 205
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_3   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[3]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 206
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_2   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[2]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 207
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[1]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 208
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_counter_0   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[0]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 209
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 210
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 211
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 212
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 213
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 214
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|d_vsync_state_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 215
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|vsync_state_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 216
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[13]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 217
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[12]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 218
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[11]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 219
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[10]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 220
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[9]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 221
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[8]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 222
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[7]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 223
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 224
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 225
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 226
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 227
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 228
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|seven_seg_pin_tri_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 229
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga:inst|reset_pin_in -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 312
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [PADIO]      DISCONNECTED
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    reset   LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: [PADIO]      reset   LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE
+       Atom Hier Name: 
+       Atom Id: 409
+       Atom Type: stratix_lcell
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    ~STRATIX_FITTER_CREATED_GND~I   LIT INDEX 0 FANOUTS 19
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: ~DATA0~ -- NON-UNIQUE
+       Atom Hier Name: 
+       Atom Id: 410
+       Atom Type: stratix_io
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      ~DATA0~ LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
diff --git a/bsp4/Designflow/ppr/download/incremental_db/README b/bsp4/Designflow/ppr/download/incremental_db/README
new file mode 100644 (file)
index 0000000..9f62dcd
--- /dev/null
@@ -0,0 +1,11 @@
+This folder contains data for incremental compilation.
+
+The compiled_partitions sub-folder contains previous compilation results for each partition.
+As long as this folder is preserved, incremental compilation results from earlier compiles
+can be re-used.  To perform a clean compilation from source files for all partitions, both
+the db and incremental_db folder should be removed.
+
+The imported_partitions sub-folder contains the last imported QXP for each imported partition.
+As long as this folder is preserved, imported partitions will be automatically re-imported
+when the db or incremental_db/compiled_partitions folders are removed.
+
diff --git a/bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt b/bsp4/Designflow/ppr/download/incremental_db/compiled_partitions/vga_pll.root_partition.map.kpt
new file mode 100644 (file)
index 0000000..6b138c7
--- /dev/null
@@ -0,0 +1,1686 @@
+<kpt_db name="vga_pll.map_bb" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="/">
+    <key_point id="1" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="2" type="register">
+      <name>inst/vga_driver_unit/hsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="3" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="4" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="5" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="6" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_21_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="7" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="8" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_17_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="9" type="register">
+      <name>inst/vga_driver_unit/vsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="10" type="register">
+      <name>inst/vga_driver_unit/h_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="11" type="register">
+      <name>inst/vga_driver_unit/v_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="12" type="register">
+      <name>inst/vga_driver_unit/h_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="13" type="register">
+      <name>inst/vga_driver_unit/vsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="14" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="15" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_15_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="16" type="register">
+      <name>inst/vga_control_unit/b_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="17" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="18" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="19" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="20" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="21" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_13_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="22" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="23" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="24" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="25" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_23_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="26" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="27" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="28" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="29" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="30" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_12_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="31" type="register">
+      <name>inst/vga_driver_unit/vsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="32" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="33" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="34" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="35" type="register">
+      <name>inst/vga_control_unit/g_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="36" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_11_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="37" type="register">
+      <name>inst/dly_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="38" type="register">
+      <name>inst/vga_control_unit/r_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="39" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_10_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="40" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="41" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="42" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="43" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_18_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="44" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_16_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="45" type="register">
+      <name>inst/vga_driver_unit/vsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="46" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="47" type="register">
+      <name>inst/vga_control_unit/toggle_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="48" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="49" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="50" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="51" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_22_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="52" type="register">
+      <name>inst/vga_driver_unit/vsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="53" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="54" type="register">
+      <name>inst/vga_driver_unit/hsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="55" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_24_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="56" type="register">
+      <name>inst/vga_driver_unit/hsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="57" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="58" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_19_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="59" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="60" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="61" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_14_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="62" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_20_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="63" type="register">
+      <name>inst/dly_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="64" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="65" type="register">
+      <name>inst/vga_driver_unit/vsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="66" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="67" type="register">
+      <name>inst/vga_driver_unit/hsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="68" type="register">
+      <name>inst/vga_driver_unit/hsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="69" type="register">
+      <name>inst/vga_driver_unit/hsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="70" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="71" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="72" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="73" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="74" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="75" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="76" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="77" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="78" type="register">
+      <name>inst/vga_driver_unit/hsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="79" type="register">
+      <name>inst/vga_control_unit/toggle_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="80" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="81" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="82" type="register">
+      <name>inst/vga_driver_unit/v_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="83" type="register">
+      <name>inst/vga_driver_unit/vsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="84" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="85" type="register">
+      <name>inst/vga_driver_unit/vsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="86" type="register">
+      <name>inst/vga_driver_unit/hsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="87" type="register">
+      <name>inst/vga_driver_unit/column_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="88" type="register">
+      <name>inst/vga_driver_unit/line_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+    <key_point id="89" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|v_sync</name>
+    </key_point>
+    <key_point id="90" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_9</name>
+    </key_point>
+    <key_point id="91" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_7</name>
+    </key_point>
+    <key_point id="92" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_8</name>
+    </key_point>
+    <key_point id="93" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_5</name>
+    </key_point>
+    <key_point id="94" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_6</name>
+    </key_point>
+    <key_point id="95" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_sig</name>
+    </key_point>
+    <key_point id="96" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_3</name>
+    </key_point>
+    <key_point id="97" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_4</name>
+    </key_point>
+    <key_point id="98" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_1</name>
+    </key_point>
+    <key_point id="99" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_2</name>
+    </key_point>
+    <key_point id="100" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_counter_0</name>
+    </key_point>
+    <key_point id="101" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1</name>
+    </key_point>
+    <key_point id="102" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5</name>
+    </key_point>
+    <key_point id="103" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0</name>
+    </key_point>
+    <key_point id="104" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4</name>
+    </key_point>
+    <key_point id="105" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3</name>
+    </key_point>
+    <key_point id="106" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7</name>
+    </key_point>
+    <key_point id="107" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2</name>
+    </key_point>
+    <key_point id="108" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6</name>
+    </key_point>
+    <key_point id="109" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_2</name>
+    </key_point>
+    <key_point id="110" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_9</name>
+    </key_point>
+    <key_point id="111" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_3</name>
+    </key_point>
+    <key_point id="112" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8</name>
+    </key_point>
+    <key_point id="113" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9</name>
+    </key_point>
+    <key_point id="114" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_0</name>
+    </key_point>
+    <key_point id="115" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_5</name>
+    </key_point>
+    <key_point id="116" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8</name>
+    </key_point>
+    <key_point id="117" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_1</name>
+    </key_point>
+    <key_point id="118" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4</name>
+    </key_point>
+    <key_point id="119" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_4</name>
+    </key_point>
+    <key_point id="120" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7</name>
+    </key_point>
+    <key_point id="121" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_6</name>
+    </key_point>
+    <key_point id="122" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3</name>
+    </key_point>
+    <key_point id="123" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_3</name>
+    </key_point>
+    <key_point id="124" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6</name>
+    </key_point>
+    <key_point id="125" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2</name>
+    </key_point>
+    <key_point id="126" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_2</name>
+    </key_point>
+    <key_point id="127" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_4</name>
+    </key_point>
+    <key_point id="128" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1</name>
+    </key_point>
+    <key_point id="129" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|vsync_state_5</name>
+    </key_point>
+    <key_point id="130" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0</name>
+    </key_point>
+    <key_point id="131" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_6</name>
+    </key_point>
+    <key_point id="132" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1</name>
+    </key_point>
+    <key_point id="133" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0</name>
+    </key_point>
+    <key_point id="134" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5</name>
+    </key_point>
+    <key_point id="135" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_1</name>
+    </key_point>
+    <key_point id="136" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4</name>
+    </key_point>
+    <key_point id="137" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_state_0</name>
+    </key_point>
+    <key_point id="138" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3</name>
+    </key_point>
+    <key_point id="139" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2</name>
+    </key_point>
+    <key_point id="140" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|b</name>
+    </key_point>
+    <key_point id="141" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9</name>
+    </key_point>
+    <key_point id="142" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8</name>
+    </key_point>
+    <key_point id="143" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7</name>
+    </key_point>
+    <key_point id="144" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6</name>
+    </key_point>
+    <key_point id="145" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5</name>
+    </key_point>
+    <key_point id="146" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|v_enable_sig</name>
+    </key_point>
+    <key_point id="147" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|h_enable_sig</name>
+    </key_point>
+    <key_point id="148" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12</name>
+    </key_point>
+    <key_point id="149" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13</name>
+    </key_point>
+    <key_point id="150" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14</name>
+    </key_point>
+    <key_point id="151" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15</name>
+    </key_point>
+    <key_point id="152" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16</name>
+    </key_point>
+    <key_point id="153" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17</name>
+    </key_point>
+    <key_point id="154" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18</name>
+    </key_point>
+    <key_point id="155" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19</name>
+    </key_point>
+    <key_point id="156" type="register">
+      <name>vga:inst|dly_counter[1]</name>
+    </key_point>
+    <key_point id="157" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10</name>
+    </key_point>
+    <key_point id="158" type="register">
+      <name>vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11</name>
+    </key_point>
+    <key_point id="159" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_8</name>
+    </key_point>
+    <key_point id="160" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_7</name>
+    </key_point>
+    <key_point id="161" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_6</name>
+    </key_point>
+    <key_point id="162" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_5</name>
+    </key_point>
+    <key_point id="163" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_4</name>
+    </key_point>
+    <key_point id="164" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_3</name>
+    </key_point>
+    <key_point id="165" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_2</name>
+    </key_point>
+    <key_point id="166" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_1</name>
+    </key_point>
+    <key_point id="167" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|hsync_counter_0</name>
+    </key_point>
+    <key_point id="168" type="register">
+      <name>vga:inst|vga_driver:vga_driver_unit|h_sync</name>
+    </key_point>
+    <key_point id="169" type="register">
+      <name>vga:inst|dly_counter[0]</name>
+    </key_point>
+  </key_points_set>
+  <transformations_set hier_sep="|">
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="59" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="141" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="57" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="164" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="65" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="109" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="9" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="114" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="61" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="150" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="77" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="98" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="71" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="142" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="46" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="105" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="79" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="124" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="14" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="90" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="75" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="165" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="68" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="131" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="15" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="151" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="32" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="100" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="12" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="168" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="26" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="104" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="13" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="129" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="66" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="120" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="45" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="127" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="60" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="92" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="67" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="135" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="8" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="153" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="47" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="95" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="78" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="119" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="34" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="130" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="2" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="137" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="44" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="152" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="81" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="110" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="43" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="154" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="23" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="102" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="73" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="125" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="18" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="116" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="83" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="91" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="4" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="133" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="49" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="128" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="20" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="159" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="53" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="108" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="70" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="122" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="22" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="113" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="80" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="94" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="58" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="155" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="29" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="132" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="10" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="147" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="52" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="111" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="54" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="126" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="17" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="160" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="63" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="169" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="88" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="106" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="74" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="118" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="39" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="157" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="19" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="93" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="11" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="146" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="84" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="161" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="76" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="112" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="27" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="145" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="36" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="158" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="7" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="97" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="42" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="103" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="72" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="167" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="41" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="138" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="64" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="162" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="86" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="166" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="85" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="121" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="3" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="139" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="1" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="144" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="37" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="156" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="30" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="148" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="24" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="96" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="56" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="115" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="28" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="101" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="50" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="136" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="40" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="163" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="69" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="123" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="16" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="140" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="31" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="117" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="82" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="89" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="87" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="143" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="21" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="149" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="48" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="99" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="33" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="107" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="5" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="134" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.sft
new file mode 100644 (file)
index 0000000..5aed62e
--- /dev/null
@@ -0,0 +1,4 @@
+set tool_name "ModelSim-Altera (Verilog)"
+set corner_file_list {
+       {{"Slow Model"} {vga_pll.vo vga_pll_v.sdo}}
+}
diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo
new file mode 100644 (file)
index 0000000..b367998
--- /dev/null
@@ -0,0 +1,11281 @@
+// Copyright (C) 1991-2009 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions 
+// and other software and tools, and its AMPP partner logic 
+// functions, and any output files from any of the foregoing 
+// (including device programming or simulation files), and any 
+// associated documentation or information are expressly subject 
+// to the terms and conditions of the Altera Program License 
+// Subscription Agreement, Altera MegaCore Function License 
+// Agreement, or other applicable license agreement, including, 
+// without limitation, that your use is for the sole purpose of 
+// programming logic devices manufactured by Altera and sold by 
+// Altera or its authorized distributors.  Please refer to the 
+// applicable agreement for further details.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus II"
+// VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version"
+
+// DATE "11/03/2009 17:37:43"
+
+// 
+// Device: Altera EP1S25F672C6 Package FBGA672
+// 
+
+// 
+// This Verilog file should be used for ModelSim-Altera (Verilog) only
+// 
+
+`timescale 1 ps/ 1 ps
+
+module vga_pll (
+       d_hsync,
+       board_clk,
+       reset,
+       d_vsync,
+       d_set_column_counter,
+       d_set_line_counter,
+       d_set_hsync_counter,
+       d_set_vsync_counter,
+       d_r,
+       d_g,
+       d_b,
+       d_h_enable,
+       d_v_enable,
+       d_state_clk,
+       d_toggle,
+       r0_pin,
+       r1_pin,
+       r2_pin,
+       g0_pin,
+       g1_pin,
+       g2_pin,
+       b0_pin,
+       b1_pin,
+       hsync_pin,
+       vsync_pin,
+       d_column_counter,
+       d_hsync_counter,
+       d_hsync_state,
+       d_line_counter,
+       d_toggle_counter,
+       d_vsync_counter,
+       d_vsync_state,
+       seven_seg_pin);
+output         d_hsync;
+input  board_clk;
+input  reset;
+output         d_vsync;
+output         d_set_column_counter;
+output         d_set_line_counter;
+output         d_set_hsync_counter;
+output         d_set_vsync_counter;
+output         d_r;
+output         d_g;
+output         d_b;
+output         d_h_enable;
+output         d_v_enable;
+output         d_state_clk;
+output         d_toggle;
+output         r0_pin;
+output         r1_pin;
+output         r2_pin;
+output         g0_pin;
+output         g1_pin;
+output         g2_pin;
+output         b0_pin;
+output         b1_pin;
+output         hsync_pin;
+output         vsync_pin;
+output         [9:0] d_column_counter;
+output         [9:0] d_hsync_counter;
+output         [0:6] d_hsync_state;
+output         [8:0] d_line_counter;
+output         [24:0] d_toggle_counter;
+output         [9:0] d_vsync_counter;
+output         [0:6] d_vsync_state;
+output         [13:0] seven_seg_pin;
+
+wire gnd = 1'b0;
+wire vcc = 1'b1;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+// synopsys translate_off
+initial $sdf_annotate("vga_pll_v.sdo");
+// synopsys translate_on
+
+wire \inst1|altpll_component|pll~CLK1 ;
+wire \inst1|altpll_component|pll~CLK2 ;
+wire \inst1|altpll_component|pll~CLK3 ;
+wire \inst1|altpll_component|pll~CLK4 ;
+wire \inst1|altpll_component|pll~CLK5 ;
+wire \inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ;
+wire \inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ;
+wire \board_clk~combout ;
+wire \inst1|altpll_component|_clk0 ;
+wire \reset~combout ;
+wire \inst|vga_driver_unit|un6_dly_counter_0_x ;
+wire \inst|vga_driver_unit|hsync_state_6 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ;
+wire \inst|vga_driver_unit|hsync_counter_1 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ;
+wire \inst|vga_driver_unit|hsync_counter_2 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ;
+wire \inst|vga_driver_unit|hsync_counter_3 ;
+wire \inst|vga_driver_unit|un13_hsync_counter_7 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ;
+wire \inst|vga_driver_unit|hsync_counter_4 ;
+wire \inst|vga_driver_unit|hsync_counter_5 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ;
+wire \inst|vga_driver_unit|hsync_counter_6 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ;
+wire \inst|vga_driver_unit|hsync_counter_8 ;
+wire \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ;
+wire \inst|vga_driver_unit|hsync_counter_9 ;
+wire \inst|vga_driver_unit|un9_hsync_counterlt9_3 ;
+wire \inst|vga_driver_unit|un9_hsync_counterlt9 ;
+wire \inst|vga_driver_unit|G_2_i ;
+wire \inst|vga_driver_unit|hsync_counter_7 ;
+wire \inst|vga_driver_unit|un13_hsync_counter_2 ;
+wire \inst|vga_driver_unit|un13_hsync_counter ;
+wire \inst|vga_driver_unit|un11_hsync_counter_3 ;
+wire \inst|vga_driver_unit|un11_hsync_counter_2 ;
+wire \inst|vga_driver_unit|un10_hsync_counter_1 ;
+wire \inst|vga_driver_unit|un10_hsync_counter_4 ;
+wire \inst|vga_driver_unit|un10_hsync_counter_3 ;
+wire \inst|vga_driver_unit|hsync_state_5 ;
+wire \inst|vga_driver_unit|hsync_state_4 ;
+wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ;
+wire \inst|vga_driver_unit|hsync_state_1 ;
+wire \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ;
+wire \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ;
+wire \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ;
+wire \inst|vga_driver_unit|hsync_state_2 ;
+wire \inst|vga_driver_unit|hsync_state_0 ;
+wire \inst|vga_driver_unit|d_set_hsync_counter ;
+wire \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ;
+wire \inst|vga_driver_unit|hsync_counter_0 ;
+wire \inst|vga_driver_unit|un12_hsync_counter_4 ;
+wire \inst|vga_driver_unit|un12_hsync_counter_3 ;
+wire \inst|vga_driver_unit|un12_hsync_counter ;
+wire \inst|vga_driver_unit|hsync_state_3 ;
+wire \inst|vga_driver_unit|un1_hsync_state_3_0 ;
+wire \inst|vga_driver_unit|h_sync_1_0_0_0_g1 ;
+wire \inst|vga_driver_unit|h_sync ;
+wire \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ;
+wire \inst|vga_driver_unit|vsync_counter_1 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ;
+wire \inst|vga_driver_unit|vsync_counter_2 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ;
+wire \inst|vga_driver_unit|vsync_counter_3 ;
+wire \inst|vga_driver_unit|un9_vsync_counterlt9_6 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ;
+wire \inst|vga_driver_unit|vsync_counter_5 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ;
+wire \inst|vga_driver_unit|vsync_counter_6 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ;
+wire \inst|vga_driver_unit|vsync_counter_7 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ;
+wire \inst|vga_driver_unit|vsync_counter_8 ;
+wire \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ;
+wire \inst|vga_driver_unit|vsync_counter_9 ;
+wire \inst|vga_driver_unit|un9_vsync_counterlt9_5 ;
+wire \inst|vga_driver_unit|un9_vsync_counterlt9 ;
+wire \inst|vga_driver_unit|vsync_state_6 ;
+wire \inst|vga_driver_unit|G_16_i ;
+wire \inst|vga_driver_unit|vsync_counter_4 ;
+wire \inst|vga_driver_unit|un12_vsync_counter_7 ;
+wire \inst|vga_driver_unit|un12_vsync_counter_6 ;
+wire \inst|vga_driver_unit|un14_vsync_counter_8 ;
+wire \inst|vga_driver_unit|vsync_state_5 ;
+wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ;
+wire \inst|vga_driver_unit|vsync_state_4 ;
+wire \inst|vga_driver_unit|un13_vsync_counter_3 ;
+wire \inst|vga_driver_unit|un13_vsync_counter_4 ;
+wire \inst|vga_driver_unit|vsync_state_1 ;
+wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ;
+wire \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ;
+wire \inst|vga_driver_unit|un15_vsync_counter_3 ;
+wire \inst|vga_driver_unit|un15_vsync_counter_4 ;
+wire \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ;
+wire \inst|vga_driver_unit|vsync_state_next_2_sqmuxa ;
+wire \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ;
+wire \inst|vga_driver_unit|vsync_state_0 ;
+wire \inst|vga_driver_unit|d_set_vsync_counter ;
+wire \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ;
+wire \inst|vga_driver_unit|vsync_counter_0 ;
+wire \inst|vga_driver_unit|vsync_state_3 ;
+wire \inst|vga_driver_unit|vsync_state_2 ;
+wire \inst|vga_driver_unit|un1_vsync_state_2_0 ;
+wire \inst|vga_driver_unit|v_sync_1_0_0_0_g1 ;
+wire \inst|vga_driver_unit|v_sync ;
+wire \~STRATIX_FITTER_CREATED_GND~I_combout ;
+wire \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ;
+wire \inst|vga_driver_unit|column_counter_sig_0 ;
+wire \inst|vga_driver_unit|column_counter_sig_1 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ;
+wire \inst|vga_driver_unit|column_counter_sig_3 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ;
+wire \inst|vga_driver_unit|column_counter_sig_4 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ;
+wire \inst|vga_driver_unit|column_counter_sig_5 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ;
+wire \inst|vga_driver_unit|column_counter_sig_6 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ;
+wire \inst|vga_driver_unit|column_counter_sig_7 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ;
+wire \inst|vga_driver_unit|column_counter_sig_8 ;
+wire \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ;
+wire \inst|vga_driver_unit|column_counter_sig_9 ;
+wire \inst|vga_driver_unit|un10_column_counter_siglt6_2 ;
+wire \inst|vga_driver_unit|un10_column_counter_siglt6_1 ;
+wire \inst|vga_driver_unit|un10_column_counter_siglt6 ;
+wire \inst|vga_driver_unit|un10_column_counter_siglto9 ;
+wire \inst|vga_driver_unit|column_counter_sig_2 ;
+wire \inst|vga_control_unit|un5_v_enablelto3 ;
+wire \inst|vga_control_unit|un5_v_enablelto5_0 ;
+wire \inst|vga_control_unit|un5_v_enablelto7 ;
+wire \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ;
+wire \inst|vga_driver_unit|line_counter_sig_0 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ;
+wire \inst|vga_driver_unit|line_counter_sig_2 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ;
+wire \inst|vga_driver_unit|line_counter_sig_1 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ;
+wire \inst|vga_driver_unit|line_counter_sig_3 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ;
+wire \inst|vga_driver_unit|line_counter_sig_4 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ;
+wire \inst|vga_driver_unit|line_counter_sig_5 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ;
+wire \inst|vga_driver_unit|line_counter_sig_7 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ;
+wire \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ;
+wire \inst|vga_driver_unit|line_counter_sig_8 ;
+wire \inst|vga_driver_unit|un10_line_counter_siglt4_2 ;
+wire \inst|vga_driver_unit|un10_line_counter_siglto5 ;
+wire \inst|vga_driver_unit|un10_line_counter_siglto8 ;
+wire \inst|vga_driver_unit|line_counter_sig_6 ;
+wire \inst|vga_control_unit|un17_v_enablelt2 ;
+wire \inst|vga_control_unit|un17_v_enablelto5 ;
+wire \inst|vga_control_unit|un17_v_enablelto7 ;
+wire \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ;
+wire \inst|vga_driver_unit|v_enable_sig ;
+wire \inst|vga_control_unit|b_next_0_g0_3 ;
+wire \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ;
+wire \inst|vga_driver_unit|h_enable_sig ;
+wire \inst|vga_control_unit|un9_v_enablelto6 ;
+wire \inst|vga_control_unit|un9_v_enablelto9 ;
+wire \inst|vga_control_unit|toggle_counter_sig_0 ;
+wire \inst|vga_control_unit|toggle_counter_sig_1 ;
+wire \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ;
+wire \inst|vga_control_unit|toggle_counter_sig_2 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ;
+wire \inst|vga_control_unit|toggle_counter_sig_3 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ;
+wire \inst|vga_control_unit|toggle_counter_sig_4 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ;
+wire \inst|vga_control_unit|toggle_counter_sig_5 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ;
+wire \inst|vga_control_unit|toggle_counter_sig_7 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ;
+wire \inst|vga_control_unit|toggle_counter_sig_6 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ;
+wire \inst|vga_control_unit|toggle_counter_sig_9 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ;
+wire \inst|vga_control_unit|toggle_counter_sig_8 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto7_4 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto7 ;
+wire \inst|vga_control_unit|toggle_counter_sig_11 ;
+wire \inst|vga_control_unit|toggle_counter_sig_10 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto10 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ;
+wire \inst|vga_control_unit|toggle_counter_sig_12 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ;
+wire \inst|vga_control_unit|toggle_counter_sig_13 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ;
+wire \inst|vga_control_unit|toggle_counter_sig_15 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ;
+wire \inst|vga_control_unit|toggle_counter_sig_14 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ;
+wire \inst|vga_control_unit|toggle_counter_sig_17 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ;
+wire \inst|vga_control_unit|toggle_counter_sig_16 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ;
+wire \inst|vga_control_unit|toggle_counter_sig_18 ;
+wire \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ;
+wire \inst|vga_control_unit|toggle_counter_sig_19 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto19_4 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto19_5 ;
+wire \inst|vga_control_unit|un1_toggle_counter_siglto19 ;
+wire \inst|vga_control_unit|toggle_sig_0_0_0_g1 ;
+wire \inst|vga_control_unit|toggle_sig ;
+wire \inst|vga_control_unit|b_next_0_g0_5 ;
+wire \inst|vga_control_unit|un13_v_enablelto8_a ;
+wire \inst|vga_control_unit|un13_v_enablelto8 ;
+wire \inst|vga_control_unit|b ;
+wire [17:1] \inst|vga_control_unit|toggle_counter_sig_cout ;
+wire [0:0] \inst|vga_control_unit|un2_toggle_counter_next_cout ;
+wire [8:0] \inst|vga_driver_unit|hsync_counter_cout ;
+wire [1:1] \inst|vga_driver_unit|un1_line_counter_sig_a_cout ;
+wire [9:1] \inst|vga_driver_unit|un1_line_counter_sig_combout ;
+wire [7:1] \inst|vga_driver_unit|un1_line_counter_sig_cout ;
+wire [9:1] \inst|vga_driver_unit|un2_column_counter_next_combout ;
+wire [7:0] \inst|vga_driver_unit|un2_column_counter_next_cout ;
+wire [8:0] \inst|vga_driver_unit|vsync_counter_cout ;
+wire [1:0] \inst|dly_counter ;
+
+wire [5:0] \inst1|altpll_component|pll_CLK_bus ;
+
+assign \inst1|altpll_component|_clk0  = \inst1|altpll_component|pll_CLK_bus [0];
+assign \inst1|altpll_component|pll~CLK1  = \inst1|altpll_component|pll_CLK_bus [1];
+assign \inst1|altpll_component|pll~CLK2  = \inst1|altpll_component|pll_CLK_bus [2];
+assign \inst1|altpll_component|pll~CLK3  = \inst1|altpll_component|pll_CLK_bus [3];
+assign \inst1|altpll_component|pll~CLK4  = \inst1|altpll_component|pll_CLK_bus [4];
+assign \inst1|altpll_component|pll~CLK5  = \inst1|altpll_component|pll_CLK_bus [5];
+
+// atom is at PIN_N3
+stratix_io \board_clk~I (
+       .datain(gnd),
+       .ddiodatain(gnd),
+       .oe(gnd),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(\board_clk~combout ),
+       .regout(),
+       .ddioregout(),
+       .padio(board_clk),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \board_clk~I .ddio_mode = "none";
+defparam \board_clk~I .input_async_reset = "none";
+defparam \board_clk~I .input_power_up = "low";
+defparam \board_clk~I .input_register_mode = "none";
+defparam \board_clk~I .input_sync_reset = "none";
+defparam \board_clk~I .oe_async_reset = "none";
+defparam \board_clk~I .oe_power_up = "low";
+defparam \board_clk~I .oe_register_mode = "none";
+defparam \board_clk~I .oe_sync_reset = "none";
+defparam \board_clk~I .operation_mode = "input";
+defparam \board_clk~I .output_async_reset = "none";
+defparam \board_clk~I .output_power_up = "low";
+defparam \board_clk~I .output_register_mode = "none";
+defparam \board_clk~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PLL_1
+stratix_pll \inst1|altpll_component|pll (
+       .fbin(vcc),
+       .ena(vcc),
+       .clkswitch(gnd),
+       .areset(gnd),
+       .pfdena(vcc),
+       .scanclk(gnd),
+       .scanaclr(gnd),
+       .scandata(gnd),
+       .comparator(gnd),
+       .inclk({gnd,\board_clk~combout }),
+       .clkena(6'b111111),
+       .extclkena(4'b1111),
+       .activeclock(),
+       .clkloss(),
+       .locked(),
+       .scandataout(),
+       .enable0(),
+       .enable1(),
+       .clk(\inst1|altpll_component|pll_CLK_bus ),
+       .extclk(),
+       .clkbad());
+// synopsys translate_off
+defparam \inst1|altpll_component|pll .clk0_counter = "g0";
+defparam \inst1|altpll_component|pll .clk0_divide_by = 38;
+defparam \inst1|altpll_component|pll .clk0_duty_cycle = 50;
+defparam \inst1|altpll_component|pll .clk0_multiply_by = 31;
+defparam \inst1|altpll_component|pll .clk0_phase_shift = "-725";
+defparam \inst1|altpll_component|pll .clk1_divide_by = 1;
+defparam \inst1|altpll_component|pll .clk1_duty_cycle = 50;
+defparam \inst1|altpll_component|pll .clk1_multiply_by = 1;
+defparam \inst1|altpll_component|pll .clk1_phase_shift = "0";
+defparam \inst1|altpll_component|pll .clk2_divide_by = 1;
+defparam \inst1|altpll_component|pll .clk2_duty_cycle = 50;
+defparam \inst1|altpll_component|pll .clk2_multiply_by = 1;
+defparam \inst1|altpll_component|pll .clk2_phase_shift = "0";
+defparam \inst1|altpll_component|pll .compensate_clock = "clk0";
+defparam \inst1|altpll_component|pll .enable_switch_over_counter = "off";
+defparam \inst1|altpll_component|pll .g0_high = 10;
+defparam \inst1|altpll_component|pll .g0_initial = 1;
+defparam \inst1|altpll_component|pll .g0_low = 9;
+defparam \inst1|altpll_component|pll .g0_mode = "odd";
+defparam \inst1|altpll_component|pll .g0_ph = 0;
+defparam \inst1|altpll_component|pll .gate_lock_counter = 0;
+defparam \inst1|altpll_component|pll .gate_lock_signal = "no";
+defparam \inst1|altpll_component|pll .inclk0_input_frequency = 30003;
+defparam \inst1|altpll_component|pll .inclk1_input_frequency = 30003;
+defparam \inst1|altpll_component|pll .invalid_lock_multiplier = 5;
+defparam \inst1|altpll_component|pll .l0_high = 13;
+defparam \inst1|altpll_component|pll .l0_initial = 1;
+defparam \inst1|altpll_component|pll .l0_low = 13;
+defparam \inst1|altpll_component|pll .l0_mode = "even";
+defparam \inst1|altpll_component|pll .l0_ph = 0;
+defparam \inst1|altpll_component|pll .l1_mode = "bypass";
+defparam \inst1|altpll_component|pll .l1_ph = 0;
+defparam \inst1|altpll_component|pll .m = 31;
+defparam \inst1|altpll_component|pll .m_initial = 1;
+defparam \inst1|altpll_component|pll .m_ph = 3;
+defparam \inst1|altpll_component|pll .n = 2;
+defparam \inst1|altpll_component|pll .operation_mode = "normal";
+defparam \inst1|altpll_component|pll .pfd_max = 100000;
+defparam \inst1|altpll_component|pll .pfd_min = 2000;
+defparam \inst1|altpll_component|pll .pll_compensation_delay = 1713;
+defparam \inst1|altpll_component|pll .pll_type = "fast";
+defparam \inst1|altpll_component|pll .primary_clock = "inclk0";
+defparam \inst1|altpll_component|pll .qualify_conf_done = "off";
+defparam \inst1|altpll_component|pll .simulation_type = "timing";
+defparam \inst1|altpll_component|pll .skip_vco = "off";
+defparam \inst1|altpll_component|pll .switch_over_counter = 1;
+defparam \inst1|altpll_component|pll .switch_over_on_gated_lock = "off";
+defparam \inst1|altpll_component|pll .switch_over_on_lossclk = "off";
+defparam \inst1|altpll_component|pll .valid_lock_multiplier = 1;
+defparam \inst1|altpll_component|pll .vco_center = 1250;
+defparam \inst1|altpll_component|pll .vco_max = 3334;
+defparam \inst1|altpll_component|pll .vco_min = 1000;
+// synopsys translate_on
+
+// atom is at PIN_A5
+stratix_io \inst|reset_pin_in~I (
+       .datain(gnd),
+       .ddiodatain(gnd),
+       .oe(gnd),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(\reset~combout ),
+       .regout(),
+       .ddioregout(),
+       .padio(reset),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|reset_pin_in~I .ddio_mode = "none";
+defparam \inst|reset_pin_in~I .input_async_reset = "none";
+defparam \inst|reset_pin_in~I .input_power_up = "low";
+defparam \inst|reset_pin_in~I .input_register_mode = "none";
+defparam \inst|reset_pin_in~I .input_sync_reset = "none";
+defparam \inst|reset_pin_in~I .oe_async_reset = "none";
+defparam \inst|reset_pin_in~I .oe_power_up = "low";
+defparam \inst|reset_pin_in~I .oe_register_mode = "none";
+defparam \inst|reset_pin_in~I .oe_sync_reset = "none";
+defparam \inst|reset_pin_in~I .operation_mode = "input";
+defparam \inst|reset_pin_in~I .output_async_reset = "none";
+defparam \inst|reset_pin_in~I .output_power_up = "low";
+defparam \inst|reset_pin_in~I .output_register_mode = "none";
+defparam \inst|reset_pin_in~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N6
+stratix_lcell \inst|dly_counter_1_ (
+// Equation(s):
+// \inst|dly_counter [1] = DFFEAS(\reset~combout  & (\inst|dly_counter [0] # \inst|dly_counter [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\reset~combout ),
+       .datac(\inst|dly_counter [0]),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|dly_counter [1]),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|dly_counter_1_ .lut_mask = "ccc0";
+defparam \inst|dly_counter_1_ .operation_mode = "normal";
+defparam \inst|dly_counter_1_ .output_mode = "reg_only";
+defparam \inst|dly_counter_1_ .register_cascade_mode = "off";
+defparam \inst|dly_counter_1_ .sum_lutc_input = "datac";
+defparam \inst|dly_counter_1_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N4
+stratix_lcell \inst|dly_counter_0_ (
+// Equation(s):
+// \inst|dly_counter [0] = DFFEAS(\reset~combout  & (\inst|dly_counter [1] # !\inst|dly_counter [0]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\reset~combout ),
+       .datab(\inst|dly_counter [0]),
+       .datac(vcc),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|dly_counter [0]),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|dly_counter_0_ .lut_mask = "aa22";
+defparam \inst|dly_counter_0_ .operation_mode = "normal";
+defparam \inst|dly_counter_0_ .output_mode = "reg_only";
+defparam \inst|dly_counter_0_ .register_cascade_mode = "off";
+defparam \inst|dly_counter_0_ .sum_lutc_input = "datac";
+defparam \inst|dly_counter_0_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N0
+stratix_lcell \inst|vga_driver_unit|vsync_state_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|un6_dly_counter_0_x  = !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout 
+// \inst|vga_driver_unit|vsync_state_6  = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\reset~combout ),
+       .datac(\inst|dly_counter [0]),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .regout(\inst|vga_driver_unit|vsync_state_6 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_6_ .lut_mask = "3fff";
+defparam \inst|vga_driver_unit|vsync_state_6_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_6_ .output_mode = "reg_and_comb";
+defparam \inst|vga_driver_unit|vsync_state_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_6_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_6_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X18_Y42_N5
+stratix_lcell \inst|vga_driver_unit|hsync_state_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|d_set_hsync_counter  = E1_hsync_state_6 # \inst|vga_driver_unit|hsync_state_0 
+// \inst|vga_driver_unit|hsync_state_6  = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|un6_dly_counter_0_x , , , VCC)
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .datad(\inst|vga_driver_unit|hsync_state_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(vcc),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .regout(\inst|vga_driver_unit|hsync_state_6 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_6_ .lut_mask = "fff0";
+defparam \inst|vga_driver_unit|hsync_state_6_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_6_ .output_mode = "reg_and_comb";
+defparam \inst|vga_driver_unit|hsync_state_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_6_ .sum_lutc_input = "qfbk";
+defparam \inst|vga_driver_unit|hsync_state_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N0
+stratix_lcell \inst|vga_driver_unit|hsync_counter_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_0  = DFFEAS(!\inst|vga_driver_unit|hsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , 
+// !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|hsync_counter_0 )
+// \inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10  = CARRY(\inst|vga_driver_unit|hsync_counter_0 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_counter_0 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_0 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [0]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_0_ .lut_mask = "33cc";
+defparam \inst|vga_driver_unit|hsync_counter_0_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_counter_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N1
+stratix_lcell \inst|vga_driver_unit|hsync_counter_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_1  = DFFEAS(\inst|vga_driver_unit|hsync_counter_1  $ \inst|vga_driver_unit|hsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [0] # !\inst|vga_driver_unit|hsync_counter_1 )
+// \inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12  = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10  # !\inst|vga_driver_unit|hsync_counter_1 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_counter_1 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [0]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[0]~COUT1_10 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_1 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [1]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_1_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .lut_mask = "3c3f";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N2
+stratix_lcell \inst|vga_driver_unit|hsync_counter_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_2  = DFFEAS(\inst|vga_driver_unit|hsync_counter_2  $ (!\inst|vga_driver_unit|hsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|hsync_counter_2  & (!\inst|vga_driver_unit|hsync_counter_cout [1]))
+// \inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14  = CARRY(\inst|vga_driver_unit|hsync_counter_2  & (!\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|hsync_counter_2 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [1]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[1]~COUT1_12 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_2 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [2]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_2_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N3
+stratix_lcell \inst|vga_driver_unit|hsync_counter_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_3  = DFFEAS(\inst|vga_driver_unit|hsync_counter_3  $ (\inst|vga_driver_unit|hsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [2] # !\inst|vga_driver_unit|hsync_counter_3 )
+// \inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16  = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14  # !\inst|vga_driver_unit|hsync_counter_3 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [2]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[2]~COUT1_14 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_3 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [3]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_3_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .lut_mask = "5a5f";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N7
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 (
+// Equation(s):
+// \inst|vga_driver_unit|un13_hsync_counter_7  = \inst|vga_driver_unit|hsync_counter_1  & \inst|vga_driver_unit|hsync_counter_2  & \inst|vga_driver_unit|hsync_counter_3  & \inst|vga_driver_unit|hsync_counter_0 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_1 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_3 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un13_hsync_counter_7 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .lut_mask = "8000";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N4
+stratix_lcell \inst|vga_driver_unit|hsync_counter_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_4  = DFFEAS(\inst|vga_driver_unit|hsync_counter_4  $ (!\inst|vga_driver_unit|hsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|hsync_counter_4  & (!\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|hsync_counter_4 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [3]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[3]~COUT1_16 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_4 ),
+       .cout(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_4_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N5
+stratix_lcell \inst|vga_driver_unit|hsync_counter_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_5  = DFFEAS(\inst|vga_driver_unit|hsync_counter_5  $ \inst|vga_driver_unit|hsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 )
+// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18  = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [4] # !\inst|vga_driver_unit|hsync_counter_5 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_counter_5 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_5 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [5]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_5_ .cin_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .lut_mask = "3c3f";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N6
+stratix_lcell \inst|vga_driver_unit|hsync_counter_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_6  = DFFEAS(\inst|vga_driver_unit|hsync_counter_6  $ !(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [5]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & 
+// \inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|hsync_counter_6  & !\inst|vga_driver_unit|hsync_counter_cout [5])
+// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20  = CARRY(\inst|vga_driver_unit|hsync_counter_6  & !\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [5]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[5]~COUT1_18 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_6 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [6]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_6_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .cin_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .lut_mask = "c30c";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N7
+stratix_lcell \inst|vga_driver_unit|hsync_counter_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_7  = DFFEAS(\inst|vga_driver_unit|hsync_counter_7  $ ((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [6]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & 
+// \inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|hsync_counter_cout [6] # !\inst|vga_driver_unit|hsync_counter_7 )
+// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22  = CARRY(!\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20  # !\inst|vga_driver_unit|hsync_counter_7 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [6]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[6]~COUT1_20 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_7 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [7]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_7_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .cin_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .lut_mask = "5a5f";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_7_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N8
+stratix_lcell \inst|vga_driver_unit|hsync_counter_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_8  = DFFEAS(\inst|vga_driver_unit|hsync_counter_8  $ (!(!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [7]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & 
+// \inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+// \inst|vga_driver_unit|hsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|hsync_counter_8  & (!\inst|vga_driver_unit|hsync_counter_cout [7]))
+// \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24  = CARRY(\inst|vga_driver_unit|hsync_counter_8  & (!\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|hsync_counter_8 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [7]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[7]~COUT1_22 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_8 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|hsync_counter_cout [8]),
+       .cout1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_8_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .cin_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_8_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X21_Y42_N9
+stratix_lcell \inst|vga_driver_unit|hsync_counter_9_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_9  = DFFEAS((!\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout [8]) # (\inst|vga_driver_unit|hsync_counter_cout [4] & \inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ) $ 
+// \inst|vga_driver_unit|hsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_2_i , !\inst|vga_driver_unit|un9_hsync_counterlt9 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .datad(\inst|vga_driver_unit|hsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_2_i ),
+       .sload(!\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|hsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|hsync_counter_cout [8]),
+       .cin1(\inst|vga_driver_unit|hsync_counter_cout[8]~COUT1_24 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_counter_9 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_9_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .cin_used = "true";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .lut_mask = "0ff0";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|hsync_counter_9_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N4
+stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un9_hsync_counterlt9_3  = !\inst|vga_driver_unit|hsync_counter_4  # !\inst|vga_driver_unit|hsync_counter_7  # !\inst|vga_driver_unit|hsync_counter_6  # !\inst|vga_driver_unit|hsync_counter_5 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_5 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .lut_mask = "7fff";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N5
+stratix_lcell \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 (
+// Equation(s):
+// \inst|vga_driver_unit|un9_hsync_counterlt9  = \inst|vga_driver_unit|un9_hsync_counterlt9_3  # !\inst|vga_driver_unit|hsync_counter_8  # !\inst|vga_driver_unit|hsync_counter_9  # !\inst|vga_driver_unit|un13_hsync_counter_7 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un13_hsync_counter_7 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_9 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_8 ),
+       .datad(\inst|vga_driver_unit|un9_hsync_counterlt9_3 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .lut_mask = "ff7f";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N0
+stratix_lcell \inst|vga_driver_unit|G_2 (
+// Equation(s):
+// \inst|vga_driver_unit|G_2_i  = !\inst|vga_driver_unit|hsync_state_6  & !\inst|vga_driver_unit|hsync_state_0  & !\inst|vga_driver_unit|un6_dly_counter_0_x  # !\inst|vga_driver_unit|un9_hsync_counterlt9 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_state_6 ),
+       .datab(\inst|vga_driver_unit|hsync_state_0 ),
+       .datac(\inst|vga_driver_unit|un9_hsync_counterlt9 ),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|G_2_i ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|G_2 .lut_mask = "0f1f";
+defparam \inst|vga_driver_unit|G_2 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|G_2 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|G_2 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|G_2 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|G_2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N1
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 (
+// Equation(s):
+// \inst|vga_driver_unit|un13_hsync_counter_2  = !\inst|vga_driver_unit|hsync_counter_5  & \inst|vga_driver_unit|hsync_counter_8  & \inst|vga_driver_unit|hsync_counter_9  & \inst|vga_driver_unit|hsync_counter_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_5 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_8 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_9 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un13_hsync_counter_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .lut_mask = "4000";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N6
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter (
+// Equation(s):
+// \inst|vga_driver_unit|un13_hsync_counter  = !\inst|vga_driver_unit|hsync_counter_7  & \inst|vga_driver_unit|un13_hsync_counter_2  & \inst|vga_driver_unit|un13_hsync_counter_7  & !\inst|vga_driver_unit|hsync_counter_6 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datab(\inst|vga_driver_unit|un13_hsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|un13_hsync_counter_7 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un13_hsync_counter ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .lut_mask = "0040";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N3
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un11_hsync_counter_3  = !\inst|vga_driver_unit|hsync_counter_3  & \inst|vga_driver_unit|hsync_counter_0  & \inst|vga_driver_unit|hsync_counter_1  & !\inst|vga_driver_unit|hsync_counter_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_0 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_1 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un11_hsync_counter_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .lut_mask = "0040";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N6
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 (
+// Equation(s):
+// \inst|vga_driver_unit|un11_hsync_counter_2  = !\inst|vga_driver_unit|hsync_counter_6  & \inst|vga_driver_unit|hsync_counter_7  & \inst|vga_driver_unit|hsync_counter_2 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_6 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_2 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un11_hsync_counter_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .lut_mask = "4040";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N8
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_hsync_counter_1  = !\inst|vga_driver_unit|hsync_counter_9  & (!\inst|vga_driver_unit|hsync_counter_8  & !\inst|vga_driver_unit|hsync_counter_5 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_9 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_counter_8 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_hsync_counter_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .lut_mask = "0005";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N0
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_hsync_counter_4  = \inst|vga_driver_unit|hsync_counter_3  & \inst|vga_driver_unit|hsync_counter_1  & \inst|vga_driver_unit|hsync_counter_6  & \inst|vga_driver_unit|hsync_counter_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_3 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_1 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_6 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_hsync_counter_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .lut_mask = "8000";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N1
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_hsync_counter_3  = !\inst|vga_driver_unit|hsync_counter_7  & !\inst|vga_driver_unit|hsync_counter_2  & !\inst|vga_driver_unit|hsync_counter_0 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_2 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_hsync_counter_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .lut_mask = "0003";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N2
+stratix_lcell \inst|vga_driver_unit|hsync_state_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_5  = DFFEAS(\inst|vga_driver_unit|hsync_state_0  # \inst|vga_driver_unit|hsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_state_0 ),
+       .datad(\inst|vga_driver_unit|hsync_state_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_state_5 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_5_ .lut_mask = "fff0";
+defparam \inst|vga_driver_unit|hsync_state_5_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_state_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_5_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N5
+stratix_lcell \inst|vga_driver_unit|hsync_state_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_4  = DFFEAS(\inst|vga_driver_unit|un10_hsync_counter_1  & \inst|vga_driver_unit|un10_hsync_counter_4  & \inst|vga_driver_unit|un10_hsync_counter_3  & \inst|vga_driver_unit|hsync_state_5 , 
+// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un10_hsync_counter_1 ),
+       .datab(\inst|vga_driver_unit|un10_hsync_counter_4 ),
+       .datac(\inst|vga_driver_unit|un10_hsync_counter_3 ),
+       .datad(\inst|vga_driver_unit|hsync_state_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_state_4 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_4_ .lut_mask = "8000";
+defparam \inst|vga_driver_unit|hsync_state_4_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_state_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_4_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N7
+stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2  = \inst|vga_driver_unit|hsync_state_4  & (!\inst|vga_driver_unit|un10_hsync_counter_1  # !\inst|vga_driver_unit|un11_hsync_counter_2  # !\inst|vga_driver_unit|un11_hsync_counter_3 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un11_hsync_counter_3 ),
+       .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|hsync_state_4 ),
+       .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .lut_mask = "70f0";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N4
+stratix_lcell \inst|vga_driver_unit|hsync_state_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_1  = DFFEAS(\inst|vga_driver_unit|un11_hsync_counter_3  & \inst|vga_driver_unit|un11_hsync_counter_2  & \inst|vga_driver_unit|hsync_state_4  & \inst|vga_driver_unit|un10_hsync_counter_1 , 
+// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un11_hsync_counter_3 ),
+       .datab(\inst|vga_driver_unit|un11_hsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|hsync_state_4 ),
+       .datad(\inst|vga_driver_unit|un10_hsync_counter_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_state_1 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_1_ .lut_mask = "8000";
+defparam \inst|vga_driver_unit|hsync_state_1_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_state_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N9
+stratix_lcell \inst|vga_driver_unit|hsync_state_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0  = \inst|vga_driver_unit|un12_hsync_counter  & !\inst|vga_driver_unit|un13_hsync_counter  & (\inst|vga_driver_unit|hsync_state_2 ) # !\inst|vga_driver_unit|un12_hsync_counter  & (E1_hsync_state_3 # 
+// !\inst|vga_driver_unit|un13_hsync_counter  & \inst|vga_driver_unit|hsync_state_2 )
+// \inst|vga_driver_unit|hsync_state_3  = DFFEAS(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , \inst|vga_driver_unit|hsync_state_1 , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC)
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un12_hsync_counter ),
+       .datab(\inst|vga_driver_unit|un13_hsync_counter ),
+       .datac(\inst|vga_driver_unit|hsync_state_1 ),
+       .datad(\inst|vga_driver_unit|hsync_state_2 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(vcc),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ),
+       .regout(\inst|vga_driver_unit|hsync_state_3 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_3_ .lut_mask = "7350";
+defparam \inst|vga_driver_unit|hsync_state_3_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_3_ .output_mode = "reg_and_comb";
+defparam \inst|vga_driver_unit|hsync_state_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_3_ .sum_lutc_input = "qfbk";
+defparam \inst|vga_driver_unit|hsync_state_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N3
+stratix_lcell \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1  = \inst|vga_driver_unit|hsync_state_5  & (!\inst|vga_driver_unit|un10_hsync_counter_3  # !\inst|vga_driver_unit|un10_hsync_counter_1  # !\inst|vga_driver_unit|un10_hsync_counter_4 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un10_hsync_counter_4 ),
+       .datab(\inst|vga_driver_unit|un10_hsync_counter_1 ),
+       .datac(\inst|vga_driver_unit|un10_hsync_counter_3 ),
+       .datad(\inst|vga_driver_unit|hsync_state_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .lut_mask = "7f00";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N2
+stratix_lcell \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0  = \inst|vga_driver_unit|un6_dly_counter_0_x  # !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2  & !\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0  & 
+// !\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2 ),
+       .datab(\inst|vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0 ),
+       .datac(\inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1 ),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .lut_mask = "ff01";
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N9
+stratix_lcell \inst|vga_driver_unit|hsync_state_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_2  = DFFEAS(\inst|vga_driver_unit|hsync_state_3  & \inst|vga_driver_unit|un12_hsync_counter , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_state_3 ),
+       .datad(\inst|vga_driver_unit|un12_hsync_counter ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_state_2 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_2_ .lut_mask = "f000";
+defparam \inst|vga_driver_unit|hsync_state_2_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_state_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_2_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N7
+stratix_lcell \inst|vga_driver_unit|hsync_state_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_state_0  = DFFEAS(\inst|vga_driver_unit|un13_hsync_counter  & (\inst|vga_driver_unit|hsync_state_2 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un13_hsync_counter ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|hsync_state_2 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|hsync_state_0 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_state_0_ .lut_mask = "cc00";
+defparam \inst|vga_driver_unit|hsync_state_0_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_state_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|hsync_state_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_state_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_state_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N3
+stratix_lcell \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa  = \inst|dly_counter [0] & \inst|dly_counter [1] & \reset~combout  & !\inst|vga_driver_unit|d_set_hsync_counter 
+
+       .clk(gnd),
+       .dataa(\inst|dly_counter [0]),
+       .datab(\inst|dly_counter [1]),
+       .datac(\reset~combout ),
+       .datad(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|hsync_counter_next_1_sqmuxa ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .lut_mask = "0080";
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N2
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 (
+// Equation(s):
+// \inst|vga_driver_unit|un12_hsync_counter_4  = !\inst|vga_driver_unit|hsync_counter_6  & !\inst|vga_driver_unit|hsync_counter_7  & \inst|vga_driver_unit|hsync_counter_2  & !\inst|vga_driver_unit|hsync_counter_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_6 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_7 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_2 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un12_hsync_counter_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .lut_mask = "0010";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y43_N9
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un12_hsync_counter_3  = \inst|vga_driver_unit|hsync_counter_9  & \inst|vga_driver_unit|hsync_counter_8  & !\inst|vga_driver_unit|hsync_counter_3  & !\inst|vga_driver_unit|hsync_counter_5 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_9 ),
+       .datab(\inst|vga_driver_unit|hsync_counter_8 ),
+       .datac(\inst|vga_driver_unit|hsync_counter_3 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un12_hsync_counter_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .lut_mask = "0008";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X22_Y42_N8
+stratix_lcell \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter (
+// Equation(s):
+// \inst|vga_driver_unit|un12_hsync_counter  = \inst|vga_driver_unit|hsync_counter_0  & \inst|vga_driver_unit|un12_hsync_counter_4  & \inst|vga_driver_unit|un12_hsync_counter_3  & \inst|vga_driver_unit|hsync_counter_1 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_counter_0 ),
+       .datab(\inst|vga_driver_unit|un12_hsync_counter_4 ),
+       .datac(\inst|vga_driver_unit|un12_hsync_counter_3 ),
+       .datad(\inst|vga_driver_unit|hsync_counter_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un12_hsync_counter ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .lut_mask = "8000";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .operation_mode = "normal";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N4
+stratix_lcell \inst|vga_driver_unit|un1_hsync_state_3_0_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_hsync_state_3_0  = \inst|vga_driver_unit|hsync_state_3  # \inst|vga_driver_unit|hsync_state_1 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_state_3 ),
+       .datac(\inst|vga_driver_unit|hsync_state_1 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_hsync_state_3_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .lut_mask = "fcfc";
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un1_hsync_state_3_0_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N5
+stratix_lcell \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|h_sync_1_0_0_0_g1  = \inst|vga_driver_unit|un1_hsync_state_3_0  & (\inst|vga_driver_unit|h_sync ) # !\inst|vga_driver_unit|un1_hsync_state_3_0  & (\inst|vga_driver_unit|hsync_state_2  & (\inst|vga_driver_unit|h_sync ) # 
+// !\inst|vga_driver_unit|hsync_state_2  & \inst|vga_driver_unit|hsync_state_4 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un1_hsync_state_3_0 ),
+       .datab(\inst|vga_driver_unit|hsync_state_2 ),
+       .datac(\inst|vga_driver_unit|hsync_state_4 ),
+       .datad(\inst|vga_driver_unit|h_sync ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .lut_mask = "fe10";
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N6
+stratix_lcell \inst|vga_driver_unit|h_sync_Z (
+// Equation(s):
+// \inst|vga_driver_unit|h_sync  = DFFEAS(\inst|vga_driver_unit|h_sync_1_0_0_0_g1  # !\inst|dly_counter [1] # !\reset~combout  # !\inst|dly_counter [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|dly_counter [0]),
+       .datab(\inst|vga_driver_unit|h_sync_1_0_0_0_g1 ),
+       .datac(\reset~combout ),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|h_sync ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|h_sync_Z .lut_mask = "dfff";
+defparam \inst|vga_driver_unit|h_sync_Z .operation_mode = "normal";
+defparam \inst|vga_driver_unit|h_sync_Z .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|h_sync_Z .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|h_sync_Z .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|h_sync_Z .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N0
+stratix_lcell \inst|vga_driver_unit|vsync_counter_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_0  = DFFEAS(\inst|vga_driver_unit|d_set_hsync_counter  $ \inst|vga_driver_unit|vsync_counter_0 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [0] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter  & \inst|vga_driver_unit|vsync_counter_0 )
+// \inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10  = CARRY(\inst|vga_driver_unit|d_set_hsync_counter  & \inst|vga_driver_unit|vsync_counter_0 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .datab(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_0 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [0]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_0_ .lut_mask = "6688";
+defparam \inst|vga_driver_unit|vsync_counter_0_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_counter_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N1
+stratix_lcell \inst|vga_driver_unit|vsync_counter_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_1  = DFFEAS(\inst|vga_driver_unit|vsync_counter_1  $ \inst|vga_driver_unit|vsync_counter_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [1] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [0] # !\inst|vga_driver_unit|vsync_counter_1 )
+// \inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12  = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10  # !\inst|vga_driver_unit|vsync_counter_1 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|vsync_counter_1 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [0]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[0]~COUT1_10 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_1 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [1]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_1_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .lut_mask = "3c3f";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N2
+stratix_lcell \inst|vga_driver_unit|vsync_counter_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_2  = DFFEAS(\inst|vga_driver_unit|vsync_counter_2  $ (!\inst|vga_driver_unit|vsync_counter_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [2] = CARRY(\inst|vga_driver_unit|vsync_counter_2  & (!\inst|vga_driver_unit|vsync_counter_cout [1]))
+// \inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14  = CARRY(\inst|vga_driver_unit|vsync_counter_2  & (!\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_2 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [1]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[1]~COUT1_12 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_2 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [2]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_2_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N3
+stratix_lcell \inst|vga_driver_unit|vsync_counter_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_3  = DFFEAS(\inst|vga_driver_unit|vsync_counter_3  $ (\inst|vga_driver_unit|vsync_counter_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [3] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [2] # !\inst|vga_driver_unit|vsync_counter_3 )
+// \inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16  = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14  # !\inst|vga_driver_unit|vsync_counter_3 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_3 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [2]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[2]~COUT1_14 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_3 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [3]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_3_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .lut_mask = "5a5f";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N3
+stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 (
+// Equation(s):
+// \inst|vga_driver_unit|un9_vsync_counterlt9_6  = !\inst|vga_driver_unit|vsync_counter_3  # !\inst|vga_driver_unit|vsync_counter_1  # !\inst|vga_driver_unit|vsync_counter_2  # !\inst|vga_driver_unit|vsync_counter_0 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_1 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_3 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .lut_mask = "7fff";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N4
+stratix_lcell \inst|vga_driver_unit|vsync_counter_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_4  = DFFEAS(\inst|vga_driver_unit|vsync_counter_4  $ (!\inst|vga_driver_unit|vsync_counter_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [4] = CARRY(\inst|vga_driver_unit|vsync_counter_4  & (!\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_4 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [3]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[3]~COUT1_16 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_4 ),
+       .cout(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_4_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N5
+stratix_lcell \inst|vga_driver_unit|vsync_counter_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_5  = DFFEAS(\inst|vga_driver_unit|vsync_counter_5  $ \inst|vga_driver_unit|vsync_counter_cout [4], GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , 
+// !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [5] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 )
+// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18  = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [4] # !\inst|vga_driver_unit|vsync_counter_5 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|vsync_counter_5 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_5 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [5]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_5_ .cin_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .lut_mask = "3c3f";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N6
+stratix_lcell \inst|vga_driver_unit|vsync_counter_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_6  = DFFEAS(\inst|vga_driver_unit|vsync_counter_6  $ !(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [5]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & 
+// \inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [6] = CARRY(\inst|vga_driver_unit|vsync_counter_6  & !\inst|vga_driver_unit|vsync_counter_cout [5])
+// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20  = CARRY(\inst|vga_driver_unit|vsync_counter_6  & !\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|vsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [5]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[5]~COUT1_18 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_6 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [6]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_6_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .cin_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .lut_mask = "c30c";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N7
+stratix_lcell \inst|vga_driver_unit|vsync_counter_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_7  = DFFEAS(\inst|vga_driver_unit|vsync_counter_7  $ ((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [6]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & 
+// \inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [7] = CARRY(!\inst|vga_driver_unit|vsync_counter_cout [6] # !\inst|vga_driver_unit|vsync_counter_7 )
+// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22  = CARRY(!\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20  # !\inst|vga_driver_unit|vsync_counter_7 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_7 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [6]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[6]~COUT1_20 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_7 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [7]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_7_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .cin_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .lut_mask = "5a5f";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_7_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N8
+stratix_lcell \inst|vga_driver_unit|vsync_counter_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_8  = DFFEAS(\inst|vga_driver_unit|vsync_counter_8  $ (!(!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [7]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & 
+// \inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 )), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+// \inst|vga_driver_unit|vsync_counter_cout [8] = CARRY(\inst|vga_driver_unit|vsync_counter_8  & (!\inst|vga_driver_unit|vsync_counter_cout [7]))
+// \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24  = CARRY(\inst|vga_driver_unit|vsync_counter_8  & (!\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ))
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_8 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [7]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[7]~COUT1_22 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_8 ),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|vsync_counter_cout [8]),
+       .cout1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_8_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .cin_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .lut_mask = "a50a";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_8_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y43_N9
+stratix_lcell \inst|vga_driver_unit|vsync_counter_9_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_9  = DFFEAS((!\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout [8]) # (\inst|vga_driver_unit|vsync_counter_cout [4] & \inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ) $ 
+// \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa , , !\inst|vga_driver_unit|G_16_i , !\inst|vga_driver_unit|un9_vsync_counterlt9 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|G_16_i ),
+       .sload(!\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .ena(vcc),
+       .cin(\inst|vga_driver_unit|vsync_counter_cout [4]),
+       .cin0(\inst|vga_driver_unit|vsync_counter_cout [8]),
+       .cin1(\inst|vga_driver_unit|vsync_counter_cout[8]~COUT1_24 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_counter_9 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_9_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .cin_used = "true";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .lut_mask = "0ff0";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|vsync_counter_9_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N7
+stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 (
+// Equation(s):
+// \inst|vga_driver_unit|un9_vsync_counterlt9_5  = !\inst|vga_driver_unit|vsync_counter_8  # !\inst|vga_driver_unit|vsync_counter_7  # !\inst|vga_driver_unit|vsync_counter_6  # !\inst|vga_driver_unit|vsync_counter_9 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_9 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_7 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .lut_mask = "7fff";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N5
+stratix_lcell \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 (
+// Equation(s):
+// \inst|vga_driver_unit|un9_vsync_counterlt9  = \inst|vga_driver_unit|un9_vsync_counterlt9_6  # \inst|vga_driver_unit|un9_vsync_counterlt9_5  # !\inst|vga_driver_unit|vsync_counter_5  # !\inst|vga_driver_unit|vsync_counter_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un9_vsync_counterlt9_6 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_4 ),
+       .datac(\inst|vga_driver_unit|un9_vsync_counterlt9_5 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .lut_mask = "fbff";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N2
+stratix_lcell \inst|vga_driver_unit|G_16 (
+// Equation(s):
+// \inst|vga_driver_unit|G_16_i  = !\inst|vga_driver_unit|vsync_state_0  & !\inst|vga_driver_unit|un6_dly_counter_0_x  & !\inst|vga_driver_unit|vsync_state_6  # !\inst|vga_driver_unit|un9_vsync_counterlt9 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_0 ),
+       .datab(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .datac(\inst|vga_driver_unit|un9_vsync_counterlt9 ),
+       .datad(\inst|vga_driver_unit|vsync_state_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|G_16_i ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|G_16 .lut_mask = "0f1f";
+defparam \inst|vga_driver_unit|G_16 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|G_16 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|G_16 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|G_16 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|G_16 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N4
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 (
+// Equation(s):
+// \inst|vga_driver_unit|un12_vsync_counter_7  = !\inst|vga_driver_unit|vsync_counter_4  & !\inst|vga_driver_unit|vsync_counter_1  & !\inst|vga_driver_unit|vsync_counter_2  & !\inst|vga_driver_unit|vsync_counter_3 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_4 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_1 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_2 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_3 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un12_vsync_counter_7 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .lut_mask = "0001";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N2
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 (
+// Equation(s):
+// \inst|vga_driver_unit|un12_vsync_counter_6  = !\inst|vga_driver_unit|vsync_counter_5  & !\inst|vga_driver_unit|vsync_counter_6  & !\inst|vga_driver_unit|vsync_counter_7  & !\inst|vga_driver_unit|vsync_counter_8 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_5 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_7 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un12_vsync_counter_6 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .lut_mask = "0001";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N1
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 (
+// Equation(s):
+// \inst|vga_driver_unit|un14_vsync_counter_8  = \inst|vga_driver_unit|un12_vsync_counter_7  & (\inst|vga_driver_unit|un12_vsync_counter_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un12_vsync_counter_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un14_vsync_counter_8 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .lut_mask = "aa00";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N8
+stratix_lcell \inst|vga_driver_unit|vsync_state_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_5  = DFFEAS(\inst|vga_driver_unit|vsync_state_0  # \inst|vga_driver_unit|vsync_state_6 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|vsync_state_0 ),
+       .datac(\inst|vga_driver_unit|vsync_state_6 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_state_5 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_5_ .lut_mask = "fcfc";
+defparam \inst|vga_driver_unit|vsync_state_5_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_state_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_5_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N8
+stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1  = \inst|vga_driver_unit|vsync_state_5  & (\inst|vga_driver_unit|vsync_counter_9  # !\inst|vga_driver_unit|un14_vsync_counter_8  # !\inst|vga_driver_unit|vsync_counter_0 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
+       .datac(\inst|vga_driver_unit|vsync_state_5 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .lut_mask = "f070";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N6
+stratix_lcell \inst|vga_driver_unit|vsync_state_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_4  = DFFEAS(\inst|vga_driver_unit|vsync_state_5  & \inst|vga_driver_unit|un14_vsync_counter_8  & \inst|vga_driver_unit|vsync_counter_0  & !\inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), 
+// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_state_5 ),
+       .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_state_4 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_4_ .lut_mask = "0080";
+defparam \inst|vga_driver_unit|vsync_state_4_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_state_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_4_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N4
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un13_vsync_counter_3  = !\inst|vga_driver_unit|vsync_counter_9  & !\inst|vga_driver_unit|vsync_counter_6  & !\inst|vga_driver_unit|vsync_counter_7  & !\inst|vga_driver_unit|vsync_counter_8 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_9 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_7 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un13_vsync_counter_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .lut_mask = "0001";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N3
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 (
+// Equation(s):
+// \inst|vga_driver_unit|un13_vsync_counter_4  = \inst|vga_driver_unit|vsync_counter_5  & \inst|vga_driver_unit|un13_vsync_counter_3  & \inst|vga_driver_unit|vsync_counter_0 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_5 ),
+       .datab(\inst|vga_driver_unit|un13_vsync_counter_3 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un13_vsync_counter_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .lut_mask = "8080";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N3
+stratix_lcell \inst|vga_driver_unit|vsync_state_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_1  = DFFEAS(\inst|vga_driver_unit|un12_vsync_counter_7  & \inst|vga_driver_unit|vsync_state_4  & \inst|vga_driver_unit|un13_vsync_counter_4  & !\inst|vga_driver_unit|un6_dly_counter_0_x , 
+// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un12_vsync_counter_7 ),
+       .datab(\inst|vga_driver_unit|vsync_state_4 ),
+       .datac(\inst|vga_driver_unit|un13_vsync_counter_4 ),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_state_1 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_1_ .lut_mask = "0080";
+defparam \inst|vga_driver_unit|vsync_state_1_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_state_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_1_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N6
+stratix_lcell \inst|vga_driver_unit|vsync_state_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3  = E1_vsync_state_3 & (!\inst|vga_driver_unit|vsync_counter_9  # !\inst|vga_driver_unit|un14_vsync_counter_8  # !\inst|vga_driver_unit|vsync_counter_0 )
+// \inst|vga_driver_unit|vsync_state_3  = DFFEAS(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , \inst|vga_driver_unit|vsync_state_1 , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , VCC)
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datab(\inst|vga_driver_unit|un14_vsync_counter_8 ),
+       .datac(\inst|vga_driver_unit|vsync_state_1 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(vcc),
+       .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ),
+       .regout(\inst|vga_driver_unit|vsync_state_3 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_3_ .lut_mask = "70f0";
+defparam \inst|vga_driver_unit|vsync_state_3_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_3_ .output_mode = "reg_and_comb";
+defparam \inst|vga_driver_unit|vsync_state_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_3_ .sum_lutc_input = "qfbk";
+defparam \inst|vga_driver_unit|vsync_state_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N5
+stratix_lcell \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2  = \inst|vga_driver_unit|vsync_state_4  & (!\inst|vga_driver_unit|un12_vsync_counter_7  # !\inst|vga_driver_unit|un13_vsync_counter_4 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_4 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un13_vsync_counter_4 ),
+       .datad(\inst|vga_driver_unit|un12_vsync_counter_7 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .lut_mask = "0aaa";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y43_N5
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 (
+// Equation(s):
+// \inst|vga_driver_unit|un15_vsync_counter_3  = \inst|vga_driver_unit|vsync_counter_3  & !\inst|vga_driver_unit|vsync_counter_2  & !\inst|vga_driver_unit|vsync_counter_0  & \inst|vga_driver_unit|vsync_counter_9 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_counter_3 ),
+       .datab(\inst|vga_driver_unit|vsync_counter_2 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un15_vsync_counter_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .lut_mask = "0200";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y43_N2
+stratix_lcell \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 (
+// Equation(s):
+// \inst|vga_driver_unit|un15_vsync_counter_4  = \inst|vga_driver_unit|un15_vsync_counter_3  & !\inst|vga_driver_unit|vsync_counter_4  & !\inst|vga_driver_unit|vsync_counter_1 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un15_vsync_counter_3 ),
+       .datac(\inst|vga_driver_unit|vsync_counter_4 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un15_vsync_counter_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .lut_mask = "000c";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N9
+stratix_lcell \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0  = \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2  # \inst|vga_driver_unit|vsync_state_2  & (!\inst|vga_driver_unit|un15_vsync_counter_4  # !\inst|vga_driver_unit|un12_vsync_counter_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|un12_vsync_counter_6 ),
+       .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2 ),
+       .datac(\inst|vga_driver_unit|vsync_state_2 ),
+       .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .lut_mask = "dcfc";
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N7
+stratix_lcell \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_next_2_sqmuxa  = \inst|vga_driver_unit|un6_dly_counter_0_x  # !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1  & !\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3  & 
+// !\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1 ),
+       .datab(\inst|vga_driver_unit|vsync_state_next_1_sqmuxa_3 ),
+       .datac(\inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0 ),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .lut_mask = "ff01";
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y43_N4
+stratix_lcell \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0  = \inst|vga_driver_unit|un12_vsync_counter_6  & \inst|vga_driver_unit|vsync_state_2  & \inst|vga_driver_unit|un15_vsync_counter_4 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un12_vsync_counter_6 ),
+       .datac(\inst|vga_driver_unit|vsync_state_2 ),
+       .datad(\inst|vga_driver_unit|un15_vsync_counter_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .lut_mask = "c000";
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y42_N0
+stratix_lcell \inst|vga_driver_unit|vsync_state_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_0  = DFFEAS(\inst|vga_driver_unit|un6_dly_counter_0_x  & \inst|vga_driver_unit|vsync_state_0  & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa  # !\inst|vga_driver_unit|un6_dly_counter_0_x  & 
+// (\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0  # \inst|vga_driver_unit|vsync_state_0  & !\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ), GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .datab(\inst|vga_driver_unit|vsync_state_0 ),
+       .datac(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .datad(\inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_state_0 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_0_ .lut_mask = "5d0c";
+defparam \inst|vga_driver_unit|vsync_state_0_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_state_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_0_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N9
+stratix_lcell \inst|vga_driver_unit|d_set_vsync_counter_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|d_set_vsync_counter  = \inst|vga_driver_unit|vsync_state_0  # \inst|vga_driver_unit|vsync_state_6 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_0 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|vsync_state_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|d_set_vsync_counter ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .lut_mask = "ffaa";
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|d_set_vsync_counter_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N8
+stratix_lcell \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa  = \inst|dly_counter [1] & !\inst|vga_driver_unit|d_set_vsync_counter  & \inst|dly_counter [0] & \reset~combout 
+
+       .clk(gnd),
+       .dataa(\inst|dly_counter [1]),
+       .datab(\inst|vga_driver_unit|d_set_vsync_counter ),
+       .datac(\inst|dly_counter [0]),
+       .datad(\reset~combout ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|vsync_counter_next_1_sqmuxa ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .lut_mask = "2000";
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N0
+stratix_lcell \inst|vga_driver_unit|vsync_state_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|vsync_state_2  = DFFEAS(\inst|vga_driver_unit|vsync_counter_0  & \inst|vga_driver_unit|vsync_state_3  & \inst|vga_driver_unit|un14_vsync_counter_8  & \inst|vga_driver_unit|vsync_counter_9 , GLOBAL(\inst1|altpll_component|_clk0 ), 
+// VCC, , \inst|vga_driver_unit|vsync_state_next_2_sqmuxa , , , \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_counter_0 ),
+       .datab(\inst|vga_driver_unit|vsync_state_3 ),
+       .datac(\inst|vga_driver_unit|un14_vsync_counter_8 ),
+       .datad(\inst|vga_driver_unit|vsync_counter_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|vsync_state_next_2_sqmuxa ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|vsync_state_2 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|vsync_state_2_ .lut_mask = "8000";
+defparam \inst|vga_driver_unit|vsync_state_2_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|vsync_state_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|vsync_state_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|vsync_state_2_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|vsync_state_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N7
+stratix_lcell \inst|vga_driver_unit|un1_vsync_state_2_0_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_vsync_state_2_0  = \inst|vga_driver_unit|vsync_state_3  # \inst|vga_driver_unit|vsync_state_1 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|vsync_state_3 ),
+       .datac(\inst|vga_driver_unit|vsync_state_1 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_vsync_state_2_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .lut_mask = "fcfc";
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un1_vsync_state_2_0_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N5
+stratix_lcell \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|v_sync_1_0_0_0_g1  = \inst|vga_driver_unit|vsync_state_2  & (\inst|vga_driver_unit|v_sync ) # !\inst|vga_driver_unit|vsync_state_2  & (\inst|vga_driver_unit|un1_vsync_state_2_0  & (\inst|vga_driver_unit|v_sync ) # 
+// !\inst|vga_driver_unit|un1_vsync_state_2_0  & \inst|vga_driver_unit|vsync_state_4 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_2 ),
+       .datab(\inst|vga_driver_unit|vsync_state_4 ),
+       .datac(\inst|vga_driver_unit|un1_vsync_state_2_0 ),
+       .datad(\inst|vga_driver_unit|v_sync ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .lut_mask = "fe04";
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N9
+stratix_lcell \inst|vga_driver_unit|v_sync_Z (
+// Equation(s):
+// \inst|vga_driver_unit|v_sync  = DFFEAS(\inst|vga_driver_unit|v_sync_1_0_0_0_g1  # !\inst|dly_counter [1] # !\inst|dly_counter [0] # !\reset~combout , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\reset~combout ),
+       .datab(\inst|dly_counter [0]),
+       .datac(\inst|vga_driver_unit|v_sync_1_0_0_0_g1 ),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|v_sync ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|v_sync_Z .lut_mask = "f7ff";
+defparam \inst|vga_driver_unit|v_sync_Z .operation_mode = "normal";
+defparam \inst|vga_driver_unit|v_sync_Z .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|v_sync_Z .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|v_sync_Z .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|v_sync_Z .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X51_Y30_N5
+stratix_lcell \~STRATIX_FITTER_CREATED_GND~I (
+// Equation(s):
+// \~STRATIX_FITTER_CREATED_GND~I_combout  = GND
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \~STRATIX_FITTER_CREATED_GND~I .lut_mask = "0000";
+defparam \~STRATIX_FITTER_CREATED_GND~I .operation_mode = "normal";
+defparam \~STRATIX_FITTER_CREATED_GND~I .output_mode = "comb_only";
+defparam \~STRATIX_FITTER_CREATED_GND~I .register_cascade_mode = "off";
+defparam \~STRATIX_FITTER_CREATED_GND~I .sum_lutc_input = "datac";
+defparam \~STRATIX_FITTER_CREATED_GND~I .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X25_Y42_N1
+stratix_lcell \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1  = \inst|dly_counter [0] & \reset~combout  & !\inst|vga_driver_unit|hsync_state_1  & \inst|dly_counter [1]
+
+       .clk(gnd),
+       .dataa(\inst|dly_counter [0]),
+       .datab(\reset~combout ),
+       .datac(\inst|vga_driver_unit|hsync_state_1 ),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0800";
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N4
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_0  = DFFEAS(!\inst|vga_driver_unit|column_counter_sig_0  # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .lut_mask = "0fff";
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N0
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [1] = \inst|vga_driver_unit|column_counter_sig_0  $ \inst|vga_driver_unit|column_counter_sig_1 
+// \inst|vga_driver_unit|un2_column_counter_next_cout [1] = CARRY(\inst|vga_driver_unit|column_counter_sig_0  & \inst|vga_driver_unit|column_counter_sig_1 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10  = CARRY(\inst|vga_driver_unit|column_counter_sig_0  & \inst|vga_driver_unit|column_counter_sig_1 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [1]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .lut_mask = "6688";
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un2_column_counter_next_1_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N5
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_1  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [1] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .lut_mask = "ff55";
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N1
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [3] = \inst|vga_driver_unit|column_counter_sig_3  $ (\inst|vga_driver_unit|column_counter_sig_2  & \inst|vga_driver_unit|un2_column_counter_next_cout [1])
+// \inst|vga_driver_unit|un2_column_counter_next_cout [3] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [1] # !\inst|vga_driver_unit|column_counter_sig_3  # !\inst|vga_driver_unit|column_counter_sig_2 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12  = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10  # !\inst|vga_driver_unit|column_counter_sig_3  # !\inst|vga_driver_unit|column_counter_sig_2 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [1]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [3]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .lut_mask = "6c7f";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_3_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N2
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_3  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [3] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [3]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X49_Y32_N0
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_cout [0] = CARRY(\inst|vga_driver_unit|column_counter_sig_0  & \inst|vga_driver_unit|column_counter_sig_1 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18  = CARRY(\inst|vga_driver_unit|column_counter_sig_0  & \inst|vga_driver_unit|column_counter_sig_1 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_0_~COMBOUT ),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .lut_mask = "ff88";
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .output_mode = "none";
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un2_column_counter_next_0_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y32_N1
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [2] = \inst|vga_driver_unit|column_counter_sig_2  $ (\inst|vga_driver_unit|un2_column_counter_next_cout [0])
+// \inst|vga_driver_unit|un2_column_counter_next_cout [2] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [0] # !\inst|vga_driver_unit|column_counter_sig_3  # !\inst|vga_driver_unit|column_counter_sig_2 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20  = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18  # !\inst|vga_driver_unit|column_counter_sig_3  # !\inst|vga_driver_unit|column_counter_sig_2 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [0]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [2]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .lut_mask = "5a7f";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_2_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y32_N2
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [4] = \inst|vga_driver_unit|column_counter_sig_4  $ !\inst|vga_driver_unit|un2_column_counter_next_cout [2]
+// \inst|vga_driver_unit|un2_column_counter_next_cout [4] = CARRY(\inst|vga_driver_unit|column_counter_sig_5  & \inst|vga_driver_unit|column_counter_sig_4  & !\inst|vga_driver_unit|un2_column_counter_next_cout [2])
+// \inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22  = CARRY(\inst|vga_driver_unit|column_counter_sig_5  & \inst|vga_driver_unit|column_counter_sig_4  & !\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [2]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [4]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .lut_mask = "c308";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_4_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N8
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_4  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [4] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [4]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N2
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [5] = \inst|vga_driver_unit|column_counter_sig_5  $ (\inst|vga_driver_unit|column_counter_sig_4  & !\inst|vga_driver_unit|un2_column_counter_next_cout [3])
+// \inst|vga_driver_unit|un2_column_counter_next_cout [5] = CARRY(\inst|vga_driver_unit|column_counter_sig_5  & \inst|vga_driver_unit|column_counter_sig_4  & !\inst|vga_driver_unit|un2_column_counter_next_cout [3])
+// \inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14  = CARRY(\inst|vga_driver_unit|column_counter_sig_5  & \inst|vga_driver_unit|column_counter_sig_4  & !\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [3]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [5]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .lut_mask = "a608";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_5_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N9
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_5  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [5] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datac(\inst|vga_driver_unit|un2_column_counter_next_combout [5]),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .lut_mask = "f3f3";
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X49_Y32_N3
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [6] = \inst|vga_driver_unit|column_counter_sig_6  $ \inst|vga_driver_unit|un2_column_counter_next_cout [4]
+// \inst|vga_driver_unit|un2_column_counter_next_cout [6] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [4] # !\inst|vga_driver_unit|column_counter_sig_6  # !\inst|vga_driver_unit|column_counter_sig_7 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24  = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22  # !\inst|vga_driver_unit|column_counter_sig_6  # !\inst|vga_driver_unit|column_counter_sig_7 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [4]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [6]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .lut_mask = "3c7f";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_6_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N6
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_6  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [6] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [6]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N3
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [7] = \inst|vga_driver_unit|column_counter_sig_7  $ (\inst|vga_driver_unit|column_counter_sig_6  & \inst|vga_driver_unit|un2_column_counter_next_cout [5])
+// \inst|vga_driver_unit|un2_column_counter_next_cout [7] = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout [5] # !\inst|vga_driver_unit|column_counter_sig_7  # !\inst|vga_driver_unit|column_counter_sig_6 )
+// \inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16  = CARRY(!\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14  # !\inst|vga_driver_unit|column_counter_sig_7  # !\inst|vga_driver_unit|column_counter_sig_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [5]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [7]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]),
+       .cout1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .lut_mask = "6c7f";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_7_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N8
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_7  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [7] & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1  & \inst|vga_driver_unit|un10_column_counter_siglto9 ), 
+// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un2_column_counter_next_combout [7]),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .datad(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .lut_mask = "a000";
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_7_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y32_N4
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [8] = \inst|vga_driver_unit|column_counter_sig_8  $ !\inst|vga_driver_unit|un2_column_counter_next_cout [6]
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [6]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [8]),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .lut_mask = "c3c3";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_8_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N7
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_8  = DFFEAS(\inst|vga_driver_unit|un10_column_counter_siglto9  & (\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1  & \inst|vga_driver_unit|un2_column_counter_next_combout [8]), 
+// GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [8]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .lut_mask = "a000";
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_8_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N4
+stratix_lcell \inst|vga_driver_unit|un2_column_counter_next_9_ (
+// Equation(s):
+// \inst|vga_driver_unit|un2_column_counter_next_combout [9] = \inst|vga_driver_unit|column_counter_sig_9  $ (\inst|vga_driver_unit|column_counter_sig_8  & !\inst|vga_driver_unit|un2_column_counter_next_cout [7])
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un2_column_counter_next_cout [7]),
+       .cin1(\inst|vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un2_column_counter_next_combout [9]),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .lut_mask = "f50a";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un2_column_counter_next_9_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N9
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_9_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_9  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [9] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [9]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .lut_mask = "ff55";
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_9_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N0
+stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_column_counter_siglt6_2  = !\inst|vga_driver_unit|column_counter_sig_3  # !\inst|vga_driver_unit|column_counter_sig_4  # !\inst|vga_driver_unit|column_counter_sig_2 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .lut_mask = "5fff";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N3
+stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_column_counter_siglt6_1  = !\inst|vga_driver_unit|column_counter_sig_6  # !\inst|vga_driver_unit|column_counter_sig_5 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .lut_mask = "33ff";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N7
+stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_column_counter_siglt6  = \inst|vga_driver_unit|un10_column_counter_siglt6_2  # \inst|vga_driver_unit|un10_column_counter_siglt6_1  # !\inst|vga_driver_unit|column_counter_sig_1  # !\inst|vga_driver_unit|column_counter_sig_0 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_2 ),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_column_counter_siglt6 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .lut_mask = "fdff";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N5
+stratix_lcell \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_column_counter_siglto9  = !\inst|vga_driver_unit|column_counter_sig_7  & \inst|vga_driver_unit|un10_column_counter_siglt6  & !\inst|vga_driver_unit|column_counter_sig_8  # !\inst|vga_driver_unit|column_counter_sig_9 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglt6 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .lut_mask = "5575";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y32_N1
+stratix_lcell \inst|vga_driver_unit|column_counter_sig_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|column_counter_sig_2  = DFFEAS(\inst|vga_driver_unit|un2_column_counter_next_combout [2] # !\inst|vga_driver_unit|un10_column_counter_siglto9 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_column_counter_siglto9 ),
+       .datad(\inst|vga_driver_unit|un2_column_counter_next_combout [2]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|column_counter_sig_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N4
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 (
+// Equation(s):
+// \inst|vga_control_unit|un5_v_enablelto3  = \inst|vga_driver_unit|column_counter_sig_3  & (\inst|vga_driver_unit|column_counter_sig_2  # \inst|vga_driver_unit|column_counter_sig_0  # \inst|vga_driver_unit|column_counter_sig_1 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .datac(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un5_v_enablelto3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .lut_mask = "ccc8";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X48_Y33_N6
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 (
+// Equation(s):
+// \inst|vga_control_unit|un5_v_enablelto5_0  = \inst|vga_driver_unit|column_counter_sig_4  # \inst|vga_driver_unit|column_counter_sig_5 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .datac(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un5_v_enablelto5_0 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .lut_mask = "fcfc";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N2
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 (
+// Equation(s):
+// \inst|vga_control_unit|un5_v_enablelto7  = \inst|vga_driver_unit|column_counter_sig_7  & \inst|vga_driver_unit|column_counter_sig_6  & (\inst|vga_control_unit|un5_v_enablelto3  # \inst|vga_control_unit|un5_v_enablelto5_0 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|un5_v_enablelto3 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .datac(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .datad(\inst|vga_control_unit|un5_v_enablelto5_0 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un5_v_enablelto7 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .lut_mask = "c080";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N5
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [1] = \inst|vga_driver_unit|line_counter_sig_0  $ \inst|vga_driver_unit|d_set_hsync_counter 
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [1] = CARRY(\inst|vga_driver_unit|line_counter_sig_0  & \inst|vga_driver_unit|d_set_hsync_counter )
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9  = CARRY(\inst|vga_driver_unit|line_counter_sig_0  & \inst|vga_driver_unit|d_set_hsync_counter )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .datab(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .lut_mask = "6688";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_1_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N2
+stratix_lcell \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1  = \reset~combout  & \inst|dly_counter [0] & !\inst|vga_driver_unit|vsync_state_1  & \inst|dly_counter [1]
+
+       .clk(gnd),
+       .dataa(\reset~combout ),
+       .datab(\inst|dly_counter [0]),
+       .datac(\inst|vga_driver_unit|vsync_state_1 ),
+       .datad(\inst|dly_counter [1]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .lut_mask = "0800";
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N4
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_0_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_0  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [1] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [1]),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .lut_mask = "f3f3";
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N6
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [3] = \inst|vga_driver_unit|line_counter_sig_2  $ (\inst|vga_driver_unit|line_counter_sig_1  & \inst|vga_driver_unit|un1_line_counter_sig_cout [1])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [3] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [1] # !\inst|vga_driver_unit|line_counter_sig_1  # !\inst|vga_driver_unit|line_counter_sig_2 )
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11  = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9  # !\inst|vga_driver_unit|line_counter_sig_1  # !\inst|vga_driver_unit|line_counter_sig_2 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [1]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .lut_mask = "6a7f";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_3_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N3
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_2  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [3] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [3]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N0
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_a_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] = CARRY(\inst|vga_driver_unit|d_set_hsync_counter  & \inst|vga_driver_unit|line_counter_sig_0 )
+// \inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3  = CARRY(\inst|vga_driver_unit|d_set_hsync_counter  & \inst|vga_driver_unit|line_counter_sig_0 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT ),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .lut_mask = "ff88";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .output_mode = "none";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_a_1_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N1
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_2_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [2] = \inst|vga_driver_unit|line_counter_sig_1  $ (\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [2] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1] # !\inst|vga_driver_unit|line_counter_sig_2  # !\inst|vga_driver_unit|line_counter_sig_1 )
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17  = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3  # !\inst|vga_driver_unit|line_counter_sig_2  # !\inst|vga_driver_unit|line_counter_sig_1 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_a_cout [1]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .lut_mask = "5a7f";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_2_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X3_Y33_N4
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_1_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_1  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [2] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [2]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .lut_mask = "ff33";
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N2
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [4] = \inst|vga_driver_unit|line_counter_sig_3  $ !\inst|vga_driver_unit|un1_line_counter_sig_cout [2]
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [4] = CARRY(\inst|vga_driver_unit|line_counter_sig_4  & \inst|vga_driver_unit|line_counter_sig_3  & !\inst|vga_driver_unit|un1_line_counter_sig_cout [2])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19  = CARRY(\inst|vga_driver_unit|line_counter_sig_4  & \inst|vga_driver_unit|line_counter_sig_3  & !\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [2]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .lut_mask = "c308";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_4_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N9
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_3_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_3  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [4] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [4]),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .lut_mask = "aaff";
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N7
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [5] = \inst|vga_driver_unit|line_counter_sig_4  $ (\inst|vga_driver_unit|line_counter_sig_3  & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [5] = CARRY(\inst|vga_driver_unit|line_counter_sig_4  & \inst|vga_driver_unit|line_counter_sig_3  & !\inst|vga_driver_unit|un1_line_counter_sig_cout [3])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13  = CARRY(\inst|vga_driver_unit|line_counter_sig_4  & \inst|vga_driver_unit|line_counter_sig_3  & !\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [3]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .lut_mask = "a608";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_5_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N1
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_4_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_4  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [5] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [5]),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .lut_mask = "f3f3";
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N3
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [6] = \inst|vga_driver_unit|line_counter_sig_5  $ \inst|vga_driver_unit|un1_line_counter_sig_cout [4]
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [6] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [4] # !\inst|vga_driver_unit|line_counter_sig_5  # !\inst|vga_driver_unit|line_counter_sig_6 )
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21  = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19  # !\inst|vga_driver_unit|line_counter_sig_5  # !\inst|vga_driver_unit|line_counter_sig_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [4]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .lut_mask = "3c7f";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_6_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X3_Y33_N2
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_5_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_5  = DFFEAS(\inst|vga_driver_unit|un10_line_counter_siglto8  & \inst|vga_driver_unit|un1_line_counter_sig_combout [6] & \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , GLOBAL(\inst1|altpll_component|_clk0 ), 
+// VCC, , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datac(\inst|vga_driver_unit|un1_line_counter_sig_combout [6]),
+       .datad(\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .lut_mask = "c000";
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_5_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N4
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [8] = \inst|vga_driver_unit|line_counter_sig_7  $ (!\inst|vga_driver_unit|un1_line_counter_sig_cout [6])
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [6]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .lut_mask = "a5a5";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_8_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N7
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_7  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [8] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|un1_line_counter_sig_combout [8]),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .lut_mask = "aaff";
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_7_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N8
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_7_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [7] = \inst|vga_driver_unit|line_counter_sig_6  $ (\inst|vga_driver_unit|line_counter_sig_5  & \inst|vga_driver_unit|un1_line_counter_sig_cout [5])
+// \inst|vga_driver_unit|un1_line_counter_sig_cout [7] = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout [5] # !\inst|vga_driver_unit|line_counter_sig_5  # !\inst|vga_driver_unit|line_counter_sig_6 )
+// \inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15  = CARRY(!\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13  # !\inst|vga_driver_unit|line_counter_sig_5  # !\inst|vga_driver_unit|line_counter_sig_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [5]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]),
+       .cout1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ));
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .lut_mask = "6a7f";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .operation_mode = "arithmetic";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_7_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N9
+stratix_lcell \inst|vga_driver_unit|un1_line_counter_sig_9_ (
+// Equation(s):
+// \inst|vga_driver_unit|un1_line_counter_sig_combout [9] = \inst|vga_driver_unit|line_counter_sig_8  $ (\inst|vga_driver_unit|line_counter_sig_7  & !\inst|vga_driver_unit|un1_line_counter_sig_cout [7])
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_driver_unit|un1_line_counter_sig_cout [7]),
+       .cin1(\inst|vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin0_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .cin1_used = "true";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .lut_mask = "f50a";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .sum_lutc_input = "cin";
+defparam \inst|vga_driver_unit|un1_line_counter_sig_9_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N0
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_8_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_8  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [9] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [9]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_8_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N8
+stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_line_counter_siglt4_2  = !\inst|vga_driver_unit|line_counter_sig_3  # !\inst|vga_driver_unit|line_counter_sig_0  # !\inst|vga_driver_unit|line_counter_sig_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .lut_mask = "77ff";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N5
+stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_line_counter_siglto5  = !\inst|vga_driver_unit|line_counter_sig_5  & (\inst|vga_driver_unit|un10_line_counter_siglt4_2  # !\inst|vga_driver_unit|line_counter_sig_2  # !\inst|vga_driver_unit|line_counter_sig_1 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .datac(\inst|vga_driver_unit|un10_line_counter_siglt4_2 ),
+       .datad(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_line_counter_siglto5 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .lut_mask = "00f7";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X2_Y33_N6
+stratix_lcell \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 (
+// Equation(s):
+// \inst|vga_driver_unit|un10_line_counter_siglto8  = \inst|vga_driver_unit|un10_line_counter_siglto5  # !\inst|vga_driver_unit|line_counter_sig_6  # !\inst|vga_driver_unit|line_counter_sig_8  # !\inst|vga_driver_unit|line_counter_sig_7 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .datad(\inst|vga_driver_unit|un10_line_counter_siglto5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .lut_mask = "ff7f";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .operation_mode = "normal";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X1_Y33_N2
+stratix_lcell \inst|vga_driver_unit|line_counter_sig_6_ (
+// Equation(s):
+// \inst|vga_driver_unit|line_counter_sig_6  = DFFEAS(\inst|vga_driver_unit|un1_line_counter_sig_combout [7] # !\inst|vga_driver_unit|un10_line_counter_siglto8 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , , , , 
+// !\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|un10_line_counter_siglto8 ),
+       .datad(\inst|vga_driver_unit|un1_line_counter_sig_combout [7]),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(!\inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .lut_mask = "ff0f";
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|line_counter_sig_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X3_Y33_N5
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 (
+// Equation(s):
+// \inst|vga_control_unit|un17_v_enablelt2  = \inst|vga_driver_unit|line_counter_sig_0  # \inst|vga_driver_unit|line_counter_sig_2  # \inst|vga_driver_unit|line_counter_sig_1 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un17_v_enablelt2 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .lut_mask = "ffee";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X3_Y33_N8
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 (
+// Equation(s):
+// \inst|vga_control_unit|un17_v_enablelto5  = \inst|vga_driver_unit|line_counter_sig_4  # \inst|vga_driver_unit|line_counter_sig_5  # \inst|vga_control_unit|un17_v_enablelt2  & \inst|vga_driver_unit|line_counter_sig_3 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .datab(\inst|vga_control_unit|un17_v_enablelt2 ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .datad(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un17_v_enablelto5 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .lut_mask = "ffea";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N1
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 (
+// Equation(s):
+// \inst|vga_control_unit|un17_v_enablelto7  = \inst|vga_driver_unit|line_counter_sig_6  & \inst|vga_driver_unit|line_counter_sig_7  & \inst|vga_control_unit|un17_v_enablelto5 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .datad(\inst|vga_control_unit|un17_v_enablelto5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un17_v_enablelto7 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .lut_mask = "c000";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y42_N8
+stratix_lcell \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4  = \inst|vga_driver_unit|un6_dly_counter_0_x  # !\inst|vga_driver_unit|hsync_state_5  & !\inst|vga_driver_unit|hsync_state_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|hsync_state_5 ),
+       .datab(vcc),
+       .datac(\inst|vga_driver_unit|hsync_state_4 ),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff05";
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X23_Y43_N1
+stratix_lcell \inst|vga_driver_unit|v_enable_sig_Z (
+// Equation(s):
+// \inst|vga_driver_unit|v_enable_sig  = DFFEAS(\inst|vga_driver_unit|hsync_state_3  # \inst|vga_driver_unit|hsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_driver_unit|hsync_state_3 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|hsync_state_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|v_enable_sig ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|v_enable_sig_Z .lut_mask = "ffcc";
+defparam \inst|vga_driver_unit|v_enable_sig_Z .operation_mode = "normal";
+defparam \inst|vga_driver_unit|v_enable_sig_Z .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|v_enable_sig_Z .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|v_enable_sig_Z .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|v_enable_sig_Z .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N8
+stratix_lcell \inst|vga_control_unit|b_next_0_g0_3_cZ (
+// Equation(s):
+// \inst|vga_control_unit|b_next_0_g0_3  = \inst|vga_driver_unit|v_enable_sig  & !\inst|vga_driver_unit|column_counter_sig_9  & !\inst|vga_driver_unit|line_counter_sig_8  & !\inst|vga_driver_unit|column_counter_sig_8 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|v_enable_sig ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|b_next_0_g0_3 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .lut_mask = "0002";
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .operation_mode = "normal";
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .output_mode = "comb_only";
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|b_next_0_g0_3_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X24_Y41_N1
+stratix_lcell \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ (
+// Equation(s):
+// \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4  = \inst|vga_driver_unit|un6_dly_counter_0_x  # !\inst|vga_driver_unit|vsync_state_5  & !\inst|vga_driver_unit|vsync_state_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|vsync_state_5 ),
+       .datab(\inst|vga_driver_unit|vsync_state_4 ),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .lut_mask = "ff11";
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .operation_mode = "normal";
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .output_mode = "comb_only";
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N0
+stratix_lcell \inst|vga_driver_unit|h_enable_sig_Z (
+// Equation(s):
+// \inst|vga_driver_unit|h_enable_sig  = DFFEAS(\inst|vga_driver_unit|vsync_state_3  # \inst|vga_driver_unit|vsync_state_1 , GLOBAL(\inst1|altpll_component|_clk0 ), VCC, , \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 , , , 
+// \inst|vga_driver_unit|un6_dly_counter_0_x , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_driver_unit|vsync_state_3 ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_driver_unit|vsync_state_1 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .sload(gnd),
+       .ena(\inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 ),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_driver_unit|h_enable_sig ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_driver_unit|h_enable_sig_Z .lut_mask = "ffaa";
+defparam \inst|vga_driver_unit|h_enable_sig_Z .operation_mode = "normal";
+defparam \inst|vga_driver_unit|h_enable_sig_Z .output_mode = "reg_only";
+defparam \inst|vga_driver_unit|h_enable_sig_Z .register_cascade_mode = "off";
+defparam \inst|vga_driver_unit|h_enable_sig_Z .sum_lutc_input = "datac";
+defparam \inst|vga_driver_unit|h_enable_sig_Z .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N9
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 (
+// Equation(s):
+// \inst|vga_control_unit|un9_v_enablelto6  = \inst|vga_driver_unit|un10_column_counter_siglt6_1  # !\inst|vga_driver_unit|column_counter_sig_2  & !\inst|vga_driver_unit|column_counter_sig_3  & !\inst|vga_driver_unit|column_counter_sig_4 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .datab(\inst|vga_driver_unit|un10_column_counter_siglt6_1 ),
+       .datac(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .datad(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un9_v_enablelto6 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .lut_mask = "cccd";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N3
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 (
+// Equation(s):
+// \inst|vga_control_unit|un9_v_enablelto9  = !\inst|vga_driver_unit|column_counter_sig_8  & !\inst|vga_driver_unit|column_counter_sig_7  & !\inst|vga_driver_unit|column_counter_sig_9  & \inst|vga_control_unit|un9_v_enablelto6 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .datab(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .datac(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .datad(\inst|vga_control_unit|un9_v_enablelto6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un9_v_enablelto9 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .lut_mask = "0100";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N6
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_0_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_0  = DFFEAS(!\inst|vga_control_unit|toggle_counter_sig_0 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_0 ),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_0 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .lut_mask = "00ff";
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .operation_mode = "normal";
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|toggle_counter_sig_0_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N0
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_1_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_1  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_0  $ \inst|vga_control_unit|toggle_counter_sig_1 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [1] = CARRY(\inst|vga_control_unit|toggle_counter_sig_0  & \inst|vga_control_unit|toggle_counter_sig_1 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17  = CARRY(\inst|vga_control_unit|toggle_counter_sig_0  & \inst|vga_control_unit|toggle_counter_sig_1 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_0 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_1 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_1 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [1]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .lut_mask = "6688";
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|toggle_counter_sig_1_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N0
+stratix_lcell \inst|vga_control_unit|un2_toggle_counter_next_0_ (
+// Equation(s):
+// \inst|vga_control_unit|un2_toggle_counter_next_cout [0] = CARRY(\inst|vga_control_unit|toggle_counter_sig_1  & \inst|vga_control_unit|toggle_counter_sig_0 )
+// \inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3  = CARRY(\inst|vga_control_unit|toggle_counter_sig_1  & \inst|vga_control_unit|toggle_counter_sig_0 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_1 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_0 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un2_toggle_counter_next_0_~COMBOUT ),
+       .regout(),
+       .cout(),
+       .cout0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]),
+       .cout1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .lut_mask = "ff88";
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .output_mode = "none";
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|un2_toggle_counter_next_0_ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N1
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_2_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_2  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_2  $ \inst|vga_control_unit|un2_toggle_counter_next_cout [0], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , 
+// , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [2] = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout [0] # !\inst|vga_control_unit|toggle_counter_sig_2  # !\inst|vga_control_unit|toggle_counter_sig_3 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33  = CARRY(!\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3  # !\inst|vga_control_unit|toggle_counter_sig_2  # !\inst|vga_control_unit|toggle_counter_sig_3 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_3 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_2 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|un2_toggle_counter_next_cout [0]),
+       .cin1(\inst|vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_2 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [2]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .lut_mask = "3c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_2_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N1
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_3_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_3  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_3  $ (\inst|vga_control_unit|toggle_counter_sig_2  & \inst|vga_control_unit|toggle_counter_sig_cout [1]), GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [3] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [1] # !\inst|vga_control_unit|toggle_counter_sig_3  # !\inst|vga_control_unit|toggle_counter_sig_2 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17  # !\inst|vga_control_unit|toggle_counter_sig_3  # !\inst|vga_control_unit|toggle_counter_sig_2 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_2 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_3 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [1]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_3 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [3]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .lut_mask = "6c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_3_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N2
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_4_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_4  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_4  $ (!\inst|vga_control_unit|toggle_counter_sig_cout [2]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , 
+// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [4] = CARRY(\inst|vga_control_unit|toggle_counter_sig_4  & \inst|vga_control_unit|toggle_counter_sig_5  & !\inst|vga_control_unit|toggle_counter_sig_cout [2])
+// \inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35  = CARRY(\inst|vga_control_unit|toggle_counter_sig_4  & \inst|vga_control_unit|toggle_counter_sig_5  & !\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_4 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_5 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [2]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_4 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [4]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .lut_mask = "a508";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_4_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N2
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_5_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_5  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_5  $ (\inst|vga_control_unit|toggle_counter_sig_4  & !\inst|vga_control_unit|toggle_counter_sig_cout [3]), GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [5] = CARRY(\inst|vga_control_unit|toggle_counter_sig_5  & \inst|vga_control_unit|toggle_counter_sig_4  & !\inst|vga_control_unit|toggle_counter_sig_cout [3])
+// \inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21  = CARRY(\inst|vga_control_unit|toggle_counter_sig_5  & \inst|vga_control_unit|toggle_counter_sig_4  & !\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_5 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_4 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [3]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_5 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [5]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .lut_mask = "a608";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_5_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N3
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_7_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_7  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_7  $ (\inst|vga_control_unit|toggle_counter_sig_6  & \inst|vga_control_unit|toggle_counter_sig_cout [5]), GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [7] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [5] # !\inst|vga_control_unit|toggle_counter_sig_7  # !\inst|vga_control_unit|toggle_counter_sig_6 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21  # !\inst|vga_control_unit|toggle_counter_sig_7  # !\inst|vga_control_unit|toggle_counter_sig_6 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_6 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_7 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [5]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_7 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [7]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .lut_mask = "6c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_7_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N3
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_6_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_6  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_6  $ (\inst|vga_control_unit|toggle_counter_sig_cout [4]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [6] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [4] # !\inst|vga_control_unit|toggle_counter_sig_7  # !\inst|vga_control_unit|toggle_counter_sig_6 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35  # !\inst|vga_control_unit|toggle_counter_sig_7  # !\inst|vga_control_unit|toggle_counter_sig_6 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_6 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_7 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [4]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_6 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [6]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .lut_mask = "5a7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_6_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N4
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_9_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_9  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_9  $ (\inst|vga_control_unit|toggle_counter_sig_8  & !\inst|vga_control_unit|toggle_counter_sig_cout [7]), GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [9] = CARRY(\inst|vga_control_unit|toggle_counter_sig_8  & \inst|vga_control_unit|toggle_counter_sig_9  & !\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_9 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [7]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_9 ),
+       .cout(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .lut_mask = "c608";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_9_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N4
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_8_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_8  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_8  $ (!\inst|vga_control_unit|toggle_counter_sig_cout [6]), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , 
+// , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [8] = CARRY(\inst|vga_control_unit|toggle_counter_sig_8  & \inst|vga_control_unit|toggle_counter_sig_9  & !\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_9 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [6]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_8 ),
+       .cout(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .lut_mask = "a508";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_8_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N5
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto7_4  = !\inst|vga_control_unit|toggle_counter_sig_7  & !\inst|vga_control_unit|toggle_counter_sig_5  & !\inst|vga_control_unit|toggle_counter_sig_1  & !\inst|vga_control_unit|toggle_counter_sig_6 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_7 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_5 ),
+       .datac(\inst|vga_control_unit|toggle_counter_sig_1 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto7_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .lut_mask = "0001";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N9
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto7  = !\inst|vga_control_unit|toggle_counter_sig_3  & !\inst|vga_control_unit|toggle_counter_sig_4  & \inst|vga_control_unit|un1_toggle_counter_siglto7_4  & !\inst|vga_control_unit|toggle_counter_sig_2 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_3 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_4 ),
+       .datac(\inst|vga_control_unit|un1_toggle_counter_siglto7_4 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_2 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto7 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .lut_mask = "0010";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N5
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_11_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_11  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_11  $ (\inst|vga_control_unit|toggle_counter_sig_10  & \inst|vga_control_unit|toggle_counter_sig_cout [9]), GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [11] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11  # !\inst|vga_control_unit|toggle_counter_sig_10 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [9] # !\inst|vga_control_unit|toggle_counter_sig_11  # !\inst|vga_control_unit|toggle_counter_sig_10 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_10 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_11 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_11 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [11]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .lut_mask = "6c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_11_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N5
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_10_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_10  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_10  $ \inst|vga_control_unit|toggle_counter_sig_cout [8], GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [10] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_10  # !\inst|vga_control_unit|toggle_counter_sig_11 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [8] # !\inst|vga_control_unit|toggle_counter_sig_10  # !\inst|vga_control_unit|toggle_counter_sig_11 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_11 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_10 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_10 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [10]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .lut_mask = "3c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_10_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N8
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto10  = !\inst|vga_control_unit|toggle_counter_sig_9  & (\inst|vga_control_unit|un1_toggle_counter_siglto7  # !\inst|vga_control_unit|toggle_counter_sig_8 ) # !\inst|vga_control_unit|toggle_counter_sig_10 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_8 ),
+       .datab(\inst|vga_control_unit|un1_toggle_counter_siglto7 ),
+       .datac(\inst|vga_control_unit|toggle_counter_sig_9 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_10 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto10 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .lut_mask = "0dff";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N6
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_12_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_12  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_12  $ !(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [10]) # 
+// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [12] = CARRY(\inst|vga_control_unit|toggle_counter_sig_13  & \inst|vga_control_unit|toggle_counter_sig_12  & !\inst|vga_control_unit|toggle_counter_sig_cout [10])
+// \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41  = CARRY(\inst|vga_control_unit|toggle_counter_sig_13  & \inst|vga_control_unit|toggle_counter_sig_12  & !\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_12 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [10]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_12 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [12]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .lut_mask = "c308";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_12_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N6
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_13_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_13  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_13  $ (\inst|vga_control_unit|toggle_counter_sig_12  & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout 
+// [11]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [13] = CARRY(\inst|vga_control_unit|toggle_counter_sig_13  & \inst|vga_control_unit|toggle_counter_sig_12  & !\inst|vga_control_unit|toggle_counter_sig_cout [11])
+// \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27  = CARRY(\inst|vga_control_unit|toggle_counter_sig_13  & \inst|vga_control_unit|toggle_counter_sig_12  & !\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_12 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [11]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_13 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [13]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .lut_mask = "a608";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_13_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N7
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_15_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_15  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_15  $ (\inst|vga_control_unit|toggle_counter_sig_14  & (!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout 
+// [13]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [15] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [13] # !\inst|vga_control_unit|toggle_counter_sig_15  # !\inst|vga_control_unit|toggle_counter_sig_14 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27  # !\inst|vga_control_unit|toggle_counter_sig_15  # !\inst|vga_control_unit|toggle_counter_sig_14 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_15 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [13]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_15 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [15]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .lut_mask = "6c7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_15_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N7
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_14_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_14  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_14  $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [12]) # 
+// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [14] = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout [12] # !\inst|vga_control_unit|toggle_counter_sig_15  # !\inst|vga_control_unit|toggle_counter_sig_14 )
+// \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43  = CARRY(!\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41  # !\inst|vga_control_unit|toggle_counter_sig_15  # !\inst|vga_control_unit|toggle_counter_sig_14 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_14 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_15 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [12]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_14 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [14]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .lut_mask = "5a7f";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_14_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N8
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_17_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_17  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_17  $ (\inst|vga_control_unit|toggle_counter_sig_16  & !(!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout 
+// [15]) # (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [17] = CARRY(\inst|vga_control_unit|toggle_counter_sig_17  & \inst|vga_control_unit|toggle_counter_sig_16  & !\inst|vga_control_unit|toggle_counter_sig_cout [15])
+// \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31  = CARRY(\inst|vga_control_unit|toggle_counter_sig_17  & \inst|vga_control_unit|toggle_counter_sig_16  & !\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_17 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_16 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [15]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_17 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [17]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .lut_mask = "a608";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_17_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N8
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_16_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_16  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_16  $ (!(!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [14]) # 
+// (\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , 
+// !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+// \inst|vga_control_unit|toggle_counter_sig_cout [16] = CARRY(\inst|vga_control_unit|toggle_counter_sig_16  & \inst|vga_control_unit|toggle_counter_sig_17  & !\inst|vga_control_unit|toggle_counter_sig_cout [14])
+// \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45  = CARRY(\inst|vga_control_unit|toggle_counter_sig_16  & \inst|vga_control_unit|toggle_counter_sig_17  & !\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_16 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_17 ),
+       .datac(vcc),
+       .datad(vcc),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [14]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_16 ),
+       .cout(),
+       .cout0(\inst|vga_control_unit|toggle_counter_sig_cout [16]),
+       .cout1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ));
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .lut_mask = "a508";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .operation_mode = "arithmetic";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_16_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X52_Y46_N9
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_18_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_18  = DFFEAS((!\inst|vga_control_unit|toggle_counter_sig_cout [8] & \inst|vga_control_unit|toggle_counter_sig_cout [16]) # (\inst|vga_control_unit|toggle_counter_sig_cout [8] & 
+// \inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ) $ \inst|vga_control_unit|toggle_counter_sig_18 , GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 
+// , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_18 ),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [8]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [16]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_18 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .lut_mask = "0ff0";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .operation_mode = "normal";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_18_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X51_Y46_N9
+stratix_lcell \inst|vga_control_unit|toggle_counter_sig_19_ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_counter_sig_19  = DFFEAS(\inst|vga_control_unit|toggle_counter_sig_19  $ ((!\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout [17]) # 
+// (\inst|vga_control_unit|toggle_counter_sig_cout [9] & \inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ) & \inst|vga_control_unit|toggle_counter_sig_18 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x 
+// ), , , , , !\inst|vga_control_unit|toggle_sig_0_0_0_g1 , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(vcc),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_19 ),
+       .datac(vcc),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_18 ),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(\inst|vga_control_unit|toggle_counter_sig_cout [9]),
+       .cin0(\inst|vga_control_unit|toggle_counter_sig_cout [17]),
+       .cin1(\inst|vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31 ),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_counter_sig_19 ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin0_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin1_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .cin_used = "true";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .lut_mask = "3ccc";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .operation_mode = "normal";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .sum_lutc_input = "cin";
+defparam \inst|vga_control_unit|toggle_counter_sig_19_ .synch_mode = "on";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N1
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto19_4  = !\inst|vga_control_unit|toggle_counter_sig_18  # !\inst|vga_control_unit|toggle_counter_sig_17  # !\inst|vga_control_unit|toggle_counter_sig_19  # !\inst|vga_control_unit|toggle_counter_sig_16 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_16 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_19 ),
+       .datac(\inst|vga_control_unit|toggle_counter_sig_17 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_18 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19_4 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .lut_mask = "7fff";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N7
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto19_5  = \inst|vga_control_unit|un1_toggle_counter_siglto19_4  # !\inst|vga_control_unit|toggle_counter_sig_14  # !\inst|vga_control_unit|toggle_counter_sig_15  # !\inst|vga_control_unit|toggle_counter_sig_13 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|toggle_counter_sig_13 ),
+       .datab(\inst|vga_control_unit|un1_toggle_counter_siglto19_4 ),
+       .datac(\inst|vga_control_unit|toggle_counter_sig_15 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_14 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19_5 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .lut_mask = "dfff";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N3
+stratix_lcell \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 (
+// Equation(s):
+// \inst|vga_control_unit|un1_toggle_counter_siglto19  = \inst|vga_control_unit|un1_toggle_counter_siglto19_5  # \inst|vga_control_unit|un1_toggle_counter_siglto10  & !\inst|vga_control_unit|toggle_counter_sig_11  & 
+// !\inst|vga_control_unit|toggle_counter_sig_12 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|un1_toggle_counter_siglto10 ),
+       .datab(\inst|vga_control_unit|toggle_counter_sig_11 ),
+       .datac(\inst|vga_control_unit|un1_toggle_counter_siglto19_5 ),
+       .datad(\inst|vga_control_unit|toggle_counter_sig_12 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un1_toggle_counter_siglto19 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .lut_mask = "f0f2";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .operation_mode = "normal";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N4
+stratix_lcell \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ (
+// Equation(s):
+// \inst|vga_control_unit|toggle_sig_0_0_0_g1  = \inst|vga_control_unit|un1_toggle_counter_siglto19 
+
+       .clk(gnd),
+       .dataa(vcc),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_control_unit|un1_toggle_counter_siglto19 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .lut_mask = "ff00";
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .operation_mode = "normal";
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .output_mode = "comb_only";
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X50_Y46_N2
+stratix_lcell \inst|vga_control_unit|toggle_sig_Z (
+// Equation(s):
+// \inst|vga_control_unit|toggle_sig  = DFFEAS(\inst|vga_control_unit|toggle_sig  $ (!\inst|vga_control_unit|toggle_sig_0_0_0_g1 ), GLOBAL(\inst1|altpll_component|_clk0 ), !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|toggle_sig ),
+       .datab(vcc),
+       .datac(vcc),
+       .datad(\inst|vga_control_unit|toggle_sig_0_0_0_g1 ),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|toggle_sig ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|toggle_sig_Z .lut_mask = "aa55";
+defparam \inst|vga_control_unit|toggle_sig_Z .operation_mode = "normal";
+defparam \inst|vga_control_unit|toggle_sig_Z .output_mode = "reg_only";
+defparam \inst|vga_control_unit|toggle_sig_Z .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|toggle_sig_Z .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|toggle_sig_Z .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N7
+stratix_lcell \inst|vga_control_unit|b_next_0_g0_5_cZ (
+// Equation(s):
+// \inst|vga_control_unit|b_next_0_g0_5  = \inst|vga_control_unit|b_next_0_g0_3  & \inst|vga_driver_unit|h_enable_sig  & !\inst|vga_control_unit|un9_v_enablelto9  & \inst|vga_control_unit|toggle_sig 
+
+       .clk(gnd),
+       .dataa(\inst|vga_control_unit|b_next_0_g0_3 ),
+       .datab(\inst|vga_driver_unit|h_enable_sig ),
+       .datac(\inst|vga_control_unit|un9_v_enablelto9 ),
+       .datad(\inst|vga_control_unit|toggle_sig ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|b_next_0_g0_5 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .lut_mask = "0800";
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .operation_mode = "normal";
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .output_mode = "comb_only";
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|b_next_0_g0_5_cZ .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X3_Y33_N6
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a (
+// Equation(s):
+// \inst|vga_control_unit|un13_v_enablelto8_a  = !\inst|vga_driver_unit|line_counter_sig_4  & !\inst|vga_driver_unit|line_counter_sig_2  & !\inst|vga_driver_unit|line_counter_sig_3  # !\inst|vga_driver_unit|line_counter_sig_5 
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .datab(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .datad(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un13_v_enablelto8_a ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .lut_mask = "01ff";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N5
+stratix_lcell \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 (
+// Equation(s):
+// \inst|vga_control_unit|un13_v_enablelto8  = !\inst|vga_driver_unit|line_counter_sig_8  & !\inst|vga_driver_unit|line_counter_sig_7  & (\inst|vga_control_unit|un13_v_enablelto8_a  # !\inst|vga_driver_unit|line_counter_sig_6 )
+
+       .clk(gnd),
+       .dataa(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .datab(\inst|vga_control_unit|un13_v_enablelto8_a ),
+       .datac(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .datad(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .aclr(gnd),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(\inst|vga_control_unit|un13_v_enablelto8 ),
+       .regout(),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .lut_mask = "0405";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .operation_mode = "normal";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .output_mode = "comb_only";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at LC_X49_Y33_N6
+stratix_lcell \inst|vga_control_unit|b_Z (
+// Equation(s):
+// \inst|vga_control_unit|b  = DFFEAS(!\inst|vga_control_unit|un5_v_enablelto7  & !\inst|vga_control_unit|un17_v_enablelto7  & \inst|vga_control_unit|b_next_0_g0_5  & !\inst|vga_control_unit|un13_v_enablelto8 , GLOBAL(\inst1|altpll_component|_clk0 ), 
+// !GLOBAL(\inst|vga_driver_unit|un6_dly_counter_0_x ), , , , , , )
+
+       .clk(\inst1|altpll_component|_clk0 ),
+       .dataa(\inst|vga_control_unit|un5_v_enablelto7 ),
+       .datab(\inst|vga_control_unit|un17_v_enablelto7 ),
+       .datac(\inst|vga_control_unit|b_next_0_g0_5 ),
+       .datad(\inst|vga_control_unit|un13_v_enablelto8 ),
+       .aclr(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .aload(gnd),
+       .sclr(gnd),
+       .sload(gnd),
+       .ena(vcc),
+       .cin(gnd),
+       .cin0(gnd),
+       .cin1(vcc),
+       .inverta(gnd),
+       .regcascin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .combout(),
+       .regout(\inst|vga_control_unit|b ),
+       .cout(),
+       .cout0(),
+       .cout1());
+// synopsys translate_off
+defparam \inst|vga_control_unit|b_Z .lut_mask = "0010";
+defparam \inst|vga_control_unit|b_Z .operation_mode = "normal";
+defparam \inst|vga_control_unit|b_Z .output_mode = "reg_only";
+defparam \inst|vga_control_unit|b_Z .register_cascade_mode = "off";
+defparam \inst|vga_control_unit|b_Z .sum_lutc_input = "datac";
+defparam \inst|vga_control_unit|b_Z .synch_mode = "off";
+// synopsys translate_on
+
+// atom is at PIN_L7
+stratix_io \inst|d_hsync_out~I (
+       .datain(\inst|vga_driver_unit|h_sync ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_out~I .ddio_mode = "none";
+defparam \inst|d_hsync_out~I .input_async_reset = "none";
+defparam \inst|d_hsync_out~I .input_power_up = "low";
+defparam \inst|d_hsync_out~I .input_register_mode = "none";
+defparam \inst|d_hsync_out~I .input_sync_reset = "none";
+defparam \inst|d_hsync_out~I .oe_async_reset = "none";
+defparam \inst|d_hsync_out~I .oe_power_up = "low";
+defparam \inst|d_hsync_out~I .oe_register_mode = "none";
+defparam \inst|d_hsync_out~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_out~I .operation_mode = "output";
+defparam \inst|d_hsync_out~I .output_async_reset = "none";
+defparam \inst|d_hsync_out~I .output_power_up = "low";
+defparam \inst|d_hsync_out~I .output_register_mode = "none";
+defparam \inst|d_hsync_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L5
+stratix_io \inst|d_vsync_out~I (
+       .datain(\inst|vga_driver_unit|v_sync ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_out~I .ddio_mode = "none";
+defparam \inst|d_vsync_out~I .input_async_reset = "none";
+defparam \inst|d_vsync_out~I .input_power_up = "low";
+defparam \inst|d_vsync_out~I .input_register_mode = "none";
+defparam \inst|d_vsync_out~I .input_sync_reset = "none";
+defparam \inst|d_vsync_out~I .oe_async_reset = "none";
+defparam \inst|d_vsync_out~I .oe_power_up = "low";
+defparam \inst|d_vsync_out~I .oe_register_mode = "none";
+defparam \inst|d_vsync_out~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_out~I .operation_mode = "output";
+defparam \inst|d_vsync_out~I .output_async_reset = "none";
+defparam \inst|d_vsync_out~I .output_power_up = "low";
+defparam \inst|d_vsync_out~I .output_register_mode = "none";
+defparam \inst|d_vsync_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_Y23
+stratix_io \inst|d_set_column_counter_out~I (
+       .datain(\inst|vga_driver_unit|hsync_state_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_set_column_counter),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_set_column_counter_out~I .ddio_mode = "none";
+defparam \inst|d_set_column_counter_out~I .input_async_reset = "none";
+defparam \inst|d_set_column_counter_out~I .input_power_up = "low";
+defparam \inst|d_set_column_counter_out~I .input_register_mode = "none";
+defparam \inst|d_set_column_counter_out~I .input_sync_reset = "none";
+defparam \inst|d_set_column_counter_out~I .oe_async_reset = "none";
+defparam \inst|d_set_column_counter_out~I .oe_power_up = "low";
+defparam \inst|d_set_column_counter_out~I .oe_register_mode = "none";
+defparam \inst|d_set_column_counter_out~I .oe_sync_reset = "none";
+defparam \inst|d_set_column_counter_out~I .operation_mode = "output";
+defparam \inst|d_set_column_counter_out~I .output_async_reset = "none";
+defparam \inst|d_set_column_counter_out~I .output_power_up = "low";
+defparam \inst|d_set_column_counter_out~I .output_register_mode = "none";
+defparam \inst|d_set_column_counter_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F21
+stratix_io \inst|d_set_line_counter_out~I (
+       .datain(\inst|vga_driver_unit|vsync_state_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_set_line_counter),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_set_line_counter_out~I .ddio_mode = "none";
+defparam \inst|d_set_line_counter_out~I .input_async_reset = "none";
+defparam \inst|d_set_line_counter_out~I .input_power_up = "low";
+defparam \inst|d_set_line_counter_out~I .input_register_mode = "none";
+defparam \inst|d_set_line_counter_out~I .input_sync_reset = "none";
+defparam \inst|d_set_line_counter_out~I .oe_async_reset = "none";
+defparam \inst|d_set_line_counter_out~I .oe_power_up = "low";
+defparam \inst|d_set_line_counter_out~I .oe_register_mode = "none";
+defparam \inst|d_set_line_counter_out~I .oe_sync_reset = "none";
+defparam \inst|d_set_line_counter_out~I .operation_mode = "output";
+defparam \inst|d_set_line_counter_out~I .output_async_reset = "none";
+defparam \inst|d_set_line_counter_out~I .output_power_up = "low";
+defparam \inst|d_set_line_counter_out~I .output_register_mode = "none";
+defparam \inst|d_set_line_counter_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F26
+stratix_io \inst|d_set_hsync_counter_out~I (
+       .datain(\inst|vga_driver_unit|d_set_hsync_counter ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_set_hsync_counter),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_set_hsync_counter_out~I .ddio_mode = "none";
+defparam \inst|d_set_hsync_counter_out~I .input_async_reset = "none";
+defparam \inst|d_set_hsync_counter_out~I .input_power_up = "low";
+defparam \inst|d_set_hsync_counter_out~I .input_register_mode = "none";
+defparam \inst|d_set_hsync_counter_out~I .input_sync_reset = "none";
+defparam \inst|d_set_hsync_counter_out~I .oe_async_reset = "none";
+defparam \inst|d_set_hsync_counter_out~I .oe_power_up = "low";
+defparam \inst|d_set_hsync_counter_out~I .oe_register_mode = "none";
+defparam \inst|d_set_hsync_counter_out~I .oe_sync_reset = "none";
+defparam \inst|d_set_hsync_counter_out~I .operation_mode = "output";
+defparam \inst|d_set_hsync_counter_out~I .output_async_reset = "none";
+defparam \inst|d_set_hsync_counter_out~I .output_power_up = "low";
+defparam \inst|d_set_hsync_counter_out~I .output_register_mode = "none";
+defparam \inst|d_set_hsync_counter_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F24
+stratix_io \inst|d_set_vsync_counter_out~I (
+       .datain(\inst|vga_driver_unit|d_set_vsync_counter ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_set_vsync_counter),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_set_vsync_counter_out~I .ddio_mode = "none";
+defparam \inst|d_set_vsync_counter_out~I .input_async_reset = "none";
+defparam \inst|d_set_vsync_counter_out~I .input_power_up = "low";
+defparam \inst|d_set_vsync_counter_out~I .input_register_mode = "none";
+defparam \inst|d_set_vsync_counter_out~I .input_sync_reset = "none";
+defparam \inst|d_set_vsync_counter_out~I .oe_async_reset = "none";
+defparam \inst|d_set_vsync_counter_out~I .oe_power_up = "low";
+defparam \inst|d_set_vsync_counter_out~I .oe_register_mode = "none";
+defparam \inst|d_set_vsync_counter_out~I .oe_sync_reset = "none";
+defparam \inst|d_set_vsync_counter_out~I .operation_mode = "output";
+defparam \inst|d_set_vsync_counter_out~I .output_async_reset = "none";
+defparam \inst|d_set_vsync_counter_out~I .output_power_up = "low";
+defparam \inst|d_set_vsync_counter_out~I .output_register_mode = "none";
+defparam \inst|d_set_vsync_counter_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L3
+stratix_io \inst|d_r_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_r),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_r_out~I .ddio_mode = "none";
+defparam \inst|d_r_out~I .input_async_reset = "none";
+defparam \inst|d_r_out~I .input_power_up = "low";
+defparam \inst|d_r_out~I .input_register_mode = "none";
+defparam \inst|d_r_out~I .input_sync_reset = "none";
+defparam \inst|d_r_out~I .oe_async_reset = "none";
+defparam \inst|d_r_out~I .oe_power_up = "low";
+defparam \inst|d_r_out~I .oe_register_mode = "none";
+defparam \inst|d_r_out~I .oe_sync_reset = "none";
+defparam \inst|d_r_out~I .operation_mode = "output";
+defparam \inst|d_r_out~I .output_async_reset = "none";
+defparam \inst|d_r_out~I .output_power_up = "low";
+defparam \inst|d_r_out~I .output_register_mode = "none";
+defparam \inst|d_r_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K24
+stratix_io \inst|d_g_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_g),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_g_out~I .ddio_mode = "none";
+defparam \inst|d_g_out~I .input_async_reset = "none";
+defparam \inst|d_g_out~I .input_power_up = "low";
+defparam \inst|d_g_out~I .input_register_mode = "none";
+defparam \inst|d_g_out~I .input_sync_reset = "none";
+defparam \inst|d_g_out~I .oe_async_reset = "none";
+defparam \inst|d_g_out~I .oe_power_up = "low";
+defparam \inst|d_g_out~I .oe_register_mode = "none";
+defparam \inst|d_g_out~I .oe_sync_reset = "none";
+defparam \inst|d_g_out~I .operation_mode = "output";
+defparam \inst|d_g_out~I .output_async_reset = "none";
+defparam \inst|d_g_out~I .output_power_up = "low";
+defparam \inst|d_g_out~I .output_register_mode = "none";
+defparam \inst|d_g_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K20
+stratix_io \inst|d_b_out~I (
+       .datain(\inst|vga_control_unit|b ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_b),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_b_out~I .ddio_mode = "none";
+defparam \inst|d_b_out~I .input_async_reset = "none";
+defparam \inst|d_b_out~I .input_power_up = "low";
+defparam \inst|d_b_out~I .input_register_mode = "none";
+defparam \inst|d_b_out~I .input_sync_reset = "none";
+defparam \inst|d_b_out~I .oe_async_reset = "none";
+defparam \inst|d_b_out~I .oe_power_up = "low";
+defparam \inst|d_b_out~I .oe_register_mode = "none";
+defparam \inst|d_b_out~I .oe_sync_reset = "none";
+defparam \inst|d_b_out~I .operation_mode = "output";
+defparam \inst|d_b_out~I .output_async_reset = "none";
+defparam \inst|d_b_out~I .output_power_up = "low";
+defparam \inst|d_b_out~I .output_register_mode = "none";
+defparam \inst|d_b_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_J21
+stratix_io \inst|d_h_enable_out~I (
+       .datain(\inst|vga_driver_unit|h_enable_sig ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_h_enable),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_h_enable_out~I .ddio_mode = "none";
+defparam \inst|d_h_enable_out~I .input_async_reset = "none";
+defparam \inst|d_h_enable_out~I .input_power_up = "low";
+defparam \inst|d_h_enable_out~I .input_register_mode = "none";
+defparam \inst|d_h_enable_out~I .input_sync_reset = "none";
+defparam \inst|d_h_enable_out~I .oe_async_reset = "none";
+defparam \inst|d_h_enable_out~I .oe_power_up = "low";
+defparam \inst|d_h_enable_out~I .oe_register_mode = "none";
+defparam \inst|d_h_enable_out~I .oe_sync_reset = "none";
+defparam \inst|d_h_enable_out~I .operation_mode = "output";
+defparam \inst|d_h_enable_out~I .output_async_reset = "none";
+defparam \inst|d_h_enable_out~I .output_power_up = "low";
+defparam \inst|d_h_enable_out~I .output_register_mode = "none";
+defparam \inst|d_h_enable_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H18
+stratix_io \inst|d_v_enable_out~I (
+       .datain(\inst|vga_driver_unit|v_enable_sig ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_v_enable),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_v_enable_out~I .ddio_mode = "none";
+defparam \inst|d_v_enable_out~I .input_async_reset = "none";
+defparam \inst|d_v_enable_out~I .input_power_up = "low";
+defparam \inst|d_v_enable_out~I .input_register_mode = "none";
+defparam \inst|d_v_enable_out~I .input_sync_reset = "none";
+defparam \inst|d_v_enable_out~I .oe_async_reset = "none";
+defparam \inst|d_v_enable_out~I .oe_power_up = "low";
+defparam \inst|d_v_enable_out~I .oe_register_mode = "none";
+defparam \inst|d_v_enable_out~I .oe_sync_reset = "none";
+defparam \inst|d_v_enable_out~I .operation_mode = "output";
+defparam \inst|d_v_enable_out~I .output_async_reset = "none";
+defparam \inst|d_v_enable_out~I .output_power_up = "low";
+defparam \inst|d_v_enable_out~I .output_register_mode = "none";
+defparam \inst|d_v_enable_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K3
+stratix_io \inst|d_state_clk_out~I (
+       .datain(\inst1|altpll_component|_clk0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_state_clk),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_state_clk_out~I .ddio_mode = "none";
+defparam \inst|d_state_clk_out~I .input_async_reset = "none";
+defparam \inst|d_state_clk_out~I .input_power_up = "low";
+defparam \inst|d_state_clk_out~I .input_register_mode = "none";
+defparam \inst|d_state_clk_out~I .input_sync_reset = "none";
+defparam \inst|d_state_clk_out~I .oe_async_reset = "none";
+defparam \inst|d_state_clk_out~I .oe_power_up = "low";
+defparam \inst|d_state_clk_out~I .oe_register_mode = "none";
+defparam \inst|d_state_clk_out~I .oe_sync_reset = "none";
+defparam \inst|d_state_clk_out~I .operation_mode = "output";
+defparam \inst|d_state_clk_out~I .output_async_reset = "none";
+defparam \inst|d_state_clk_out~I .output_power_up = "low";
+defparam \inst|d_state_clk_out~I .output_register_mode = "none";
+defparam \inst|d_state_clk_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H3
+stratix_io \inst|d_toggle_out~I (
+       .datain(\inst|vga_control_unit|toggle_sig ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_out~I .ddio_mode = "none";
+defparam \inst|d_toggle_out~I .input_async_reset = "none";
+defparam \inst|d_toggle_out~I .input_power_up = "low";
+defparam \inst|d_toggle_out~I .input_register_mode = "none";
+defparam \inst|d_toggle_out~I .input_sync_reset = "none";
+defparam \inst|d_toggle_out~I .oe_async_reset = "none";
+defparam \inst|d_toggle_out~I .oe_power_up = "low";
+defparam \inst|d_toggle_out~I .oe_register_mode = "none";
+defparam \inst|d_toggle_out~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_out~I .operation_mode = "output";
+defparam \inst|d_toggle_out~I .output_async_reset = "none";
+defparam \inst|d_toggle_out~I .output_power_up = "low";
+defparam \inst|d_toggle_out~I .output_register_mode = "none";
+defparam \inst|d_toggle_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E22
+stratix_io \inst|r0_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(r0_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|r0_pin_out~I .ddio_mode = "none";
+defparam \inst|r0_pin_out~I .input_async_reset = "none";
+defparam \inst|r0_pin_out~I .input_power_up = "low";
+defparam \inst|r0_pin_out~I .input_register_mode = "none";
+defparam \inst|r0_pin_out~I .input_sync_reset = "none";
+defparam \inst|r0_pin_out~I .oe_async_reset = "none";
+defparam \inst|r0_pin_out~I .oe_power_up = "low";
+defparam \inst|r0_pin_out~I .oe_register_mode = "none";
+defparam \inst|r0_pin_out~I .oe_sync_reset = "none";
+defparam \inst|r0_pin_out~I .operation_mode = "output";
+defparam \inst|r0_pin_out~I .output_async_reset = "none";
+defparam \inst|r0_pin_out~I .output_power_up = "low";
+defparam \inst|r0_pin_out~I .output_register_mode = "none";
+defparam \inst|r0_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T4
+stratix_io \inst|r1_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(r1_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|r1_pin_out~I .ddio_mode = "none";
+defparam \inst|r1_pin_out~I .input_async_reset = "none";
+defparam \inst|r1_pin_out~I .input_power_up = "low";
+defparam \inst|r1_pin_out~I .input_register_mode = "none";
+defparam \inst|r1_pin_out~I .input_sync_reset = "none";
+defparam \inst|r1_pin_out~I .oe_async_reset = "none";
+defparam \inst|r1_pin_out~I .oe_power_up = "low";
+defparam \inst|r1_pin_out~I .oe_register_mode = "none";
+defparam \inst|r1_pin_out~I .oe_sync_reset = "none";
+defparam \inst|r1_pin_out~I .operation_mode = "output";
+defparam \inst|r1_pin_out~I .output_async_reset = "none";
+defparam \inst|r1_pin_out~I .output_power_up = "low";
+defparam \inst|r1_pin_out~I .output_register_mode = "none";
+defparam \inst|r1_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T7
+stratix_io \inst|r2_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(r2_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|r2_pin_out~I .ddio_mode = "none";
+defparam \inst|r2_pin_out~I .input_async_reset = "none";
+defparam \inst|r2_pin_out~I .input_power_up = "low";
+defparam \inst|r2_pin_out~I .input_register_mode = "none";
+defparam \inst|r2_pin_out~I .input_sync_reset = "none";
+defparam \inst|r2_pin_out~I .oe_async_reset = "none";
+defparam \inst|r2_pin_out~I .oe_power_up = "low";
+defparam \inst|r2_pin_out~I .oe_register_mode = "none";
+defparam \inst|r2_pin_out~I .oe_sync_reset = "none";
+defparam \inst|r2_pin_out~I .operation_mode = "output";
+defparam \inst|r2_pin_out~I .output_async_reset = "none";
+defparam \inst|r2_pin_out~I .output_power_up = "low";
+defparam \inst|r2_pin_out~I .output_register_mode = "none";
+defparam \inst|r2_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E23
+stratix_io \inst|g0_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(g0_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|g0_pin_out~I .ddio_mode = "none";
+defparam \inst|g0_pin_out~I .input_async_reset = "none";
+defparam \inst|g0_pin_out~I .input_power_up = "low";
+defparam \inst|g0_pin_out~I .input_register_mode = "none";
+defparam \inst|g0_pin_out~I .input_sync_reset = "none";
+defparam \inst|g0_pin_out~I .oe_async_reset = "none";
+defparam \inst|g0_pin_out~I .oe_power_up = "low";
+defparam \inst|g0_pin_out~I .oe_register_mode = "none";
+defparam \inst|g0_pin_out~I .oe_sync_reset = "none";
+defparam \inst|g0_pin_out~I .operation_mode = "output";
+defparam \inst|g0_pin_out~I .output_async_reset = "none";
+defparam \inst|g0_pin_out~I .output_power_up = "low";
+defparam \inst|g0_pin_out~I .output_register_mode = "none";
+defparam \inst|g0_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T5
+stratix_io \inst|g1_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(g1_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|g1_pin_out~I .ddio_mode = "none";
+defparam \inst|g1_pin_out~I .input_async_reset = "none";
+defparam \inst|g1_pin_out~I .input_power_up = "low";
+defparam \inst|g1_pin_out~I .input_register_mode = "none";
+defparam \inst|g1_pin_out~I .input_sync_reset = "none";
+defparam \inst|g1_pin_out~I .oe_async_reset = "none";
+defparam \inst|g1_pin_out~I .oe_power_up = "low";
+defparam \inst|g1_pin_out~I .oe_register_mode = "none";
+defparam \inst|g1_pin_out~I .oe_sync_reset = "none";
+defparam \inst|g1_pin_out~I .operation_mode = "output";
+defparam \inst|g1_pin_out~I .output_async_reset = "none";
+defparam \inst|g1_pin_out~I .output_power_up = "low";
+defparam \inst|g1_pin_out~I .output_register_mode = "none";
+defparam \inst|g1_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T24
+stratix_io \inst|g2_pin_out~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(g2_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|g2_pin_out~I .ddio_mode = "none";
+defparam \inst|g2_pin_out~I .input_async_reset = "none";
+defparam \inst|g2_pin_out~I .input_power_up = "low";
+defparam \inst|g2_pin_out~I .input_register_mode = "none";
+defparam \inst|g2_pin_out~I .input_sync_reset = "none";
+defparam \inst|g2_pin_out~I .oe_async_reset = "none";
+defparam \inst|g2_pin_out~I .oe_power_up = "low";
+defparam \inst|g2_pin_out~I .oe_register_mode = "none";
+defparam \inst|g2_pin_out~I .oe_sync_reset = "none";
+defparam \inst|g2_pin_out~I .operation_mode = "output";
+defparam \inst|g2_pin_out~I .output_async_reset = "none";
+defparam \inst|g2_pin_out~I .output_power_up = "low";
+defparam \inst|g2_pin_out~I .output_register_mode = "none";
+defparam \inst|g2_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E24
+stratix_io \inst|b0_pin_out~I (
+       .datain(\inst|vga_control_unit|b ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(b0_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|b0_pin_out~I .ddio_mode = "none";
+defparam \inst|b0_pin_out~I .input_async_reset = "none";
+defparam \inst|b0_pin_out~I .input_power_up = "low";
+defparam \inst|b0_pin_out~I .input_register_mode = "none";
+defparam \inst|b0_pin_out~I .input_sync_reset = "none";
+defparam \inst|b0_pin_out~I .oe_async_reset = "none";
+defparam \inst|b0_pin_out~I .oe_power_up = "low";
+defparam \inst|b0_pin_out~I .oe_register_mode = "none";
+defparam \inst|b0_pin_out~I .oe_sync_reset = "none";
+defparam \inst|b0_pin_out~I .operation_mode = "output";
+defparam \inst|b0_pin_out~I .output_async_reset = "none";
+defparam \inst|b0_pin_out~I .output_power_up = "low";
+defparam \inst|b0_pin_out~I .output_register_mode = "none";
+defparam \inst|b0_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T6
+stratix_io \inst|b1_pin_out~I (
+       .datain(\inst|vga_control_unit|b ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(b1_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|b1_pin_out~I .ddio_mode = "none";
+defparam \inst|b1_pin_out~I .input_async_reset = "none";
+defparam \inst|b1_pin_out~I .input_power_up = "low";
+defparam \inst|b1_pin_out~I .input_register_mode = "none";
+defparam \inst|b1_pin_out~I .input_sync_reset = "none";
+defparam \inst|b1_pin_out~I .oe_async_reset = "none";
+defparam \inst|b1_pin_out~I .oe_power_up = "low";
+defparam \inst|b1_pin_out~I .oe_register_mode = "none";
+defparam \inst|b1_pin_out~I .oe_sync_reset = "none";
+defparam \inst|b1_pin_out~I .operation_mode = "output";
+defparam \inst|b1_pin_out~I .output_async_reset = "none";
+defparam \inst|b1_pin_out~I .output_power_up = "low";
+defparam \inst|b1_pin_out~I .output_register_mode = "none";
+defparam \inst|b1_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F1
+stratix_io \inst|hsync_pin_out~I (
+       .datain(\inst|vga_driver_unit|h_sync ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(hsync_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|hsync_pin_out~I .ddio_mode = "none";
+defparam \inst|hsync_pin_out~I .input_async_reset = "none";
+defparam \inst|hsync_pin_out~I .input_power_up = "low";
+defparam \inst|hsync_pin_out~I .input_register_mode = "none";
+defparam \inst|hsync_pin_out~I .input_sync_reset = "none";
+defparam \inst|hsync_pin_out~I .oe_async_reset = "none";
+defparam \inst|hsync_pin_out~I .oe_power_up = "low";
+defparam \inst|hsync_pin_out~I .oe_register_mode = "none";
+defparam \inst|hsync_pin_out~I .oe_sync_reset = "none";
+defparam \inst|hsync_pin_out~I .operation_mode = "output";
+defparam \inst|hsync_pin_out~I .output_async_reset = "none";
+defparam \inst|hsync_pin_out~I .output_power_up = "low";
+defparam \inst|hsync_pin_out~I .output_register_mode = "none";
+defparam \inst|hsync_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F2
+stratix_io \inst|vsync_pin_out~I (
+       .datain(\inst|vga_driver_unit|v_sync ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(vsync_pin),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|vsync_pin_out~I .ddio_mode = "none";
+defparam \inst|vsync_pin_out~I .input_async_reset = "none";
+defparam \inst|vsync_pin_out~I .input_power_up = "low";
+defparam \inst|vsync_pin_out~I .input_register_mode = "none";
+defparam \inst|vsync_pin_out~I .input_sync_reset = "none";
+defparam \inst|vsync_pin_out~I .oe_async_reset = "none";
+defparam \inst|vsync_pin_out~I .oe_power_up = "low";
+defparam \inst|vsync_pin_out~I .oe_register_mode = "none";
+defparam \inst|vsync_pin_out~I .oe_sync_reset = "none";
+defparam \inst|vsync_pin_out~I .operation_mode = "output";
+defparam \inst|vsync_pin_out~I .output_async_reset = "none";
+defparam \inst|vsync_pin_out~I .output_power_up = "low";
+defparam \inst|vsync_pin_out~I .output_register_mode = "none";
+defparam \inst|vsync_pin_out~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K5
+stratix_io \inst|d_column_counter_out_9_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_9 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[9]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_9_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_9_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_9_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_9_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_9_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_9_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_9_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_9_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_9_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_9_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_9_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_9_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_9_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_9_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K19
+stratix_io \inst|d_column_counter_out_8_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_8 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_8_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_8_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_8_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_8_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_8_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_8_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_8_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_8_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_8_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_8_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_8_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_8_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_8_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K23
+stratix_io \inst|d_column_counter_out_7_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_7 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_7_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_7_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_7_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_7_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_7_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_7_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_7_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_7_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_7_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_7_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_7_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_7_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_7_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L2
+stratix_io \inst|d_column_counter_out_6_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_6_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_6_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_6_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_6_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_6_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_6_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_6_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_6_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_6_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L4
+stratix_io \inst|d_column_counter_out_5_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_5_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_5_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_5_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_5_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_5_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_5_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_5_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_5_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_5_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L6
+stratix_io \inst|d_column_counter_out_4_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_4_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_4_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_4_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_4_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_4_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_4_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_4_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_4_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_4_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L20
+stratix_io \inst|d_column_counter_out_3_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_3_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_3_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_3_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_3_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_3_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_3_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_3_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_3_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_3_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L21
+stratix_io \inst|d_column_counter_out_2_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_2_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_2_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_2_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_2_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_2_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_2_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_2_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_2_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_2_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L22
+stratix_io \inst|d_column_counter_out_1_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_1_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_1_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_1_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_1_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_1_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_1_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_1_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_1_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_1_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L23
+stratix_io \inst|d_column_counter_out_0_~I (
+       .datain(\inst|vga_driver_unit|column_counter_sig_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_column_counter[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_column_counter_out_0_~I .ddio_mode = "none";
+defparam \inst|d_column_counter_out_0_~I .input_async_reset = "none";
+defparam \inst|d_column_counter_out_0_~I .input_power_up = "low";
+defparam \inst|d_column_counter_out_0_~I .input_register_mode = "none";
+defparam \inst|d_column_counter_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_column_counter_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_column_counter_out_0_~I .oe_power_up = "low";
+defparam \inst|d_column_counter_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_column_counter_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_column_counter_out_0_~I .operation_mode = "output";
+defparam \inst|d_column_counter_out_0_~I .output_async_reset = "none";
+defparam \inst|d_column_counter_out_0_~I .output_power_up = "low";
+defparam \inst|d_column_counter_out_0_~I .output_register_mode = "none";
+defparam \inst|d_column_counter_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G18
+stratix_io \inst|d_hsync_counter_out_9_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_9 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[9]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_9_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_9_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_9_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_9_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_9_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_9_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_9_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_9_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_9_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_9_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_9_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_9_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_9_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_9_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G22
+stratix_io \inst|d_hsync_counter_out_8_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_8 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_8_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_8_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_8_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_8_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_8_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_8_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_8_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_8_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_8_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_8_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_8_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_8_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_8_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G25
+stratix_io \inst|d_hsync_counter_out_7_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_7 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_7_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_7_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_7_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_7_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_7_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_7_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_7_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_7_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_7_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_7_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_7_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_7_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_7_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_C10
+stratix_io \inst|d_hsync_counter_out_6_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_6_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_6_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_6_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_6_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_6_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_6_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_6_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_6_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_6_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_A9
+stratix_io \inst|d_hsync_counter_out_5_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_5_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_5_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_5_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_5_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_5_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_5_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_5_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_5_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_5_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H1
+stratix_io \inst|d_hsync_counter_out_4_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_4_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_4_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_4_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_4_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_4_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_4_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_4_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_4_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_4_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_B10
+stratix_io \inst|d_hsync_counter_out_3_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_3_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_3_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_3_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_3_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_3_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_3_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_3_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_3_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_3_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_D10
+stratix_io \inst|d_hsync_counter_out_2_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_2_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_2_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_2_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_2_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_2_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_2_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_2_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_2_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_2_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_AC10
+stratix_io \inst|d_hsync_counter_out_1_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_1_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_1_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_1_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_1_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_1_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_1_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_1_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_1_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_1_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H4
+stratix_io \inst|d_hsync_counter_out_0_~I (
+       .datain(\inst|vga_driver_unit|hsync_counter_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_counter[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_counter_out_0_~I .ddio_mode = "none";
+defparam \inst|d_hsync_counter_out_0_~I .input_async_reset = "none";
+defparam \inst|d_hsync_counter_out_0_~I .input_power_up = "low";
+defparam \inst|d_hsync_counter_out_0_~I .input_register_mode = "none";
+defparam \inst|d_hsync_counter_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_counter_out_0_~I .oe_power_up = "low";
+defparam \inst|d_hsync_counter_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_counter_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_counter_out_0_~I .operation_mode = "output";
+defparam \inst|d_hsync_counter_out_0_~I .output_async_reset = "none";
+defparam \inst|d_hsync_counter_out_0_~I .output_power_up = "low";
+defparam \inst|d_hsync_counter_out_0_~I .output_register_mode = "none";
+defparam \inst|d_hsync_counter_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_Y5
+stratix_io \inst|d_hsync_state_out_0_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_0_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_0_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_0_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_0_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_0_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_0_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_0_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_0_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_0_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F19
+stratix_io \inst|d_hsync_state_out_1_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_1_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_1_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_1_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_1_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_1_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_1_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_1_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_1_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_1_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F17
+stratix_io \inst|d_hsync_state_out_2_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_2_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_2_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_2_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_2_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_2_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_2_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_2_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_2_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_2_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_Y2
+stratix_io \inst|d_hsync_state_out_3_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_3_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_3_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_3_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_3_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_3_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_3_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_3_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_3_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_3_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F10
+stratix_io \inst|d_hsync_state_out_4_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_4_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_4_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_4_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_4_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_4_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_4_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_4_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_4_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_4_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F9
+stratix_io \inst|d_hsync_state_out_5_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_5_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_5_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_5_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_5_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_5_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_5_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_5_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_5_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_5_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F6
+stratix_io \inst|d_hsync_state_out_6_~I (
+       .datain(\inst|vga_driver_unit|hsync_state_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_hsync_state[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_hsync_state_out_6_~I .ddio_mode = "none";
+defparam \inst|d_hsync_state_out_6_~I .input_async_reset = "none";
+defparam \inst|d_hsync_state_out_6_~I .input_power_up = "low";
+defparam \inst|d_hsync_state_out_6_~I .input_register_mode = "none";
+defparam \inst|d_hsync_state_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_hsync_state_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_hsync_state_out_6_~I .oe_power_up = "low";
+defparam \inst|d_hsync_state_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_hsync_state_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_hsync_state_out_6_~I .operation_mode = "output";
+defparam \inst|d_hsync_state_out_6_~I .output_async_reset = "none";
+defparam \inst|d_hsync_state_out_6_~I .output_power_up = "low";
+defparam \inst|d_hsync_state_out_6_~I .output_register_mode = "none";
+defparam \inst|d_hsync_state_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L25
+stratix_io \inst|d_line_counter_out_8_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_8 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_8_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_8_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_8_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_8_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_8_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_8_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_8_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_8_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_8_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_8_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_8_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_8_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_8_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_L24
+stratix_io \inst|d_line_counter_out_7_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_7 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_7_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_7_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_7_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_7_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_7_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_7_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_7_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_7_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_7_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_7_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_7_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_7_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_7_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M5
+stratix_io \inst|d_line_counter_out_6_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_6_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_6_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_6_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_6_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_6_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_6_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_6_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_6_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_6_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M6
+stratix_io \inst|d_line_counter_out_5_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_5_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_5_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_5_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_5_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_5_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_5_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_5_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_5_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_5_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M8
+stratix_io \inst|d_line_counter_out_4_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_4_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_4_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_4_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_4_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_4_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_4_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_4_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_4_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_4_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M9
+stratix_io \inst|d_line_counter_out_3_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_3_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_3_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_3_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_3_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_3_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_3_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_3_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_3_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_3_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_J22
+stratix_io \inst|d_line_counter_out_2_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_2_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_2_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_2_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_2_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_2_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_2_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_2_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_2_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_2_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K4
+stratix_io \inst|d_line_counter_out_1_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_1_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_1_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_1_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_1_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_1_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_1_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_1_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_1_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_1_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_K6
+stratix_io \inst|d_line_counter_out_0_~I (
+       .datain(\inst|vga_driver_unit|line_counter_sig_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_line_counter[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_line_counter_out_0_~I .ddio_mode = "none";
+defparam \inst|d_line_counter_out_0_~I .input_async_reset = "none";
+defparam \inst|d_line_counter_out_0_~I .input_power_up = "low";
+defparam \inst|d_line_counter_out_0_~I .input_register_mode = "none";
+defparam \inst|d_line_counter_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_line_counter_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_line_counter_out_0_~I .oe_power_up = "low";
+defparam \inst|d_line_counter_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_line_counter_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_line_counter_out_0_~I .operation_mode = "output";
+defparam \inst|d_line_counter_out_0_~I .output_async_reset = "none";
+defparam \inst|d_line_counter_out_0_~I .output_power_up = "low";
+defparam \inst|d_line_counter_out_0_~I .output_register_mode = "none";
+defparam \inst|d_line_counter_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T19
+stratix_io \inst|d_toggle_counter_out_24_~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[24]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_24_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_24_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_24_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_24_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_24_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_24_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_24_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_24_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_24_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_24_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_24_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_24_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_24_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_24_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F23
+stratix_io \inst|d_toggle_counter_out_23_~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[23]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_23_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_23_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_23_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_23_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_23_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_23_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_23_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_23_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_23_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_23_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_23_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_23_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_23_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_23_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F25
+stratix_io \inst|d_toggle_counter_out_22_~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[22]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_22_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_22_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_22_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_22_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_22_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_22_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_22_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_22_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_22_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_22_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_22_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_22_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_22_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_22_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G1
+stratix_io \inst|d_toggle_counter_out_21_~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[21]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_21_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_21_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_21_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_21_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_21_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_21_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_21_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_21_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_21_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_21_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_21_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_21_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_21_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_21_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G3
+stratix_io \inst|d_toggle_counter_out_20_~I (
+       .datain(\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[20]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_20_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_20_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_20_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_20_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_20_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_20_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_20_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_20_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_20_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_20_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_20_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_20_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_20_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_20_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G5
+stratix_io \inst|d_toggle_counter_out_19_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_19 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[19]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_19_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_19_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_19_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_19_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_19_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_19_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_19_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_19_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_19_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_19_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_19_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_19_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_19_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_19_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G20
+stratix_io \inst|d_toggle_counter_out_18_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_18 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[18]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_18_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_18_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_18_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_18_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_18_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_18_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_18_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_18_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_18_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_18_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_18_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_18_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_18_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_18_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G21
+stratix_io \inst|d_toggle_counter_out_17_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_17 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[17]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_17_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_17_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_17_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_17_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_17_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_17_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_17_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_17_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_17_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_17_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_17_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_17_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_17_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_17_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G23
+stratix_io \inst|d_toggle_counter_out_16_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_16 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[16]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_16_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_16_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_16_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_16_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_16_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_16_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_16_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_16_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_16_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_16_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_16_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_16_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_16_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_16_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G24
+stratix_io \inst|d_toggle_counter_out_15_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_15 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[15]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_15_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_15_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_15_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_15_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_15_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_15_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_15_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_15_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_15_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_15_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_15_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_15_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_15_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_15_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F13
+stratix_io \inst|d_toggle_counter_out_14_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_14 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[14]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_14_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_14_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_14_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_14_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_14_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_14_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_14_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_14_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_14_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_14_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_14_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_14_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_14_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_14_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E16
+stratix_io \inst|d_toggle_counter_out_13_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_13 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[13]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_13_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_13_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_13_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_13_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_13_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_13_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_13_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_13_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_13_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_13_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_13_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_13_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_13_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_13_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E14
+stratix_io \inst|d_toggle_counter_out_12_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_12 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[12]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_12_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_12_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_12_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_12_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_12_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_12_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_12_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_12_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_12_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_12_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_12_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_12_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_12_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_12_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_D24
+stratix_io \inst|d_toggle_counter_out_11_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_11 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[11]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_11_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_11_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_11_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_11_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_11_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_11_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_11_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_11_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_11_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_11_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_11_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_11_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_11_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_11_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F12
+stratix_io \inst|d_toggle_counter_out_10_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_10 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[10]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_10_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_10_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_10_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_10_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_10_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_10_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_10_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_10_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_10_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_10_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_10_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_10_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_10_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_10_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_C16
+stratix_io \inst|d_toggle_counter_out_9_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_9 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[9]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_9_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_9_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_9_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_9_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_9_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_9_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_9_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_9_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_9_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_9_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_9_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_9_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_9_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_9_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H16
+stratix_io \inst|d_toggle_counter_out_8_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_8 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_8_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_8_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_8_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_8_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_8_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_8_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_8_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_8_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_8_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_8_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_8_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_8_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_8_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_AA16
+stratix_io \inst|d_toggle_counter_out_7_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_7 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_7_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_7_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_7_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_7_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_7_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_7_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_7_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_7_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_7_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_7_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_7_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_7_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_7_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F15
+stratix_io \inst|d_toggle_counter_out_6_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_6_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_6_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_6_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_6_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_6_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_6_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_6_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_6_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_6_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_C15
+stratix_io \inst|d_toggle_counter_out_5_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_5_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_5_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_5_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_5_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_5_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_5_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_5_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_5_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_5_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_Y16
+stratix_io \inst|d_toggle_counter_out_4_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_4_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_4_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_4_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_4_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_4_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_4_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_4_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_4_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_4_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_E13
+stratix_io \inst|d_toggle_counter_out_3_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_3_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_3_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_3_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_3_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_3_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_3_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_3_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_3_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_3_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_B16
+stratix_io \inst|d_toggle_counter_out_2_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_2_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_2_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_2_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_2_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_2_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_2_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_2_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_2_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_2_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_C25
+stratix_io \inst|d_toggle_counter_out_1_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_1_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_1_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_1_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_1_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_1_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_1_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_1_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_1_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_1_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H26
+stratix_io \inst|d_toggle_counter_out_0_~I (
+       .datain(\inst|vga_control_unit|toggle_counter_sig_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_toggle_counter[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_toggle_counter_out_0_~I .ddio_mode = "none";
+defparam \inst|d_toggle_counter_out_0_~I .input_async_reset = "none";
+defparam \inst|d_toggle_counter_out_0_~I .input_power_up = "low";
+defparam \inst|d_toggle_counter_out_0_~I .input_register_mode = "none";
+defparam \inst|d_toggle_counter_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_toggle_counter_out_0_~I .oe_power_up = "low";
+defparam \inst|d_toggle_counter_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_toggle_counter_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_toggle_counter_out_0_~I .operation_mode = "output";
+defparam \inst|d_toggle_counter_out_0_~I .output_async_reset = "none";
+defparam \inst|d_toggle_counter_out_0_~I .output_power_up = "low";
+defparam \inst|d_toggle_counter_out_0_~I .output_register_mode = "none";
+defparam \inst|d_toggle_counter_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G2
+stratix_io \inst|d_vsync_counter_out_9_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_9 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[9]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_9_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_9_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_9_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_9_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_9_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_9_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_9_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_9_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_9_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_9_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_9_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_9_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_9_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_9_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G4
+stratix_io \inst|d_vsync_counter_out_8_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_8 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_8_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_8_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_8_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_8_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_8_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_8_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_8_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_8_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_8_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_8_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_8_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_8_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_8_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G6
+stratix_io \inst|d_vsync_counter_out_7_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_7 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_7_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_7_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_7_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_7_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_7_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_7_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_7_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_7_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_7_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_7_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_7_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_7_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_7_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_A10
+stratix_io \inst|d_vsync_counter_out_6_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_6_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_6_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_6_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_6_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_6_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_6_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_6_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_6_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_6_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_D11
+stratix_io \inst|d_vsync_counter_out_5_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_5_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_5_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_5_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_5_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_5_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_5_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_5_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_5_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_5_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H2
+stratix_io \inst|d_vsync_counter_out_4_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_4_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_4_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_4_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_4_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_4_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_4_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_4_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_4_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_4_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G10
+stratix_io \inst|d_vsync_counter_out_3_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_3_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_3_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_3_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_3_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_3_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_3_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_3_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_3_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_3_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_C11
+stratix_io \inst|d_vsync_counter_out_2_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_2_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_2_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_2_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_2_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_2_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_2_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_2_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_2_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_2_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_H10
+stratix_io \inst|d_vsync_counter_out_1_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_1_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_1_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_1_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_1_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_1_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_1_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_1_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_1_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_1_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_G9
+stratix_io \inst|d_vsync_counter_out_0_~I (
+       .datain(\inst|vga_driver_unit|vsync_counter_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_counter[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_counter_out_0_~I .ddio_mode = "none";
+defparam \inst|d_vsync_counter_out_0_~I .input_async_reset = "none";
+defparam \inst|d_vsync_counter_out_0_~I .input_power_up = "low";
+defparam \inst|d_vsync_counter_out_0_~I .input_register_mode = "none";
+defparam \inst|d_vsync_counter_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_counter_out_0_~I .oe_power_up = "low";
+defparam \inst|d_vsync_counter_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_counter_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_counter_out_0_~I .operation_mode = "output";
+defparam \inst|d_vsync_counter_out_0_~I .output_async_reset = "none";
+defparam \inst|d_vsync_counter_out_0_~I .output_power_up = "low";
+defparam \inst|d_vsync_counter_out_0_~I .output_register_mode = "none";
+defparam \inst|d_vsync_counter_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F5
+stratix_io \inst|d_vsync_state_out_0_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_0 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_0_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_0_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_0_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_0_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_0_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_0_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_0_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_0_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_0_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_0_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_0_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_0_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_0_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F4
+stratix_io \inst|d_vsync_state_out_1_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_1 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_1_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_1_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_1_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_1_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_1_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_1_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_1_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_1_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_1_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_1_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_1_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_1_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_1_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_F3
+stratix_io \inst|d_vsync_state_out_2_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_2 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_2_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_2_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_2_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_2_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_2_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_2_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_2_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_2_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_2_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_2_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_2_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_2_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_2_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M19
+stratix_io \inst|d_vsync_state_out_3_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_3 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_3_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_3_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_3_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_3_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_3_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_3_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_3_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_3_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_3_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_3_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_3_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_3_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_3_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M18
+stratix_io \inst|d_vsync_state_out_4_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_4 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_4_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_4_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_4_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_4_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_4_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_4_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_4_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_4_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_4_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_4_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_4_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_4_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_4_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M7
+stratix_io \inst|d_vsync_state_out_5_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_5 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_5_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_5_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_5_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_5_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_5_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_5_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_5_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_5_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_5_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_5_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_5_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_5_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_5_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_M4
+stratix_io \inst|d_vsync_state_out_6_~I (
+       .datain(\inst|vga_driver_unit|vsync_state_6 ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(d_vsync_state[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|d_vsync_state_out_6_~I .ddio_mode = "none";
+defparam \inst|d_vsync_state_out_6_~I .input_async_reset = "none";
+defparam \inst|d_vsync_state_out_6_~I .input_power_up = "low";
+defparam \inst|d_vsync_state_out_6_~I .input_register_mode = "none";
+defparam \inst|d_vsync_state_out_6_~I .input_sync_reset = "none";
+defparam \inst|d_vsync_state_out_6_~I .oe_async_reset = "none";
+defparam \inst|d_vsync_state_out_6_~I .oe_power_up = "low";
+defparam \inst|d_vsync_state_out_6_~I .oe_register_mode = "none";
+defparam \inst|d_vsync_state_out_6_~I .oe_sync_reset = "none";
+defparam \inst|d_vsync_state_out_6_~I .operation_mode = "output";
+defparam \inst|d_vsync_state_out_6_~I .output_async_reset = "none";
+defparam \inst|d_vsync_state_out_6_~I .output_power_up = "low";
+defparam \inst|d_vsync_state_out_6_~I .output_register_mode = "none";
+defparam \inst|d_vsync_state_out_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_T2
+stratix_io \inst|seven_seg_pin_tri_13_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[13]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_13_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_13_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_13_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_13_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_13_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_13_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_AA11
+stratix_io \inst|seven_seg_pin_out_12_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[12]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_12_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_12_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_12_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_12_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_12_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_12_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_12_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_12_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_12_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_12_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_12_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_12_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_12_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_12_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R6
+stratix_io \inst|seven_seg_pin_out_11_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[11]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_11_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_11_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_11_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_11_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_11_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_11_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_11_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_11_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_11_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_11_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_11_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_11_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_11_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_11_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R4
+stratix_io \inst|seven_seg_pin_out_10_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[10]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_10_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_10_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_10_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_10_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_10_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_10_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_10_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_10_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_10_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_10_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_10_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_10_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_10_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_10_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_N8
+stratix_io \inst|seven_seg_pin_out_9_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[9]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_9_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_9_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_9_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_9_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_9_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_9_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_9_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_9_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_9_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_9_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_9_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_9_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_9_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_9_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_N7
+stratix_io \inst|seven_seg_pin_out_8_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[8]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_8_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_8_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_8_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_8_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_8_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_8_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_8_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_8_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_8_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_8_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_8_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_8_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_8_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_8_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_Y11
+stratix_io \inst|seven_seg_pin_out_7_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[7]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_7_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_7_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_7_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_7_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_7_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_7_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_7_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_7_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_7_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_7_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_7_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_7_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_7_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_7_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R23
+stratix_io \inst|seven_seg_pin_tri_6_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[6]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_6_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_6_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_6_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_6_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_6_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_6_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R22
+stratix_io \inst|seven_seg_pin_tri_5_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[5]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_5_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_5_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_5_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_5_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_5_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_5_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R21
+stratix_io \inst|seven_seg_pin_tri_4_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[4]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_4_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_4_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_4_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_4_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_4_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_4_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R20
+stratix_io \inst|seven_seg_pin_tri_3_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[3]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_3_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_3_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_3_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_3_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_3_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_3_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R19
+stratix_io \inst|seven_seg_pin_out_2_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[2]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_2_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_2_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_2_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_2_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_2_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_2_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_2_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_2_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_2_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_2_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_2_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_2_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_2_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_2_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R9
+stratix_io \inst|seven_seg_pin_out_1_~I (
+       .datain(\inst|vga_driver_unit|un6_dly_counter_0_x ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[1]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_out_1_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_out_1_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_out_1_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_out_1_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_out_1_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_1_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_out_1_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_out_1_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_out_1_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_out_1_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_out_1_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_out_1_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_out_1_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_out_1_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+// atom is at PIN_R8
+stratix_io \inst|seven_seg_pin_tri_0_~I (
+       .datain(!\~STRATIX_FITTER_CREATED_GND~I_combout ),
+       .ddiodatain(gnd),
+       .oe(vcc),
+       .outclk(gnd),
+       .outclkena(vcc),
+       .inclk(gnd),
+       .inclkena(vcc),
+       .areset(gnd),
+       .sreset(gnd),
+       .delayctrlin(gnd),
+       .devclrn(devclrn),
+       .devpor(devpor),
+       .devoe(devoe),
+       .combout(),
+       .regout(),
+       .ddioregout(),
+       .padio(seven_seg_pin[0]),
+       .dqsundelayedout());
+// synopsys translate_off
+defparam \inst|seven_seg_pin_tri_0_~I .ddio_mode = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .input_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .input_power_up = "low";
+defparam \inst|seven_seg_pin_tri_0_~I .input_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .input_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .oe_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .oe_power_up = "low";
+defparam \inst|seven_seg_pin_tri_0_~I .oe_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .oe_sync_reset = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .operation_mode = "output";
+defparam \inst|seven_seg_pin_tri_0_~I .output_async_reset = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .output_power_up = "low";
+defparam \inst|seven_seg_pin_tri_0_~I .output_register_mode = "none";
+defparam \inst|seven_seg_pin_tri_0_~I .output_sync_reset = "none";
+// synopsys translate_on
+
+endmodule
diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_modelsim.xrf
new file mode 100644 (file)
index 0000000..f5558a9
--- /dev/null
@@ -0,0 +1,304 @@
+vendor_name = ModelSim
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.bsf
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/db/vga_pll.cbx.xml
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/altpll.tdf
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc
+source_file = 1, /opt/quartus/quartus/libraries/megafunctions/cbx.lst
+design_name = vga_pll
+instance = comp, \board_clk~I , board_clk, vga_pll, 1
+instance = comp, \inst1|altpll_component|pll , inst1|altpll_component|pll, vga_pll, 1
+instance = comp, \inst|reset_pin_in~I , inst|reset_pin_in, vga_pll, 1
+instance = comp, \inst|dly_counter_1_ , inst|dly_counter_1_, vga_pll, 1
+instance = comp, \inst|dly_counter_0_ , inst|dly_counter_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_6_ , inst|vga_driver_unit|vsync_state_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_6_ , inst|vga_driver_unit|hsync_state_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_0_ , inst|vga_driver_unit|hsync_counter_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_1_ , inst|vga_driver_unit|hsync_counter_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_2_ , inst|vga_driver_unit|hsync_counter_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_3_ , inst|vga_driver_unit|hsync_counter_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_4_ , inst|vga_driver_unit|hsync_counter_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_5_ , inst|vga_driver_unit|hsync_counter_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_6_ , inst|vga_driver_unit|hsync_counter_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_7_ , inst|vga_driver_unit|hsync_counter_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_8_ , inst|vga_driver_unit|hsync_counter_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_9_ , inst|vga_driver_unit|hsync_counter_9_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 , inst|vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|G_2 , inst|vga_driver_unit|G_2, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 , inst|vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_5_ , inst|vga_driver_unit|hsync_state_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_4_ , inst|vga_driver_unit|hsync_state_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_1_ , inst|vga_driver_unit|hsync_state_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_3_ , inst|vga_driver_unit|hsync_state_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ , inst|vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_2_ , inst|vga_driver_unit|hsync_state_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_state_0_ , inst|vga_driver_unit|hsync_state_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter , inst|vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_hsync_state_3_0_cZ , inst|vga_driver_unit|un1_hsync_state_3_0_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|h_sync_Z , inst|vga_driver_unit|h_sync_Z, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_0_ , inst|vga_driver_unit|vsync_counter_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_1_ , inst|vga_driver_unit|vsync_counter_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_2_ , inst|vga_driver_unit|vsync_counter_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_3_ , inst|vga_driver_unit|vsync_counter_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_4_ , inst|vga_driver_unit|vsync_counter_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_5_ , inst|vga_driver_unit|vsync_counter_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_6_ , inst|vga_driver_unit|vsync_counter_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_7_ , inst|vga_driver_unit|vsync_counter_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_8_ , inst|vga_driver_unit|vsync_counter_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_9_ , inst|vga_driver_unit|vsync_counter_9_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 , inst|vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|G_16 , inst|vga_driver_unit|G_16, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 , inst|vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 , inst|vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_5_ , inst|vga_driver_unit|vsync_state_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_4_ , inst|vga_driver_unit|vsync_state_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_1_ , inst|vga_driver_unit|vsync_state_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_3_ , inst|vga_driver_unit|vsync_state_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ , inst|vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 , inst|vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ , inst|vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ , inst|vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ , inst|vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_0_ , inst|vga_driver_unit|vsync_state_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|d_set_vsync_counter_cZ , inst|vga_driver_unit|d_set_vsync_counter_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ , inst|vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|vsync_state_2_ , inst|vga_driver_unit|vsync_state_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_vsync_state_2_0_cZ , inst|vga_driver_unit|un1_vsync_state_2_0_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ , inst|vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|v_sync_Z , inst|vga_driver_unit|v_sync_Z, vga_pll, 1
+instance = comp, \~STRATIX_FITTER_CREATED_GND~I , ~STRATIX_FITTER_CREATED_GND~I, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_0_ , inst|vga_driver_unit|column_counter_sig_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_1_ , inst|vga_driver_unit|un2_column_counter_next_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_1_ , inst|vga_driver_unit|column_counter_sig_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_3_ , inst|vga_driver_unit|un2_column_counter_next_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_3_ , inst|vga_driver_unit|column_counter_sig_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_0_ , inst|vga_driver_unit|un2_column_counter_next_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_2_ , inst|vga_driver_unit|un2_column_counter_next_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_4_ , inst|vga_driver_unit|un2_column_counter_next_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_4_ , inst|vga_driver_unit|column_counter_sig_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_5_ , inst|vga_driver_unit|un2_column_counter_next_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_5_ , inst|vga_driver_unit|column_counter_sig_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_6_ , inst|vga_driver_unit|un2_column_counter_next_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_6_ , inst|vga_driver_unit|column_counter_sig_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_7_ , inst|vga_driver_unit|un2_column_counter_next_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_7_ , inst|vga_driver_unit|column_counter_sig_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_8_ , inst|vga_driver_unit|un2_column_counter_next_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_8_ , inst|vga_driver_unit|column_counter_sig_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un2_column_counter_next_9_ , inst|vga_driver_unit|un2_column_counter_next_9_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_9_ , inst|vga_driver_unit|column_counter_sig_9_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 , inst|vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|column_counter_sig_2_ , inst|vga_driver_unit|column_counter_sig_2_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 , inst|vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_1_ , inst|vga_driver_unit|un1_line_counter_sig_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ , inst|vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_0_ , inst|vga_driver_unit|line_counter_sig_0_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_3_ , inst|vga_driver_unit|un1_line_counter_sig_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_2_ , inst|vga_driver_unit|line_counter_sig_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_a_1_ , inst|vga_driver_unit|un1_line_counter_sig_a_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_2_ , inst|vga_driver_unit|un1_line_counter_sig_2_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_1_ , inst|vga_driver_unit|line_counter_sig_1_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_4_ , inst|vga_driver_unit|un1_line_counter_sig_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_3_ , inst|vga_driver_unit|line_counter_sig_3_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_5_ , inst|vga_driver_unit|un1_line_counter_sig_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_4_ , inst|vga_driver_unit|line_counter_sig_4_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_6_ , inst|vga_driver_unit|un1_line_counter_sig_6_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_5_ , inst|vga_driver_unit|line_counter_sig_5_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_8_ , inst|vga_driver_unit|un1_line_counter_sig_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_7_ , inst|vga_driver_unit|line_counter_sig_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_7_ , inst|vga_driver_unit|un1_line_counter_sig_7_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|un1_line_counter_sig_9_ , inst|vga_driver_unit|un1_line_counter_sig_9_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_8_ , inst|vga_driver_unit|line_counter_sig_8_, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 , inst|vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|line_counter_sig_6_ , inst|vga_driver_unit|line_counter_sig_6_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 , inst|vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|v_enable_sig_Z , inst|vga_driver_unit|v_enable_sig_Z, vga_pll, 1
+instance = comp, \inst|vga_control_unit|b_next_0_g0_3_cZ , inst|vga_control_unit|b_next_0_g0_3_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ , inst|vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga_pll, 1
+instance = comp, \inst|vga_driver_unit|h_enable_sig_Z , inst|vga_driver_unit|h_enable_sig_Z, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 , inst|vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_0_ , inst|vga_control_unit|toggle_counter_sig_0_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_1_ , inst|vga_control_unit|toggle_counter_sig_1_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|un2_toggle_counter_next_0_ , inst|vga_control_unit|un2_toggle_counter_next_0_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_2_ , inst|vga_control_unit|toggle_counter_sig_2_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_3_ , inst|vga_control_unit|toggle_counter_sig_3_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_4_ , inst|vga_control_unit|toggle_counter_sig_4_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_5_ , inst|vga_control_unit|toggle_counter_sig_5_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_7_ , inst|vga_control_unit|toggle_counter_sig_7_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_6_ , inst|vga_control_unit|toggle_counter_sig_6_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_9_ , inst|vga_control_unit|toggle_counter_sig_9_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_8_ , inst|vga_control_unit|toggle_counter_sig_8_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_11_ , inst|vga_control_unit|toggle_counter_sig_11_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_10_ , inst|vga_control_unit|toggle_counter_sig_10_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_12_ , inst|vga_control_unit|toggle_counter_sig_12_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_13_ , inst|vga_control_unit|toggle_counter_sig_13_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_15_ , inst|vga_control_unit|toggle_counter_sig_15_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_14_ , inst|vga_control_unit|toggle_counter_sig_14_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_17_ , inst|vga_control_unit|toggle_counter_sig_17_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_16_ , inst|vga_control_unit|toggle_counter_sig_16_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_18_ , inst|vga_control_unit|toggle_counter_sig_18_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_counter_sig_19_ , inst|vga_control_unit|toggle_counter_sig_19_, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5, vga_pll, 1
+instance = comp, \inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 , inst|vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ , inst|vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga_pll, 1
+instance = comp, \inst|vga_control_unit|toggle_sig_Z , inst|vga_control_unit|toggle_sig_Z, vga_pll, 1
+instance = comp, \inst|vga_control_unit|b_next_0_g0_5_cZ , inst|vga_control_unit|b_next_0_g0_5_cZ, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a, vga_pll, 1
+instance = comp, \inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 , inst|vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8, vga_pll, 1
+instance = comp, \inst|vga_control_unit|b_Z , inst|vga_control_unit|b_Z, vga_pll, 1
+instance = comp, \inst|d_hsync_out~I , inst|d_hsync_out, vga_pll, 1
+instance = comp, \inst|d_vsync_out~I , inst|d_vsync_out, vga_pll, 1
+instance = comp, \inst|d_set_column_counter_out~I , inst|d_set_column_counter_out, vga_pll, 1
+instance = comp, \inst|d_set_line_counter_out~I , inst|d_set_line_counter_out, vga_pll, 1
+instance = comp, \inst|d_set_hsync_counter_out~I , inst|d_set_hsync_counter_out, vga_pll, 1
+instance = comp, \inst|d_set_vsync_counter_out~I , inst|d_set_vsync_counter_out, vga_pll, 1
+instance = comp, \inst|d_r_out~I , inst|d_r_out, vga_pll, 1
+instance = comp, \inst|d_g_out~I , inst|d_g_out, vga_pll, 1
+instance = comp, \inst|d_b_out~I , inst|d_b_out, vga_pll, 1
+instance = comp, \inst|d_h_enable_out~I , inst|d_h_enable_out, vga_pll, 1
+instance = comp, \inst|d_v_enable_out~I , inst|d_v_enable_out, vga_pll, 1
+instance = comp, \inst|d_state_clk_out~I , inst|d_state_clk_out, vga_pll, 1
+instance = comp, \inst|d_toggle_out~I , inst|d_toggle_out, vga_pll, 1
+instance = comp, \inst|r0_pin_out~I , inst|r0_pin_out, vga_pll, 1
+instance = comp, \inst|r1_pin_out~I , inst|r1_pin_out, vga_pll, 1
+instance = comp, \inst|r2_pin_out~I , inst|r2_pin_out, vga_pll, 1
+instance = comp, \inst|g0_pin_out~I , inst|g0_pin_out, vga_pll, 1
+instance = comp, \inst|g1_pin_out~I , inst|g1_pin_out, vga_pll, 1
+instance = comp, \inst|g2_pin_out~I , inst|g2_pin_out, vga_pll, 1
+instance = comp, \inst|b0_pin_out~I , inst|b0_pin_out, vga_pll, 1
+instance = comp, \inst|b1_pin_out~I , inst|b1_pin_out, vga_pll, 1
+instance = comp, \inst|hsync_pin_out~I , inst|hsync_pin_out, vga_pll, 1
+instance = comp, \inst|vsync_pin_out~I , inst|vsync_pin_out, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_9_~I , inst|d_column_counter_out_9_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_8_~I , inst|d_column_counter_out_8_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_7_~I , inst|d_column_counter_out_7_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_6_~I , inst|d_column_counter_out_6_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_5_~I , inst|d_column_counter_out_5_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_4_~I , inst|d_column_counter_out_4_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_3_~I , inst|d_column_counter_out_3_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_2_~I , inst|d_column_counter_out_2_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_1_~I , inst|d_column_counter_out_1_, vga_pll, 1
+instance = comp, \inst|d_column_counter_out_0_~I , inst|d_column_counter_out_0_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_9_~I , inst|d_hsync_counter_out_9_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_8_~I , inst|d_hsync_counter_out_8_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_7_~I , inst|d_hsync_counter_out_7_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_6_~I , inst|d_hsync_counter_out_6_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_5_~I , inst|d_hsync_counter_out_5_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_4_~I , inst|d_hsync_counter_out_4_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_3_~I , inst|d_hsync_counter_out_3_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_2_~I , inst|d_hsync_counter_out_2_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_1_~I , inst|d_hsync_counter_out_1_, vga_pll, 1
+instance = comp, \inst|d_hsync_counter_out_0_~I , inst|d_hsync_counter_out_0_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_0_~I , inst|d_hsync_state_out_0_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_1_~I , inst|d_hsync_state_out_1_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_2_~I , inst|d_hsync_state_out_2_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_3_~I , inst|d_hsync_state_out_3_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_4_~I , inst|d_hsync_state_out_4_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_5_~I , inst|d_hsync_state_out_5_, vga_pll, 1
+instance = comp, \inst|d_hsync_state_out_6_~I , inst|d_hsync_state_out_6_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_8_~I , inst|d_line_counter_out_8_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_7_~I , inst|d_line_counter_out_7_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_6_~I , inst|d_line_counter_out_6_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_5_~I , inst|d_line_counter_out_5_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_4_~I , inst|d_line_counter_out_4_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_3_~I , inst|d_line_counter_out_3_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_2_~I , inst|d_line_counter_out_2_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_1_~I , inst|d_line_counter_out_1_, vga_pll, 1
+instance = comp, \inst|d_line_counter_out_0_~I , inst|d_line_counter_out_0_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_24_~I , inst|d_toggle_counter_out_24_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_23_~I , inst|d_toggle_counter_out_23_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_22_~I , inst|d_toggle_counter_out_22_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_21_~I , inst|d_toggle_counter_out_21_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_20_~I , inst|d_toggle_counter_out_20_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_19_~I , inst|d_toggle_counter_out_19_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_18_~I , inst|d_toggle_counter_out_18_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_17_~I , inst|d_toggle_counter_out_17_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_16_~I , inst|d_toggle_counter_out_16_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_15_~I , inst|d_toggle_counter_out_15_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_14_~I , inst|d_toggle_counter_out_14_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_13_~I , inst|d_toggle_counter_out_13_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_12_~I , inst|d_toggle_counter_out_12_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_11_~I , inst|d_toggle_counter_out_11_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_10_~I , inst|d_toggle_counter_out_10_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_9_~I , inst|d_toggle_counter_out_9_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_8_~I , inst|d_toggle_counter_out_8_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_7_~I , inst|d_toggle_counter_out_7_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_6_~I , inst|d_toggle_counter_out_6_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_5_~I , inst|d_toggle_counter_out_5_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_4_~I , inst|d_toggle_counter_out_4_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_3_~I , inst|d_toggle_counter_out_3_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_2_~I , inst|d_toggle_counter_out_2_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_1_~I , inst|d_toggle_counter_out_1_, vga_pll, 1
+instance = comp, \inst|d_toggle_counter_out_0_~I , inst|d_toggle_counter_out_0_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_9_~I , inst|d_vsync_counter_out_9_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_8_~I , inst|d_vsync_counter_out_8_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_7_~I , inst|d_vsync_counter_out_7_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_6_~I , inst|d_vsync_counter_out_6_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_5_~I , inst|d_vsync_counter_out_5_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_4_~I , inst|d_vsync_counter_out_4_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_3_~I , inst|d_vsync_counter_out_3_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_2_~I , inst|d_vsync_counter_out_2_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_1_~I , inst|d_vsync_counter_out_1_, vga_pll, 1
+instance = comp, \inst|d_vsync_counter_out_0_~I , inst|d_vsync_counter_out_0_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_0_~I , inst|d_vsync_state_out_0_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_1_~I , inst|d_vsync_state_out_1_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_2_~I , inst|d_vsync_state_out_2_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_3_~I , inst|d_vsync_state_out_3_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_4_~I , inst|d_vsync_state_out_4_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_5_~I , inst|d_vsync_state_out_5_, vga_pll, 1
+instance = comp, \inst|d_vsync_state_out_6_~I , inst|d_vsync_state_out_6_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_13_~I , inst|seven_seg_pin_tri_13_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_12_~I , inst|seven_seg_pin_out_12_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_11_~I , inst|seven_seg_pin_out_11_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_10_~I , inst|seven_seg_pin_out_10_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_9_~I , inst|seven_seg_pin_out_9_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_8_~I , inst|seven_seg_pin_out_8_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_7_~I , inst|seven_seg_pin_out_7_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_6_~I , inst|seven_seg_pin_tri_6_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_5_~I , inst|seven_seg_pin_tri_5_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_4_~I , inst|seven_seg_pin_tri_4_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_3_~I , inst|seven_seg_pin_tri_3_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_2_~I , inst|seven_seg_pin_out_2_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_out_1_~I , inst|seven_seg_pin_out_1_, vga_pll, 1
+instance = comp, \inst|seven_seg_pin_tri_0_~I , inst|seven_seg_pin_tri_0_, vga_pll, 1
diff --git a/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo b/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo
new file mode 100644 (file)
index 0000000..67e0696
--- /dev/null
@@ -0,0 +1,5561 @@
+// Copyright (C) 1991-2009 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions 
+// and other software and tools, and its AMPP partner logic 
+// functions, and any output files from any of the foregoing 
+// (including device programming or simulation files), and any 
+// associated documentation or information are expressly subject 
+// to the terms and conditions of the Altera Program License 
+// Subscription Agreement, Altera MegaCore Function License 
+// Agreement, or other applicable license agreement, including, 
+// without limitation, that your use is for the sole purpose of 
+// programming logic devices manufactured by Altera and sold by 
+// Altera or its authorized distributors.  Please refer to the 
+// applicable agreement for further details.
+
+
+// 
+// Device: Altera EP1S25F672C6 Package FBGA672
+// 
+
+// 
+// This SDF file should be used for ModelSim-Altera (Verilog) only
+// 
+
+(DELAYFILE
+  (SDFVERSION "2.1")
+  (DESIGN "vga_pll")
+  (DATE "11/03/2009 17:37:44")
+  (VENDOR "Altera")
+  (PROGRAM "Quartus II")
+  (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version")
+  (DIVIDER .)
+  (TIMESCALE 1 ps)
+
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE board_clk\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (IOPATH padio combout (760:760:760) (760:760:760))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_pll")
+    (INSTANCE inst1\|altpll_component\|pll)
+    (DELAY
+      (ABSOLUTE
+        (PORT inclk[0] (649:649:649) (649:649:649))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|reset_pin_in\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (IOPATH padio combout (1141:1141:1141) (1141:1141:1141))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|dly_counter_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (5257:5257:5257) (5257:5257:5257))
+        (PORT datac (1189:1189:1189) (1189:1189:1189))
+        (PORT datad (457:457:457) (457:457:457))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|dly_counter_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|dly_counter_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (4837:4837:4837) (4837:4837:4837))
+        (PORT datab (948:948:948) (948:948:948))
+        (PORT datad (1459:1459:1459) (1459:1459:1459))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|dly_counter_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (5264:5264:5264) (5264:5264:5264))
+        (PORT datac (1184:1184:1184) (1184:1184:1184))
+        (PORT datad (466:466:466) (466:466:466))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1294:1294:1294) (1294:1294:1294))
+        (PORT datad (1064:1064:1064) (1064:1064:1064))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1384:1384:1384) (1384:1384:1384))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2110:2110:2110) (2110:2110:2110))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (423:423:423) (423:423:423))
+        (PORT datac (1001:1001:1001) (1001:1001:1001))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1091:1091:1091) (1091:1091:1091))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datac (999:999:999) (999:999:999))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1089:1089:1089) (1089:1089:1089))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datac (998:998:998) (998:998:998))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1088:1088:1088) (1088:1088:1088))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datac (1001:1001:1001) (1001:1001:1001))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1091:1091:1091) (1091:1091:1091))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (701:701:701) (701:701:701))
+        (PORT datab (602:602:602) (602:602:602))
+        (PORT datac (716:716:716) (716:716:716))
+        (PORT datad (643:643:643) (643:643:643))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1004:1004:1004) (1004:1004:1004))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1094:1094:1094) (1094:1094:1094))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (420:420:420) (420:420:420))
+        (PORT datac (1010:1010:1010) (1010:1010:1010))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1100:1100:1100) (1100:1100:1100))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (422:422:422) (422:422:422))
+        (PORT datac (1009:1009:1009) (1009:1009:1009))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1099:1099:1099) (1099:1099:1099))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datac (1008:1008:1008) (1008:1008:1008))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_7_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1098:1098:1098) (1098:1098:1098))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1008:1008:1008) (1008:1008:1008))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_8_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1098:1098:1098) (1098:1098:1098))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1006:1006:1006) (1006:1006:1006))
+        (PORT datad (432:432:432) (432:432:432))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_9_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1772:1772:1772) (1772:1772:1772))
+        (PORT datac (1096:1096:1096) (1096:1096:1096))
+        (PORT sclr (1307:1307:1307) (1307:1307:1307))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (679:679:679) (679:679:679))
+        (PORT datab (611:611:611) (611:611:611))
+        (PORT datac (972:972:972) (972:972:972))
+        (PORT datad (699:699:699) (699:699:699))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (367:367:367) (367:367:367))
+        (PORT datab (668:668:668) (668:668:668))
+        (PORT datac (939:939:939) (939:939:939))
+        (PORT datad (253:253:253) (253:253:253))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|G_2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1040:1040:1040) (1040:1040:1040))
+        (PORT datab (993:993:993) (993:993:993))
+        (PORT datac (374:374:374) (374:374:374))
+        (PORT datad (1028:1028:1028) (1028:1028:1028))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (681:681:681) (681:681:681))
+        (PORT datab (629:629:629) (629:629:629))
+        (PORT datac (689:689:689) (689:689:689))
+        (PORT datad (695:695:695) (695:695:695))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (700:700:700) (700:700:700))
+        (PORT datab (343:343:343) (343:343:343))
+        (PORT datac (376:376:376) (376:376:376))
+        (PORT datad (619:619:619) (619:619:619))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1239:1239:1239) (1239:1239:1239))
+        (PORT datab (1156:1156:1156) (1156:1156:1156))
+        (PORT datac (1173:1173:1173) (1173:1173:1173))
+        (PORT datad (1457:1457:1457) (1457:1457:1457))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1217:1217:1217) (1217:1217:1217))
+        (PORT datab (1182:1182:1182) (1182:1182:1182))
+        (PORT datac (1161:1161:1161) (1161:1161:1161))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1222:1222:1222) (1222:1222:1222))
+        (PORT datac (1137:1137:1137) (1137:1137:1137))
+        (PORT datad (1194:1194:1194) (1194:1194:1194))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1235:1235:1235) (1235:1235:1235))
+        (PORT datab (1381:1381:1381) (1381:1381:1381))
+        (PORT datac (1214:1214:1214) (1214:1214:1214))
+        (PORT datad (1456:1456:1456) (1456:1456:1456))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1187:1187:1187) (1187:1187:1187))
+        (PORT datac (1159:1159:1159) (1159:1159:1159))
+        (PORT datad (1164:1164:1164) (1164:1164:1164))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (682:682:682) (682:682:682))
+        (PORT datad (1229:1229:1229) (1229:1229:1229))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1786:1786:1786) (1786:1786:1786))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (PORT ena (1281:1281:1281) (1281:1281:1281))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (376:376:376) (376:376:376))
+        (PORT datab (351:351:351) (351:351:351))
+        (PORT datac (378:378:378) (378:378:378))
+        (PORT datad (1119:1119:1119) (1119:1119:1119))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2182:2182:2182) (2182:2182:2182))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (PORT ena (1803:1803:1803) (1803:1803:1803))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (364:364:364) (364:364:364))
+        (PORT datab (350:350:350) (350:350:350))
+        (PORT datac (457:457:457) (457:457:457))
+        (PORT datad (363:363:363) (363:363:363))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (363:363:363) (363:363:363))
+        (PORT datab (352:352:352) (352:352:352))
+        (PORT datac (460:460:460) (460:460:460))
+        (PORT datad (363:363:363) (363:363:363))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2182:2182:2182) (2182:2182:2182))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (PORT ena (1803:1803:1803) (1803:1803:1803))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (359:359:359) (359:359:359))
+        (PORT datab (341:341:341) (341:341:341))
+        (PORT datac (1134:1134:1134) (1134:1134:1134))
+        (PORT datad (627:627:627) (627:627:627))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1224:1224:1224) (1224:1224:1224))
+        (PORT sclr (1810:1810:1810) (1810:1810:1810))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (PORT ena (1089:1089:1089) (1089:1089:1089))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1073:1073:1073) (1073:1073:1073))
+        (PORT datab (1044:1044:1044) (1044:1044:1044))
+        (PORT datac (1063:1063:1063) (1063:1063:1063))
+        (PORT datad (676:676:676) (676:676:676))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1084:1084:1084) (1084:1084:1084))
+        (PORT datab (341:341:341) (341:341:341))
+        (PORT datac (371:371:371) (371:371:371))
+        (PORT datad (1029:1029:1029) (1029:1029:1029))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (685:685:685) (685:685:685))
+        (PORT datad (565:565:565) (565:565:565))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1786:1786:1786) (1786:1786:1786))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (PORT ena (1281:1281:1281) (1281:1281:1281))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (555:555:555) (555:555:555))
+        (PORT datad (434:434:434) (434:434:434))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|hsync_state_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1786:1786:1786) (1786:1786:1786))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (PORT ena (1281:1281:1281) (1281:1281:1281))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1393:1393:1393) (1393:1393:1393))
+        (PORT datab (986:986:986) (986:986:986))
+        (PORT datac (4977:4977:4977) (4977:4977:4977))
+        (PORT datad (1255:1255:1255) (1255:1255:1255))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1218:1218:1218) (1218:1218:1218))
+        (PORT datab (1182:1182:1182) (1182:1182:1182))
+        (PORT datac (1158:1158:1158) (1158:1158:1158))
+        (PORT datad (1459:1459:1459) (1459:1459:1459))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1223:1223:1223) (1223:1223:1223))
+        (PORT datab (1116:1116:1116) (1116:1116:1116))
+        (PORT datac (1237:1237:1237) (1237:1237:1237))
+        (PORT datad (1195:1195:1195) (1195:1195:1195))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (655:655:655) (655:655:655))
+        (PORT datab (1284:1284:1284) (1284:1284:1284))
+        (PORT datac (1075:1075:1075) (1075:1075:1075))
+        (PORT datad (688:688:688) (688:688:688))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_hsync_state_3_0_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (670:670:670) (670:670:670))
+        (PORT datac (1397:1397:1397) (1397:1397:1397))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|h_sync_1_0_0_0_g1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (362:362:362) (362:362:362))
+        (PORT datab (431:431:431) (431:431:431))
+        (PORT datac (1158:1158:1158) (1158:1158:1158))
+        (PORT datad (430:430:430) (430:430:430))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1396:1396:1396) (1396:1396:1396))
+        (PORT datab (335:335:335) (335:335:335))
+        (PORT datac (4973:4973:4973) (4973:4973:4973))
+        (PORT datad (994:994:994) (994:994:994))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|h_sync_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1425:1425:1425) (1425:1425:1425))
+        (PORT datab (423:423:423) (423:423:423))
+        (PORT datac (1204:1204:1204) (1204:1204:1204))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1294:1294:1294) (1294:1294:1294))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datac (1204:1204:1204) (1204:1204:1204))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1294:1294:1294) (1294:1294:1294))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datac (1203:1203:1203) (1203:1203:1203))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1293:1293:1293) (1293:1293:1293))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datac (1202:1202:1202) (1202:1202:1202))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1292:1292:1292) (1292:1292:1292))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1219:1219:1219) (1219:1219:1219))
+        (PORT datab (1422:1422:1422) (1422:1422:1422))
+        (PORT datac (1163:1163:1163) (1163:1163:1163))
+        (PORT datad (1151:1151:1151) (1151:1151:1151))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1202:1202:1202) (1202:1202:1202))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1292:1292:1292) (1292:1292:1292))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (420:420:420) (420:420:420))
+        (PORT datac (1196:1196:1196) (1196:1196:1196))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1286:1286:1286) (1286:1286:1286))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (422:422:422) (422:422:422))
+        (PORT datac (1196:1196:1196) (1196:1196:1196))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1286:1286:1286) (1286:1286:1286))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datac (1195:1195:1195) (1195:1195:1195))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_7_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1285:1285:1285) (1285:1285:1285))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1198:1198:1198) (1198:1198:1198))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_8_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1288:1288:1288) (1288:1288:1288))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1200:1200:1200) (1200:1200:1200))
+        (PORT datad (432:432:432) (432:432:432))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_9_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1999:1999:1999) (1999:1999:1999))
+        (PORT datac (1290:1290:1290) (1290:1290:1290))
+        (PORT sclr (1848:1848:1848) (1848:1848:1848))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1172:1172:1172) (1172:1172:1172))
+        (PORT datab (1162:1162:1162) (1162:1162:1162))
+        (PORT datac (1162:1162:1162) (1162:1162:1162))
+        (PORT datad (1152:1152:1152) (1152:1152:1152))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (360:360:360) (360:360:360))
+        (PORT datab (1125:1125:1125) (1125:1125:1125))
+        (PORT datac (367:367:367) (367:367:367))
+        (PORT datad (1429:1429:1429) (1429:1429:1429))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|G_16.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (706:706:706) (706:706:706))
+        (PORT datab (936:936:936) (936:936:936))
+        (PORT datac (366:366:366) (366:366:366))
+        (PORT datad (450:450:450) (450:450:450))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1374:1374:1374) (1374:1374:1374))
+        (PORT datab (1146:1146:1146) (1146:1146:1146))
+        (PORT datac (1453:1453:1453) (1453:1453:1453))
+        (PORT datad (1159:1159:1159) (1159:1159:1159))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1451:1451:1451) (1451:1451:1451))
+        (PORT datab (1349:1349:1349) (1349:1349:1349))
+        (PORT datac (1159:1159:1159) (1159:1159:1159))
+        (PORT datad (1370:1370:1370) (1370:1370:1370))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (370:370:370) (370:370:370))
+        (PORT datad (362:362:362) (362:362:362))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1170:1170:1170) (1170:1170:1170))
+        (PORT datac (1136:1136:1136) (1136:1136:1136))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2714:2714:2714) (2714:2714:2714))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (PORT ena (1783:1783:1783) (1783:1783:1783))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1238:1238:1238) (1238:1238:1238))
+        (PORT datab (355:355:355) (355:355:355))
+        (PORT datac (1118:1118:1118) (1118:1118:1118))
+        (PORT datad (1379:1379:1379) (1379:1379:1379))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (443:443:443) (443:443:443))
+        (PORT datab (1291:1291:1291) (1291:1291:1291))
+        (PORT datac (1241:1241:1241) (1241:1241:1241))
+        (PORT datad (1198:1198:1198) (1198:1198:1198))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2714:2714:2714) (2714:2714:2714))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (PORT ena (1783:1783:1783) (1783:1783:1783))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1176:1176:1176) (1176:1176:1176))
+        (PORT datab (1166:1166:1166) (1166:1166:1166))
+        (PORT datac (1161:1161:1161) (1161:1161:1161))
+        (PORT datad (1154:1154:1154) (1154:1154:1154))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1451:1451:1451) (1451:1451:1451))
+        (PORT datab (827:827:827) (827:827:827))
+        (PORT datac (1230:1230:1230) (1230:1230:1230))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1058:1058:1058) (1058:1058:1058))
+        (PORT datab (441:441:441) (441:441:441))
+        (PORT datac (1088:1088:1088) (1088:1088:1088))
+        (PORT datad (1931:1931:1931) (1931:1931:1931))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1239:1239:1239) (1239:1239:1239))
+        (PORT datab (355:355:355) (355:355:355))
+        (PORT datac (1123:1123:1123) (1123:1123:1123))
+        (PORT datad (1380:1380:1380) (1380:1380:1380))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1213:1213:1213) (1213:1213:1213))
+        (PORT sclr (1797:1797:1797) (1797:1797:1797))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (PORT ena (1096:1096:1096) (1096:1096:1096))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1155:1155:1155) (1155:1155:1155))
+        (PORT datac (373:373:373) (373:373:373))
+        (PORT datad (253:253:253) (253:253:253))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (664:664:664) (664:664:664))
+        (PORT datab (693:693:693) (693:693:693))
+        (PORT datac (686:686:686) (686:686:686))
+        (PORT datad (616:616:616) (616:616:616))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (339:339:339) (339:339:339))
+        (PORT datac (642:642:642) (642:642:642))
+        (PORT datad (647:647:647) (647:647:647))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (377:377:377) (377:377:377))
+        (PORT datab (350:350:350) (350:350:350))
+        (PORT datac (1177:1177:1177) (1177:1177:1177))
+        (PORT datad (1059:1059:1059) (1059:1059:1059))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (368:368:368) (368:368:368))
+        (PORT datab (340:340:340) (340:340:340))
+        (PORT datac (571:571:571) (571:571:571))
+        (PORT datad (1022:1022:1022) (1022:1022:1022))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1025:1025:1025) (1025:1025:1025))
+        (PORT datac (1189:1189:1189) (1189:1189:1189))
+        (PORT datad (340:340:340) (340:340:340))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1029:1029:1029) (1029:1029:1029))
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datac (376:376:376) (376:376:376))
+        (PORT datad (1043:1043:1043) (1043:1043:1043))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2064:2064:2064) (2064:2064:2064))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|d_set_vsync_counter_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (709:709:709) (709:709:709))
+        (PORT datad (454:454:454) (454:454:454))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1012:1012:1012) (1012:1012:1012))
+        (PORT datab (356:356:356) (356:356:356))
+        (PORT datac (1189:1189:1189) (1189:1189:1189))
+        (PORT datad (5266:5266:5266) (5266:5266:5266))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1243:1243:1243) (1243:1243:1243))
+        (PORT datab (1127:1127:1127) (1127:1127:1127))
+        (PORT datac (1318:1318:1318) (1318:1318:1318))
+        (PORT datad (1202:1202:1202) (1202:1202:1202))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|vsync_state_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2714:2714:2714) (2714:2714:2714))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (PORT ena (1783:1783:1783) (1783:1783:1783))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_vsync_state_2_0_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1123:1123:1123) (1123:1123:1123))
+        (PORT datac (456:456:456) (456:456:456))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|v_sync_1_0_0_0_g1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1008:1008:1008) (1008:1008:1008))
+        (PORT datab (435:435:435) (435:435:435))
+        (PORT datac (371:371:371) (371:371:371))
+        (PORT datad (439:439:439) (439:439:439))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (4836:4836:4836) (4836:4836:4836))
+        (PORT datab (950:950:950) (950:950:950))
+        (PORT datac (365:365:365) (365:365:365))
+        (PORT datad (1457:1457:1457) (1457:1457:1457))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|v_sync_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2058:2058:2058) (2058:2058:2058))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1190:1190:1190) (1190:1190:1190))
+        (PORT datab (5263:5263:5263) (5263:5263:5263))
+        (PORT datac (1414:1414:1414) (1414:1414:1414))
+        (PORT datad (466:466:466) (466:466:466))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (415:415:415) (415:415:415))
+        (PORT datad (434:434:434) (434:434:434))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1153:1153:1153) (1153:1153:1153))
+        (PORT datab (419:419:419) (419:419:419))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1099:1099:1099) (1099:1099:1099))
+        (PORT datad (349:349:349) (349:349:349))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3098:3098:3098) (3098:3098:3098))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1194:1194:1194) (1194:1194:1194))
+        (PORT datab (1151:1151:1151) (1151:1151:1151))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (417:417:417) (417:417:417))
+        (PORT datad (1044:1044:1044) (1044:1044:1044))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (959:959:959) (959:959:959))
+        (PORT datab (1132:1132:1132) (1132:1132:1132))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (706:706:706) (706:706:706))
+        (PORT datab (606:606:606) (606:606:606))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (950:950:950) (950:950:950))
+        (PORT datab (681:681:681) (681:681:681))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (412:412:412) (412:412:412))
+        (PORT datad (538:538:538) (538:538:538))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1172:1172:1172) (1172:1172:1172))
+        (PORT datab (1182:1182:1182) (1182:1182:1182))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (389:389:389) (389:389:389))
+        (PORT datac (1067:1067:1067) (1067:1067:1067))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1365:1365:1365) (1365:1365:1365))
+        (PORT datab (583:583:583) (583:583:583))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (406:406:406) (406:406:406))
+        (PORT datad (554:554:554) (554:554:554))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1134:1134:1134) (1134:1134:1134))
+        (PORT datab (582:582:582) (582:582:582))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (359:359:359) (359:359:359))
+        (PORT datac (2328:2328:2328) (2328:2328:2328))
+        (PORT datad (1088:1088:1088) (1088:1088:1088))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_7_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1157:1157:1157) (1157:1157:1157))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1099:1099:1099) (1099:1099:1099))
+        (PORT datac (2328:2328:2328) (2328:2328:2328))
+        (PORT datad (1064:1064:1064) (1064:1064:1064))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_8_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un2_column_counter_next_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (438:438:438) (438:438:438))
+        (PORT datad (424:424:424) (424:424:424))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1101:1101:1101) (1101:1101:1101))
+        (PORT datad (340:340:340) (340:340:340))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_9_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3098:3098:3098) (3098:3098:3098))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (703:703:703) (703:703:703))
+        (PORT datac (688:688:688) (688:688:688))
+        (PORT datad (430:430:430) (430:430:430))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (425:425:425) (425:425:425))
+        (PORT datad (434:434:434) (434:434:434))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (448:448:448) (448:448:448))
+        (PORT datab (348:348:348) (348:348:348))
+        (PORT datac (367:367:367) (367:367:367))
+        (PORT datad (1144:1144:1144) (1144:1144:1144))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1158:1158:1158) (1158:1158:1158))
+        (PORT datab (1113:1113:1113) (1113:1113:1113))
+        (PORT datac (366:366:366) (366:366:366))
+        (PORT datad (1182:1182:1182) (1182:1182:1182))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (417:417:417) (417:417:417))
+        (PORT datad (548:548:548) (548:548:548))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|column_counter_sig_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3075:3075:3075) (3075:3075:3075))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto3.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1225:1225:1225) (1225:1225:1225))
+        (PORT datab (1195:1195:1195) (1195:1195:1195))
+        (PORT datac (1386:1386:1386) (1386:1386:1386))
+        (PORT datad (646:646:646) (646:646:646))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5_0.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1182:1182:1182) (1182:1182:1182))
+        (PORT datac (1162:1162:1162) (1162:1162:1162))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto7.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (365:365:365) (365:365:365))
+        (PORT datab (928:928:928) (928:928:928))
+        (PORT datac (1380:1380:1380) (1380:1380:1380))
+        (PORT datad (558:558:558) (558:558:558))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (2646:2646:2646) (2646:2646:2646))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (4838:4838:4838) (4838:4838:4838))
+        (PORT datab (946:946:946) (946:946:946))
+        (PORT datac (454:454:454) (454:454:454))
+        (PORT datad (1460:1460:1460) (1460:1460:1460))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (584:584:584) (584:584:584))
+        (PORT datac (365:365:365) (365:365:365))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3153:3153:3153) (3153:3153:3153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (635:635:635) (635:635:635))
+        (PORT datab (930:930:930) (930:930:930))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (601:601:601) (601:601:601))
+        (PORT datad (352:352:352) (352:352:352))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3153:3153:3153) (3153:3153:3153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_a_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2425:2425:2425) (2425:2425:2425))
+        (PORT datab (667:667:667) (667:667:667))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (670:670:670) (670:670:670))
+        (PORT datab (639:639:639) (639:639:639))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (535:535:535) (535:535:535))
+        (PORT datad (592:592:592) (592:592:592))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3453:3453:3453) (3453:3453:3453))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (654:654:654) (654:654:654))
+        (PORT datab (429:429:429) (429:429:429))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (361:361:361) (361:361:361))
+        (PORT datad (351:351:351) (351:351:351))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3401:3401:3401) (3401:3401:3401))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (642:642:642) (642:642:642))
+        (PORT datab (608:608:608) (608:608:608))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (587:587:587) (587:587:587))
+        (PORT datac (361:361:361) (361:361:361))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3153:3153:3153) (3153:3153:3153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (970:970:970) (970:970:970))
+        (PORT datab (670:670:670) (670:670:670))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (536:536:536) (536:536:536))
+        (PORT datac (542:542:542) (542:542:542))
+        (PORT datad (2675:2675:2675) (2675:2675:2675))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (443:443:443) (443:443:443))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (360:360:360) (360:360:360))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_7_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3401:3401:3401) (3401:3401:3401))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (918:918:918) (918:918:918))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|un1_line_counter_sig_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (622:622:622) (622:622:622))
+        (PORT datad (430:430:430) (430:430:430))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (603:603:603) (603:603:603))
+        (PORT datad (352:352:352) (352:352:352))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_8_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3153:3153:3153) (3153:3153:3153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (656:656:656) (656:656:656))
+        (PORT datab (671:671:671) (671:671:671))
+        (PORT datad (434:434:434) (434:434:434))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (675:675:675) (675:675:675))
+        (PORT datab (647:647:647) (647:647:647))
+        (PORT datac (543:543:543) (543:543:543))
+        (PORT datad (683:683:683) (683:683:683))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (440:440:440) (440:440:440))
+        (PORT datab (959:959:959) (959:959:959))
+        (PORT datac (1013:1013:1013) (1013:1013:1013))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (602:602:602) (602:602:602))
+        (PORT datad (353:353:353) (353:353:353))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|line_counter_sig_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (3153:3153:3153) (3153:3153:3153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2100:2100:2100) (2100:2100:2100))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelt2.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (975:975:975) (975:975:975))
+        (PORT datab (963:963:963) (963:963:963))
+        (PORT datad (431:431:431) (431:431:431))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto5.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (995:995:995) (995:995:995))
+        (PORT datab (336:336:336) (336:336:336))
+        (PORT datac (611:611:611) (611:611:611))
+        (PORT datad (437:437:437) (437:437:437))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto7.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (2276:2276:2276) (2276:2276:2276))
+        (PORT datac (2312:2312:2312) (2312:2312:2312))
+        (PORT datad (2449:2449:2449) (2449:2449:2449))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (440:440:440) (440:440:440))
+        (PORT datac (1158:1158:1158) (1158:1158:1158))
+        (PORT datad (1008:1008:1008) (1008:1008:1008))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (1133:1133:1133) (1133:1133:1133))
+        (PORT datad (589:589:589) (589:589:589))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|v_enable_sig_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2179:2179:2179) (2179:2179:2179))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2068:2068:2068) (2068:2068:2068))
+        (PORT ena (1783:1783:1783) (1783:1783:1783))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|b_next_0_g0_3_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2480:2480:2480) (2480:2480:2480))
+        (PORT datab (669:669:669) (669:669:669))
+        (PORT datac (2983:2983:2983) (2983:2983:2983))
+        (PORT datad (705:705:705) (705:705:705))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (442:442:442) (442:442:442))
+        (PORT datad (1933:1933:1933) (1933:1933:1933))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (3129:3129:3129) (3129:3129:3129))
+        (PORT datad (2427:2427:2427) (2427:2427:2427))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_driver_unit\|h_enable_sig_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (4015:4015:4015) (4015:4015:4015))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (PORT ena (3104:3104:3104) (3104:3104:3104))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1223:1223:1223) (1223:1223:1223))
+        (PORT datab (1285:1285:1285) (1285:1285:1285))
+        (PORT datac (1208:1208:1208) (1208:1208:1208))
+        (PORT datad (1448:1448:1448) (1448:1448:1448))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto9.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (718:718:718) (718:718:718))
+        (PORT datab (928:928:928) (928:928:928))
+        (PORT datac (687:687:687) (687:687:687))
+        (PORT datad (347:347:347) (347:347:347))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (425:425:425) (425:425:425))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_0_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1160:1160:1160) (1160:1160:1160))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (941:941:941) (941:941:941))
+        (PORT datab (419:419:419) (419:419:419))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_1_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|un2_toggle_counter_next_0_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (605:605:605) (605:605:605))
+        (PORT datab (930:930:930) (930:930:930))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (688:688:688) (688:688:688))
+        (PORT datab (419:419:419) (419:419:419))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_2_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (681:681:681) (681:681:681))
+        (PORT datab (419:419:419) (419:419:419))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_3_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datab (624:624:624) (624:624:624))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_4_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (438:438:438) (438:438:438))
+        (PORT datab (609:609:609) (609:609:609))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_5_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (698:698:698) (698:698:698))
+        (PORT datab (903:903:903) (903:903:903))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_7_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datab (601:601:601) (601:601:601))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_6_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (626:626:626) (626:626:626))
+        (PORT datab (940:940:940) (940:940:940))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH datab cout (460:460:460) (460:460:460))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_9_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (586:586:586) (586:586:586))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH datab cout (460:460:460) (460:460:460))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_8_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (918:918:918) (918:918:918))
+        (PORT datab (601:601:601) (601:601:601))
+        (PORT datac (623:623:623) (623:623:623))
+        (PORT datad (986:986:986) (986:986:986))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (612:612:612) (612:612:612))
+        (PORT datab (909:909:909) (909:909:909))
+        (PORT datac (372:372:372) (372:372:372))
+        (PORT datad (982:982:982) (982:982:982))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (616:616:616) (616:616:616))
+        (PORT datab (420:420:420) (420:420:420))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_11_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (622:622:622) (622:622:622))
+        (PORT datab (420:420:420) (420:420:420))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_10_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto10.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (971:971:971) (971:971:971))
+        (PORT datab (339:339:339) (339:339:339))
+        (PORT datac (631:631:631) (631:631:631))
+        (PORT datad (971:971:971) (971:971:971))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (963:963:963) (963:963:963))
+        (PORT datab (416:416:416) (416:416:416))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_12_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (649:649:649) (649:649:649))
+        (PORT datab (679:679:679) (679:679:679))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_13_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (670:670:670) (670:670:670))
+        (PORT datab (603:603:603) (603:603:603))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_15_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datab (943:943:943) (943:943:943))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_14_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (439:439:439) (439:439:439))
+        (PORT datab (628:628:628) (628:628:628))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_17_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (585:585:585) (585:585:585))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_16_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (426:426:426) (426:426:426))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_18_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1648:1648:1648) (1648:1648:1648))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datad (660:660:660) (660:660:660))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_counter_sig_19_.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1347:1347:1347) (1347:1347:1347))
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_4.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (952:952:952) (952:952:952))
+        (PORT datab (600:600:600) (600:600:600))
+        (PORT datac (630:630:630) (630:630:630))
+        (PORT datad (988:988:988) (988:988:988))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_5.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (944:944:944) (944:944:944))
+        (PORT datab (343:343:343) (343:343:343))
+        (PORT datac (586:586:586) (586:586:586))
+        (PORT datad (952:952:952) (952:952:952))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (370:370:370) (370:370:370))
+        (PORT datab (935:935:935) (935:935:935))
+        (PORT datac (361:361:361) (361:361:361))
+        (PORT datad (993:993:993) (993:993:993))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_sig_0_0_0_g1_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (447:447:447) (447:447:447))
+        (PORT datad (359:359:359) (359:359:359))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|toggle_sig_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (5625:5625:5625) (5625:5625:5625))
+        (PORT clk (2107:2107:2107) (2107:2107:2107))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|b_next_0_g0_5_cZ.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (364:364:364) (364:364:364))
+        (PORT datab (421:421:421) (421:421:421))
+        (PORT datac (367:367:367) (367:367:367))
+        (PORT datad (2041:2041:2041) (2041:2041:2041))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8_a.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (996:996:996) (996:996:996))
+        (PORT datab (963:963:963) (963:963:963))
+        (PORT datac (609:609:609) (609:609:609))
+        (PORT datad (438:438:438) (438:438:438))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2988:2988:2988) (2988:2988:2988))
+        (PORT datab (2095:2095:2095) (2095:2095:2095))
+        (PORT datac (2317:2317:2317) (2317:2317:2317))
+        (PORT datad (2279:2279:2279) (2279:2279:2279))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE inst\|vga_control_unit\|b_Z.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (368:368:368) (368:368:368))
+        (PORT datab (338:338:338) (338:338:338))
+        (PORT datac (365:365:365) (365:365:365))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE inst\|vga_control_unit\|b_Z.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (5508:5508:5508) (5508:5508:5508))
+        (PORT clk (2087:2087:2087) (2087:2087:2087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2835:2835:2835) (2835:2835:2835))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2467:2467:2467) (2467:2467:2467))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_set_column_counter_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (4462:4462:4462) (4462:4462:4462))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_set_line_counter_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2677:2677:2677) (2677:2677:2677))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_set_hsync_counter_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3353:3353:3353) (3353:3353:3353))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_set_vsync_counter_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2678:2678:2678) (2678:2678:2678))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_r_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3050:3050:3050) (3050:3050:3050))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_g_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3087:3087:3087) (3087:3087:3087))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_b_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2395:2395:2395) (2395:2395:2395))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_h_enable_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2375:2375:2375) (2375:2375:2375))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_v_enable_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2344:2344:2344) (2344:2344:2344))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_state_clk_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2239:2239:2239) (2239:2239:2239))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2657:2657:2657) (2657:2657:2657))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|r0_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2648:2648:2648) (2648:2648:2648))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|r1_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3318:3318:3318) (3318:3318:3318))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|r2_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3333:3333:3333) (3333:3333:3333))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|g0_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2616:2616:2616) (2616:2616:2616))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|g1_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3318:3318:3318) (3318:3318:3318))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|g2_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3645:3645:3645) (3645:3645:3645))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|b0_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3422:3422:3422) (3422:3422:3422))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|b1_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3154:3154:3154) (3154:3154:3154))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|hsync_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2326:2326:2326) (2326:2326:2326))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|vsync_pin_out\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2317:2317:2317) (2317:2317:2317))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_9_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2572:2572:2572) (2572:2572:2572))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1976:1976:1976) (1976:1976:1976))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2835:2835:2835) (2835:2835:2835))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2551:2551:2551) (2551:2551:2551))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3073:3073:3073) (3073:3073:3073))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2381:2381:2381) (2381:2381:2381))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2126:2126:2126) (2126:2126:2126))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2189:2189:2189) (2189:2189:2189))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2364:2364:2364) (2364:2364:2364))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_column_counter_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2598:2598:2598) (2598:2598:2598))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_9_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2678:2678:2678) (2678:2678:2678))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2607:2607:2607) (2607:2607:2607))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3076:3076:3076) (3076:3076:3076))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1386:1386:1386) (1386:1386:1386))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1827:1827:1827) (1827:1827:1827))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1860:1860:1860) (1860:1860:1860))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1381:1381:1381) (1381:1381:1381))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1810:1810:1810) (1810:1810:1810))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2662:2662:2662) (2662:2662:2662))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_counter_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1864:1864:1864) (1864:1864:1864))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3694:3694:3694) (3694:3694:3694))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3419:3419:3419) (3419:3419:3419))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2788:2788:2788) (2788:2788:2788))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3562:3562:3562) (3562:3562:3562))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1438:1438:1438) (1438:1438:1438))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1639:1639:1639) (1639:1639:1639))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_hsync_state_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2473:2473:2473) (2473:2473:2473))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (4304:4304:4304) (4304:4304:4304))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2862:2862:2862) (2862:2862:2862))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1400:1400:1400) (1400:1400:1400))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1626:1626:1626) (1626:1626:1626))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1391:1391:1391) (1391:1391:1391))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1595:1595:1595) (1595:1595:1595))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3803:3803:3803) (3803:3803:3803))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1623:1623:1623) (1623:1623:1623))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_line_counter_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1574:1574:1574) (1574:1574:1574))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_24_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3665:3665:3665) (3665:3665:3665))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_23_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2613:2613:2613) (2613:2613:2613))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_22_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2613:2613:2613) (2613:2613:2613))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_21_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3252:3252:3252) (3252:3252:3252))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_20_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3252:3252:3252) (3252:3252:3252))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_19_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2635:2635:2635) (2635:2635:2635))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_18_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1917:1917:1917) (1917:1917:1917))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_17_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2371:2371:2371) (2371:2371:2371))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_16_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2392:2392:2392) (2392:2392:2392))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_15_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2144:2144:2144) (2144:2144:2144))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_14_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1938:1938:1938) (1938:1938:1938))
+        (IOPATH datain padio (2801:2801:2801) (2801:2801:2801))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_13_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1612:1612:1612) (1612:1612:1612))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_12_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2195:2195:2195) (2195:2195:2195))
+        (IOPATH datain padio (2801:2801:2801) (2801:2801:2801))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_11_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2135:2135:2135) (2135:2135:2135))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_10_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2011:2011:2011) (2011:2011:2011))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_9_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1616:1616:1616) (1616:1616:1616))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1587:1587:1587) (1587:1587:1587))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2802:2802:2802) (2802:2802:2802))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2092:2092:2092) (2092:2092:2092))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1333:1333:1333) (1333:1333:1333))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3292:3292:3292) (3292:3292:3292))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2140:2140:2140) (2140:2140:2140))
+        (IOPATH datain padio (2801:2801:2801) (2801:2801:2801))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1348:1348:1348) (1348:1348:1348))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1884:1884:1884) (1884:1884:1884))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_toggle_counter_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2306:2306:2306) (2306:2306:2306))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_9_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1904:1904:1904) (1904:1904:1904))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1632:1632:1632) (1632:1632:1632))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2569:2569:2569) (2569:2569:2569))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1678:1678:1678) (1678:1678:1678))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1353:1353:1353) (1353:1353:1353))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2323:2323:2323) (2323:2323:2323))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1691:1691:1691) (1691:1691:1691))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1362:1362:1362) (1362:1362:1362))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1707:1707:1707) (1707:1707:1707))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_counter_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1707:1707:1707) (1707:1707:1707))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2026:2026:2026) (2026:2026:2026))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2390:2390:2390) (2390:2390:2390))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2411:2411:2411) (2411:2411:2411))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3831:3831:3831) (3831:3831:3831))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3341:3341:3341) (3341:3341:3341))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2625:2625:2625) (2625:2625:2625))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|d_vsync_state_out_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3295:3295:3295) (3295:3295:3295))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_13_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3346:3346:3346) (3346:3346:3346))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_12_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (5257:5257:5257) (5257:5257:5257))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_11_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3140:3140:3140) (3140:3140:3140))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_10_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3134:3134:3134) (3134:3134:3134))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_9_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2980:2980:2980) (2980:2980:2980))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_8_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2960:2960:2960) (2960:2960:2960))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_7_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (5262:5262:5262) (5262:5262:5262))
+        (IOPATH datain padio (4191:4191:4191) (4191:4191:4191))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_6_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3618:3618:3618) (3618:3618:3618))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_5_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3618:3618:3618) (3618:3618:3618))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_4_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3378:3378:3378) (3378:3378:3378))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_3_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3378:3378:3378) (3378:3378:3378))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_2_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3946:3946:3946) (3946:3946:3946))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_out_1_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3140:3140:3140) (3140:3140:3140))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE inst\|seven_seg_pin_tri_0_\~I.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3291:3291:3291) (3291:3291:3291))
+        (IOPATH datain padio (4100:4100:4100) (4100:4100:4100))
+      )
+    )
+  )
+)
diff --git a/bsp4/Designflow/ppr/download/vga_pll.asm.rpt b/bsp4/Designflow/ppr/download/vga_pll.asm.rpt
new file mode 100644 (file)
index 0000000..f571319
--- /dev/null
@@ -0,0 +1,128 @@
+Assembler report for vga_pll
+Tue Nov  3 17:37:36 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Assembler Summary
+  3. Assembler Settings
+  4. Assembler Generated Files
+  5. Assembler Device Options: vga_pll.sof
+  6. Assembler Device Options: vga_pll.pof
+  7. Assembler Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------+
+; Assembler Summary                                             ;
++-----------------------+---------------------------------------+
+; Assembler Status      ; Successful - Tue Nov  3 17:37:36 2009 ;
+; Revision Name         ; vga_pll                               ;
+; Top-level Entity Name ; vga_pll                               ;
+; Family                ; Stratix                               ;
+; Device                ; EP1S25F672C6                          ;
++-----------------------+---------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Assembler Settings                                                                                     ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Option                                                                      ; Setting  ; Default Value ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Use smart compilation                                                       ; Off      ; Off           ;
+; Compression mode                                                            ; Off      ; Off           ;
+; Clock source for configuration device                                       ; Internal ; Internal      ;
+; Clock frequency of the configuration device                                 ; 10 MHZ   ; 10 MHz        ;
+; Divide clock frequency by                                                   ; 1        ; 1             ;
+; Auto user code                                                              ; Off      ; Off           ;
+; Use configuration device                                                    ; On       ; On            ;
+; Configuration device                                                        ; Auto     ; Auto          ;
+; Configuration device auto user code                                         ; Off      ; Off           ;
+; Auto-increment JTAG user code for multiple configuration devices            ; On       ; On            ;
+; Disable CONF_DONE and nSTATUS pull-ups on configuration device              ; Off      ; Off           ;
+; Generate Tabular Text File (.ttf) For Target Device                         ; Off      ; Off           ;
+; Generate Raw Binary File (.rbf) For Target Device                           ; Off      ; Off           ;
+; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off      ; Off           ;
+; Hexadecimal Output File start address                                       ; 0        ; 0             ;
+; Hexadecimal Output File count direction                                     ; Up       ; Up            ;
+; Release clears before tri-states                                            ; Off      ; Off           ;
+; Auto-restart configuration after error                                      ; On       ; On            ;
+; Use Checkered Pattern as Uninitialized RAM Content                          ; Off      ; Off           ;
+; Generate Serial Vector Format File (.svf) for Target Device                 ; Off      ; Off           ;
+; Generate a JEDEC STAPL Format File (.jam) for Target Device                 ; Off      ; Off           ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off      ; Off           ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On       ; On            ;
++-----------------------------------------------------------------------------+----------+---------------+
+
+
++---------------------------+
+; Assembler Generated Files ;
++---------------------------+
+; File Name                 ;
++---------------------------+
+; vga_pll.sof               ;
+; vga_pll.pof               ;
++---------------------------+
+
+
++---------------------------------------+
+; Assembler Device Options: vga_pll.sof ;
++----------------+----------------------+
+; Option         ; Setting              ;
++----------------+----------------------+
+; Device         ; EP1S25F672C6         ;
+; JTAG usercode  ; 0xFFFFFFFF           ;
+; Checksum       ; 0x002E4A58           ;
++----------------+----------------------+
+
+
++---------------------------------------+
+; Assembler Device Options: vga_pll.pof ;
++--------------------+------------------+
+; Option             ; Setting          ;
++--------------------+------------------+
+; Device             ; EPC8             ;
+; JTAG usercode      ; 0xFFFFFFFF       ;
+; Checksum           ; 0x0BFB8DCD       ;
+; Compression Ratio  ; 1                ;
++--------------------+------------------+
+
+
++--------------------+
+; Assembler Messages ;
++--------------------+
+Info: *******************************************************************
+Info: Running Quartus II Assembler
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:37:16 2009
+Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+Info: Assembler is generating device programming files
+Info: Quartus II Assembler was successful. 0 errors, 0 warnings
+    Info: Peak virtual memory: 269 megabytes
+    Info: Processing ended: Tue Nov  3 17:37:36 2009
+    Info: Elapsed time: 00:00:20
+    Info: Total CPU time (on all processors): 00:00:18
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.done b/bsp4/Designflow/ppr/download/vga_pll.done
new file mode 100644 (file)
index 0000000..37ae927
--- /dev/null
@@ -0,0 +1 @@
+Tue Nov  3 17:37:44 2009
diff --git a/bsp4/Designflow/ppr/download/vga_pll.eda.rpt b/bsp4/Designflow/ppr/download/vga_pll.eda.rpt
new file mode 100644 (file)
index 0000000..91545ee
--- /dev/null
@@ -0,0 +1,94 @@
+EDA Netlist Writer report for vga_pll
+Tue Nov  3 17:37:44 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. EDA Netlist Writer Summary
+  3. Simulation Settings
+  4. Simulation Generated Files
+  5. EDA Netlist Writer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++-------------------------------------------------------------------+
+; EDA Netlist Writer Summary                                        ;
++---------------------------+---------------------------------------+
+; EDA Netlist Writer Status ; Successful - Tue Nov  3 17:37:44 2009 ;
+; Revision Name             ; vga_pll                               ;
+; Top-level Entity Name     ; vga_pll                               ;
+; Family                    ; Stratix                               ;
+; Simulation Files Creation ; Successful                            ;
++---------------------------+---------------------------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------+
+; Simulation Settings                                                                                                           ;
++---------------------------------------------------------------------------------------------------+---------------------------+
+; Option                                                                                            ; Setting                   ;
++---------------------------------------------------------------------------------------------------+---------------------------+
+; Tool Name                                                                                         ; ModelSim-Altera (Verilog) ;
+; Generate netlist for functional simulation only                                                   ; Off                       ;
+; Time scale                                                                                        ; 1 ps                      ;
+; Truncate long hierarchy paths                                                                     ; Off                       ;
+; Map illegal HDL characters                                                                        ; Off                       ;
+; Flatten buses into individual nodes                                                               ; Off                       ;
+; Maintain hierarchy                                                                                ; Off                       ;
+; Bring out device-wide set/reset signals as ports                                                  ; Off                       ;
+; Enable glitch filtering                                                                           ; Off                       ;
+; Do not write top level VHDL entity                                                                ; Off                       ;
+; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off                       ;
+; Architecture name in VHDL output netlist                                                          ; structure                 ;
+; Generate third-party EDA tool command script for RTL functional simulation                        ; Off                       ;
+; Generate third-party EDA tool command script for gate-level simulation                            ; Off                       ;
++---------------------------------------------------------------------------------------------------+---------------------------+
+
+
++---------------------------------------------------------------------------------------------+
+; Simulation Generated Files                                                                  ;
++---------------------------------------------------------------------------------------------+
+; Generated Files                                                                             ;
++---------------------------------------------------------------------------------------------+
+; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll.vo    ;
+; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/vga_pll_v.sdo ;
++---------------------------------------------------------------------------------------------+
+
+
++-----------------------------+
+; EDA Netlist Writer Messages ;
++-----------------------------+
+Info: *******************************************************************
+Info: Running Quartus II EDA Netlist Writer
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:37:42 2009
+Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+Info: Generated files "vga_pll.vo" and "vga_pll_v.sdo" in directory "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/simulation/modelsim/" for EDA simulation tool
+Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings
+    Info: Peak virtual memory: 162 megabytes
+    Info: Processing ended: Tue Nov  3 17:37:44 2009
+    Info: Elapsed time: 00:00:02
+    Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.rpt b/bsp4/Designflow/ppr/download/vga_pll.fit.rpt
new file mode 100644 (file)
index 0000000..995f274
--- /dev/null
@@ -0,0 +1,1722 @@
+Fitter report for vga_pll
+Tue Nov  3 17:37:12 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Fitter Summary
+  3. Fitter Settings
+  4. Parallel Compilation
+  5. Pin-Out File
+  6. Fitter Resource Usage Summary
+  7. Input Pins
+  8. Output Pins
+  9. I/O Bank Usage
+ 10. All Package Pins
+ 11. PLL Summary
+ 12. PLL Usage
+ 13. Output Pin Default Load For Reported TCO
+ 14. Fitter Resource Utilization by Entity
+ 15. Delay Chain Summary
+ 16. Pad To Core Delay Chain Fanout
+ 17. Control Signals
+ 18. Global & Other Fast Signals
+ 19. Non-Global High Fan-Out Signals
+ 20. Interconnect Usage Summary
+ 21. LAB Logic Elements
+ 22. LAB-wide Signals
+ 23. LAB Signals Sourced
+ 24. LAB Signals Sourced Out
+ 25. LAB Distinct Inputs
+ 26. Fitter Device Options
+ 27. Estimated Delay Added for Hold Timing
+ 28. Fitter Messages
+ 29. Fitter Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------+
+; Fitter Summary                                                      ;
++--------------------------+------------------------------------------+
+; Fitter Status            ; Successful - Tue Nov  3 17:37:12 2009    ;
+; Quartus II Version       ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name            ; vga_pll                                  ;
+; Top-level Entity Name    ; vga_pll                                  ;
+; Family                   ; Stratix                                  ;
+; Device                   ; EP1S25F672C6                             ;
+; Timing Models            ; Final                                    ;
+; Total logic elements     ; 173 / 25,660 ( < 1 % )                   ;
+; Total pins               ; 117 / 474 ( 25 % )                       ;
+; Total virtual pins       ; 0                                        ;
+; Total memory bits        ; 0 / 1,944,576 ( 0 % )                    ;
+; DSP block 9-bit elements ; 0 / 80 ( 0 % )                           ;
+; Total PLLs               ; 1 / 6 ( 17 % )                           ;
+; Total DLLs               ; 0 / 2 ( 0 % )                            ;
++--------------------------+------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Settings                                                                                                                      ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+; Option                                                             ; Setting                        ; Default Value                  ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+; Device                                                             ; EP1S25F672C6                   ;                                ;
+; Fit Attempts to Skip                                               ; 0                              ; 0.0                            ;
+; Use smart compilation                                              ; Off                            ; Off                            ;
+; Use TimeQuest Timing Analyzer                                      ; Off                            ; Off                            ;
+; Router Timing Optimization Level                                   ; Normal                         ; Normal                         ;
+; Placement Effort Multiplier                                        ; 1.0                            ; 1.0                            ;
+; Router Effort Multiplier                                           ; 1.0                            ; 1.0                            ;
+; Optimize Hold Timing                                               ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
+; Optimize Multi-Corner Timing                                       ; Off                            ; Off                            ;
+; Optimize Timing                                                    ; Normal compilation             ; Normal compilation             ;
+; Optimize Timing for ECOs                                           ; Off                            ; Off                            ;
+; Regenerate full fit report during ECO compiles                     ; Off                            ; Off                            ;
+; Optimize IOC Register Placement for Timing                         ; On                             ; On                             ;
+; Limit to One Fitting Attempt                                       ; Off                            ; Off                            ;
+; Final Placement Optimizations                                      ; Automatically                  ; Automatically                  ;
+; Fitter Aggressive Routability Optimizations                        ; Automatically                  ; Automatically                  ;
+; Fitter Initial Placement Seed                                      ; 1                              ; 1                              ;
+; Slow Slew Rate                                                     ; Off                            ; Off                            ;
+; PCI I/O                                                            ; Off                            ; Off                            ;
+; Weak Pull-Up Resistor                                              ; Off                            ; Off                            ;
+; Enable Bus-Hold Circuitry                                          ; Off                            ; Off                            ;
+; Auto Global Memory Control Signals                                 ; Off                            ; Off                            ;
+; Auto Packed Registers                                              ; Auto                           ; Auto                           ;
+; Auto Delay Chains                                                  ; On                             ; On                             ;
+; Auto Merge PLLs                                                    ; On                             ; On                             ;
+; Perform Physical Synthesis for Combinational Logic for Performance ; Off                            ; Off                            ;
+; Perform Register Duplication for Performance                       ; Off                            ; Off                            ;
+; Perform Register Retiming for Performance                          ; Off                            ; Off                            ;
+; Perform Asynchronous Signal Pipelining                             ; Off                            ; Off                            ;
+; Fitter Effort                                                      ; Auto Fit                       ; Auto Fit                       ;
+; Physical Synthesis Effort Level                                    ; Normal                         ; Normal                         ;
+; Logic Cell Insertion - Logic Duplication                           ; Auto                           ; Auto                           ;
+; Auto Register Duplication                                          ; Auto                           ; Auto                           ;
+; Auto Global Clock                                                  ; On                             ; On                             ;
+; Auto Global Register Control Signals                               ; On                             ; On                             ;
+; Stop After Congestion Map Generation                               ; Off                            ; Off                            ;
+; Save Intermediate Fitting Results                                  ; Off                            ; Off                            ;
+; Force Fitter to Avoid Periphery Placement Warnings                 ; Off                            ; Off                            ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation                     ;
++----------------------------+-------------+
+; Processors                 ; Number      ;
++----------------------------+-------------+
+; Number detected on machine ; 2           ;
+; Maximum allowed            ; 2           ;
+;                            ;             ;
+; Average used               ; 1.00        ;
+; Maximum used               ; 2           ;
+;                            ;             ;
+; Usage by Processor         ; % Time Used ;
+;     1 processor            ; 100.0%      ;
+;     2 processors           ; < 0.1%      ;
++----------------------------+-------------+
+
+
++--------------+
+; Pin-Out File ;
++--------------+
+The pin-out file can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.pin.
+
+
++---------------------------------------------------------------------------------------------------------+
+; Fitter Resource Usage Summary                                                                           ;
++---------------------------------------------+-----------------------------------------------------------+
+; Resource                                    ; Usage                                                     ;
++---------------------------------------------+-----------------------------------------------------------+
+; Total logic elements                        ; 173 / 25,660 ( < 1 % )                                    ;
+;     -- Combinational with no register       ; 92                                                        ;
+;     -- Register only                        ; 0                                                         ;
+;     -- Combinational with a register        ; 81                                                        ;
+;                                             ;                                                           ;
+; Logic element usage by number of LUT inputs ;                                                           ;
+;     -- 4 input functions                    ; 61                                                        ;
+;     -- 3 input functions                    ; 50                                                        ;
+;     -- 2 input functions                    ; 58                                                        ;
+;     -- 1 input functions                    ; 2                                                         ;
+;     -- 0 input functions                    ; 1                                                         ;
+;                                             ;                                                           ;
+; Logic elements by mode                      ;                                                           ;
+;     -- normal mode                          ; 121                                                       ;
+;     -- arithmetic mode                      ; 52                                                        ;
+;     -- qfbk mode                            ; 3                                                         ;
+;     -- register cascade mode                ; 0                                                         ;
+;     -- synchronous clear/load mode          ; 69                                                        ;
+;     -- asynchronous clear/load mode         ; 22                                                        ;
+;                                             ;                                                           ;
+; Total registers                             ; 81 / 28,424 ( < 1 % )                                     ;
+; Total LABs                                  ; 22 / 2,566 ( < 1 % )                                      ;
+; Logic elements in carry chains              ; 60                                                        ;
+; User inserted logic elements                ; 0                                                         ;
+; Virtual pins                                ; 0                                                         ;
+; I/O pins                                    ; 117 / 474 ( 25 % )                                        ;
+;     -- Clock pins                           ; 1 / 16 ( 6 % )                                            ;
+; Global signals                              ; 2                                                         ;
+; M512s                                       ; 0 / 224 ( 0 % )                                           ;
+; M4Ks                                        ; 0 / 138 ( 0 % )                                           ;
+; M-RAMs                                      ; 0 / 2 ( 0 % )                                             ;
+; Total memory bits                           ; 0 / 1,944,576 ( 0 % )                                     ;
+; Total RAM block bits                        ; 0 / 1,944,576 ( 0 % )                                     ;
+; DSP block 9-bit elements                    ; 0 / 80 ( 0 % )                                            ;
+; PLLs                                        ; 1 / 6 ( 17 % )                                            ;
+; Global clocks                               ; 2 / 16 ( 13 % )                                           ;
+; Regional clocks                             ; 0 / 16 ( 0 % )                                            ;
+; Fast regional clocks                        ; 0 / 8 ( 0 % )                                             ;
+; SERDES transmitters                         ; 0 / 78 ( 0 % )                                            ;
+; SERDES receivers                            ; 0 / 78 ( 0 % )                                            ;
+; JTAGs                                       ; 0 / 1 ( 0 % )                                             ;
+; CRC blocks                                  ; 0 / 1 ( 0 % )                                             ;
+; Remote update blocks                        ; 0 / 1 ( 0 % )                                             ;
+; Average interconnect usage (total/H/V)      ; 0% / 0% / 0%                                              ;
+; Peak interconnect usage (total/H/V)         ; 1% / 1% / 1%                                              ;
+; Maximum fan-out node                        ; vpll:inst1|altpll:altpll_component|_clk0                  ;
+; Maximum fan-out                             ; 82                                                        ;
+; Highest non-global fan-out signal           ; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ;
+; Highest non-global fan-out                  ; 21                                                        ;
+; Total fan-out                               ; 866                                                       ;
+; Average fan-out                             ; 2.97                                                      ;
++---------------------------------------------+-----------------------------------------------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Input Pins                                                                                                                                                                                                                                                      ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+; Name      ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+; board_clk ; N3    ; 2        ; 0            ; 27           ; 3           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
+; reset     ; A5    ; 3        ; 7            ; 47           ; 0           ; 9                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; User                 ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Output Pins                                                                                                                                                                                                                                                                                                             ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+; Name                 ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load  ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+; b0_pin               ; E24   ; 5        ; 79           ; 45           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; b1_pin               ; T6    ; 1        ; 0            ; 16           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_b                  ; K20   ; 5        ; 79           ; 33           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[0]  ; L23   ; 5        ; 79           ; 31           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[1]  ; L22   ; 5        ; 79           ; 31           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[2]  ; L21   ; 5        ; 79           ; 32           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[3]  ; L20   ; 5        ; 79           ; 32           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[4]  ; L6    ; 2        ; 0            ; 32           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[5]  ; L4    ; 2        ; 0            ; 33           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[6]  ; L2    ; 2        ; 0            ; 33           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[7]  ; K23   ; 5        ; 79           ; 34           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[8]  ; K19   ; 5        ; 79           ; 33           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_column_counter[9]  ; K5    ; 2        ; 0            ; 34           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_g                  ; K24   ; 5        ; 79           ; 34           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_h_enable           ; J21   ; 5        ; 79           ; 37           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync              ; L7    ; 2        ; 0            ; 32           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_counter[0]   ; H4    ; 2        ; 0            ; 42           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_counter[1]   ; AC10  ; 8        ; 21           ; 0            ; 4           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[2]   ; D10   ; 3        ; 21           ; 47           ; 5           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[3]   ; B10   ; 3        ; 21           ; 47           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[4]   ; H1    ; 2        ; 0            ; 42           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[5]   ; A9    ; 3        ; 21           ; 47           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[6]   ; C10   ; 3        ; 21           ; 47           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[7]   ; G25   ; 5        ; 79           ; 43           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_counter[8]   ; G22   ; 5        ; 79           ; 42           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_counter[9]   ; G18   ; 4        ; 58           ; 47           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[0]     ; Y5    ; 1        ; 0            ; 5            ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[1]     ; F19   ; 4        ; 62           ; 47           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[2]     ; F17   ; 4        ; 56           ; 47           ; 5           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[3]     ; Y2    ; 1        ; 0            ; 4            ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[4]     ; F10   ; 3        ; 23           ; 47           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[5]     ; F9    ; 3        ; 21           ; 47           ; 4           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_hsync_state[6]     ; F6    ; 3        ; 9            ; 47           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[0]    ; K6    ; 2        ; 0            ; 34           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[1]    ; K4    ; 2        ; 0            ; 37           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[2]    ; J22   ; 5        ; 79           ; 37           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[3]    ; M9    ; 2        ; 0            ; 29           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[4]    ; M8    ; 2        ; 0            ; 29           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[5]    ; M6    ; 2        ; 0            ; 31           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[6]    ; M5    ; 2        ; 0            ; 30           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[7]    ; L24   ; 5        ; 79           ; 33           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_line_counter[8]    ; L25   ; 5        ; 79           ; 33           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_r                  ; L3    ; 2        ; 0            ; 33           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_set_column_counter ; Y23   ; 6        ; 79           ; 5            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_set_hsync_counter  ; F26   ; 5        ; 79           ; 44           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_set_line_counter   ; F21   ; 4        ; 70           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_set_vsync_counter  ; F24   ; 5        ; 79           ; 44           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_state_clk          ; K3    ; 2        ; 0            ; 37           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle             ; H3    ; 2        ; 0            ; 42           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[0]  ; H26   ; 5        ; 79           ; 42           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[10] ; F12   ; 9        ; 37           ; 47           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[11] ; D24   ; 5        ; 79           ; 46           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[12] ; E14   ; 9        ; 37           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[13] ; E16   ; 4        ; 54           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[14] ; F13   ; 9        ; 37           ; 47           ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[15] ; G24   ; 5        ; 79           ; 43           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[16] ; G23   ; 5        ; 79           ; 43           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[17] ; G21   ; 5        ; 79           ; 42           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[18] ; G20   ; 4        ; 62           ; 47           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[19] ; G5    ; 2        ; 0            ; 44           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[1]  ; C25   ; 5        ; 79           ; 46           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[20] ; G3    ; 2        ; 0            ; 43           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[21] ; G1    ; 2        ; 0            ; 43           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[22] ; F25   ; 5        ; 79           ; 44           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[23] ; F23   ; 5        ; 79           ; 44           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[24] ; T19   ; 6        ; 79           ; 16           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_toggle_counter[2]  ; B16   ; 4        ; 52           ; 47           ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[3]  ; E13   ; 9        ; 37           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[4]  ; Y16   ; 7        ; 50           ; 0            ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[5]  ; C15   ; 4        ; 50           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[6]  ; F15   ; 4        ; 46           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[7]  ; AA16  ; 7        ; 52           ; 0            ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[8]  ; H16   ; 4        ; 50           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[9]  ; C16   ; 4        ; 54           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_v_enable           ; H18   ; 4        ; 56           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync              ; L5    ; 2        ; 0            ; 33           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_counter[0]   ; G9    ; 3        ; 23           ; 47           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_counter[1]   ; H10   ; 3        ; 27           ; 47           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[2]   ; C11   ; 3        ; 25           ; 47           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[3]   ; G10   ; 3        ; 23           ; 47           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[4]   ; H2    ; 2        ; 0            ; 42           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[5]   ; D11   ; 3        ; 25           ; 47           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[6]   ; A10   ; 3        ; 23           ; 47           ; 4           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[7]   ; G6    ; 2        ; 0            ; 44           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_counter[8]   ; G4    ; 2        ; 0            ; 43           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_counter[9]   ; G2    ; 2        ; 0            ; 43           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[0]     ; F5    ; 3        ; 9            ; 47           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[1]     ; F4    ; 2        ; 0            ; 45           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[2]     ; F3    ; 2        ; 0            ; 45           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[3]     ; M19   ; 5        ; 79           ; 29           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[4]     ; M18   ; 5        ; 79           ; 29           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[5]     ; M7    ; 2        ; 0            ; 31           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; d_vsync_state[6]     ; M4    ; 2        ; 0            ; 30           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; g0_pin               ; E23   ; 5        ; 79           ; 45           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; g1_pin               ; T5    ; 1        ; 0            ; 15           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; g2_pin               ; T24   ; 6        ; 79           ; 15           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; hsync_pin            ; F1    ; 2        ; 0            ; 44           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; r0_pin               ; E22   ; 4        ; 76           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; r1_pin               ; T4    ; 1        ; 0            ; 15           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; r2_pin               ; T7    ; 1        ; 0            ; 16           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[0]     ; R8    ; 1        ; 0            ; 19           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[10]    ; R4    ; 1        ; 0            ; 18           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[11]    ; R6    ; 1        ; 0            ; 19           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[12]    ; AA11  ; 8        ; 31           ; 0            ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[13]    ; T2    ; 1        ; 0            ; 17           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[1]     ; R9    ; 1        ; 0            ; 19           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[2]     ; R19   ; 6        ; 79           ; 16           ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[3]     ; R20   ; 6        ; 79           ; 19           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[4]     ; R21   ; 6        ; 79           ; 19           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[5]     ; R22   ; 6        ; 79           ; 18           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[6]     ; R23   ; 6        ; 79           ; 18           ; 2           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[7]     ; Y11   ; 8        ; 29           ; 0            ; 0           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[8]     ; N7    ; 2        ; 0            ; 29           ; 3           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; seven_seg_pin[9]     ; N8    ; 2        ; 0            ; 28           ; 1           ; no              ; no                     ; no            ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
+; vsync_pin            ; F2    ; 2        ; 0            ; 44           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; User                 ; 10 pF ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+
+
++------------------------------------------------------------+
+; I/O Bank Usage                                             ;
++----------+------------------+---------------+--------------+
+; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
++----------+------------------+---------------+--------------+
+; 1        ; 11 / 61 ( 18 % ) ; 3.3V          ; --           ;
+; 2        ; 33 / 59 ( 56 % ) ; 3.3V          ; --           ;
+; 3        ; 15 / 54 ( 28 % ) ; 3.3V          ; --           ;
+; 4        ; 14 / 56 ( 25 % ) ; 3.3V          ; --           ;
+; 5        ; 28 / 59 ( 47 % ) ; 3.3V          ; --           ;
+; 6        ; 8 / 61 ( 13 % )  ; 3.3V          ; --           ;
+; 7        ; 2 / 57 ( 4 % )   ; 3.3V          ; --           ;
+; 8        ; 3 / 54 ( 6 % )   ; 3.3V          ; --           ;
+; 9        ; 4 / 6 ( 67 % )   ; 3.3V          ; --           ;
+; 11       ; 0 / 6 ( 0 % )    ; 3.3V          ; --           ;
++----------+------------------+---------------+--------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; All Package Pins                                                                                                                                                     ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+; Location ; Pad Number ; I/O Bank ; Pin Name/Usage           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+; A2       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A3       ; 733        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A4       ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A5       ; 725        ; 3        ; reset                    ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; A6       ; 717        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A7       ; 703        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A8       ; 702        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A9       ; 695        ; 3        ; d_hsync_counter[5]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A10      ; 684        ; 3        ; d_vsync_counter[6]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A11      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A12      ; 656        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A15      ; 640        ; 4        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; A16      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A17      ; 602        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A18      ; 589        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A19      ; 579        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A20      ; 571        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A21      ; 564        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A22      ; 554        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A23      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A24      ; 552        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A25      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AA1      ; 158        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA2      ; 157        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA3      ; 160        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA4      ; 159        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA5      ; 155        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA6      ; 154        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA7      ; 195        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA8      ; 214        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA9      ; 223        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA10     ; 227        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA11     ; 251        ; 8        ; seven_seg_pin[12]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; AA12     ; 269        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA13     ; 273        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA14     ; 271        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA15     ; 283        ; 7        ; ^nIO_PULLUP              ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AA16     ; 304        ; 7        ; d_toggle_counter[7]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA17     ; 316        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA18     ; 324        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA19     ; 334        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA20     ; 344        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA21     ; 350        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA22     ; 386        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA23     ; 382        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA24     ; 381        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA25     ; 384        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA26     ; 383        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB1      ; 162        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB2      ; 161        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB3      ; 164        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB4      ; 163        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB5      ; 181        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB6      ; 184        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB7      ; 191        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB8      ; 203        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB9      ; 217        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB10     ; 229        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB11     ; 231        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB12     ; 268        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB13     ; 272        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB14     ; 270        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB15     ; 292        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AB16     ; 309        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB17     ; 322        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB18     ; 323        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AB19     ; 336        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB20     ; 346        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB21     ; 351        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB22     ; 365        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB23     ; 378        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB24     ; 377        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB25     ; 380        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB26     ; 379        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC1      ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AC2      ; 165        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC3      ; 168        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC4      ; 167        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC5      ; 171        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC6      ; 185        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC7      ; 186        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC8      ; 201        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC9      ; 215        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC10     ; 224        ; 8        ; d_hsync_counter[1]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AC11     ; 239        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC12     ; 257        ; 8        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AC13     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AC14     ;            ;          ; GNDA_PLL6                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AC15     ; 293        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC16     ; 307        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC17     ; 328        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC18     ; 338        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC19     ; 339        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC20     ; 349        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC21     ; 355        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC22     ; 369        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC23     ; 368        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC24     ; 374        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC25     ; 376        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC26     ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AD1      ; 166        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AD2      ; 172        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD3      ; 174        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD4      ; 178        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD5      ; 170        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD6      ; 188        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD7      ; 192        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD8      ; 204        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD9      ; 216        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD10     ; 220        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD11     ; 247        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD12     ; 256        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD13     ;            ;          ; VCCG_PLL6                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; AD14     ;            ;          ; VCCA_PLL6                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; AD15     ; 302        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD16     ; 310        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD17     ; 329        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD18     ; 335        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD19     ; 337        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD20     ; 353        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD21     ; 354        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AD22     ; 370        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD23     ; 364        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD24     ; 367        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD25     ; 373        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AD26     ; 375        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AE1      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AE2      ; 173        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE3      ; 179        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE4      ; 176        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE5      ; 187        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AE6      ; 194        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE7      ; 189        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE8      ; 206        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE9      ; 218        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AE10     ; 222        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE11     ; 232        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE12     ; 259        ; 8        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AE13     ;            ; 11       ; VCC_PLL6_OUTA            ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AE14     ;            ;          ; GNDG_PLL6                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AE15     ; 274        ; 7        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AE16     ; 313        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE17     ; 319        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE18     ; 330        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE19     ; 340        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE20     ; 343        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE21     ; 352        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE22     ; 363        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE23     ; 366        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE24     ; 371        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE25     ; 358        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE26     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF2      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF3      ; 183        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF4      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF5      ; 190        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF6      ; 198        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF7      ; 197        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF8      ; 207        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF9      ; 219        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF10     ; 230        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF11     ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF12     ; 258        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF13     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF14     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF15     ; 276        ; 7        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AF16     ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF17     ; 315        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF18     ; 327        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF19     ; 331        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF20     ; 342        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF21     ; 347        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF22     ; 360        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF23     ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF24     ; 362        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF25     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B2       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B3       ; 740        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B4       ; 736        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B5       ; 730        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B6       ; 716        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B7       ; 709        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B8       ; 704        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B9       ; 698        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B10      ; 694        ; 3        ; d_hsync_counter[3]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; B11      ; 667        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B12      ; 655        ; 3        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; B13      ;            ;          ; GNDG_PLL5                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B14      ;            ;          ; GNDA_PLL5                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B15      ; 638        ; 4        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; B16      ; 610        ; 4        ; d_toggle_counter[2]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; B17      ; 596        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B18      ; 582        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B19      ; 577        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B20      ; 567        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B21      ; 563        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B22      ; 551        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B23      ; 548        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B24      ; 543        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B25      ; 544        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; C1       ; 0          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; C2       ; 738        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C3       ; 731        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C4       ; 742        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C5       ; 743        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C6       ; 729        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C7       ; 728        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C8       ; 710        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C9       ; 699        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C10      ; 692        ; 3        ; d_hsync_counter[6]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C11      ; 682        ; 3        ; d_vsync_counter[2]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C12      ; 658        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; C14      ;            ;          ; VCCG_PLL5                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; C15      ; 617        ; 4        ; d_toggle_counter[5]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C16      ; 605        ; 4        ; d_toggle_counter[9]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C17      ; 592        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C18      ; 581        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C19      ; 573        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C20      ; 559        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C21      ; 566        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C22      ; 556        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C23      ; 550        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C24      ; 547        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C25      ; 539        ; 5        ; d_toggle_counter[1]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; C26      ; 541        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D1       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; D2       ; 1          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D3       ; 744        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D4       ; 741        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D5       ; 735        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D6       ; 722        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D7       ; 727        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; D8       ; 712        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D9       ; 696        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; D10      ; 691        ; 3        ; d_hsync_counter[2]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; D11      ; 683        ; 3        ; d_vsync_counter[5]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; D12      ; 657        ; 3        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; D13      ;            ; 9        ; VCC_PLL5_OUTA            ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; D14      ;            ;          ; VCCA_PLL5                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; D15      ; 630        ; 4        ; #TRST                    ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; D16      ; 604        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D17      ; 600        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D18      ; 583        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D19      ; 575        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D20      ; 562        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D21      ; 561        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D22      ; 546        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D23      ; 545        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D24      ; 538        ; 5        ; d_toggle_counter[11]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; D25      ; 540        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D26      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; E1       ; 4          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E2       ; 5          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E3       ; 2          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E4       ; 3          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E5       ; 726        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E6       ; 723        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E7       ; 713        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E8       ; 706        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E9       ; 697        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E10      ; 685        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E11      ; 662        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E12      ; 646        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E13      ; 642        ; 9        ; d_toggle_counter[3]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E14      ; 644        ; 9        ; d_toggle_counter[12]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E15      ; 629        ; 4        ; #TMS                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; E16      ; 607        ; 4        ; d_toggle_counter[13]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E17      ; 597        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E18      ; 586        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E19      ; 578        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E20      ; 576        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E21      ; 569        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E22      ; 549        ; 4        ; r0_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; E23      ; 534        ; 5        ; g0_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; E24      ; 535        ; 5        ; b0_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; E25      ; 536        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E26      ; 537        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F1       ; 8          ; 2        ; hsync_pin                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F2       ; 9          ; 2        ; vsync_pin                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F3       ; 6          ; 2        ; d_vsync_state[2]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F4       ; 7          ; 2        ; d_vsync_state[1]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F5       ; 720        ; 3        ; d_vsync_state[0]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F6       ; 719        ; 3        ; d_hsync_state[6]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F7       ; 707        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F8       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; F9       ; 690        ; 3        ; d_hsync_state[5]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F10      ; 687        ; 3        ; d_hsync_state[4]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F11      ; 659        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F12      ; 645        ; 9        ; d_toggle_counter[10]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F13      ; 641        ; 9        ; d_toggle_counter[14]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F14      ; 643        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F15      ; 632        ; 4        ; d_toggle_counter[6]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F16      ; 612        ; 4        ; ~DATA0~ / RESERVED_INPUT ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F17      ; 599        ; 4        ; d_hsync_state[2]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F18      ; 591        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F19      ; 590        ; 4        ; d_hsync_state[1]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F20      ; 584        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F21      ; 572        ; 4        ; d_set_line_counter       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; F22      ; 560        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F23      ; 530        ; 5        ; d_toggle_counter[23]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F24      ; 531        ; 5        ; d_set_vsync_counter      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F25      ; 532        ; 5        ; d_toggle_counter[22]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; F26      ; 533        ; 5        ; d_set_hsync_counter      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G1       ; 12         ; 2        ; d_toggle_counter[21]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G2       ; 13         ; 2        ; d_vsync_counter[9]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G3       ; 14         ; 2        ; d_toggle_counter[20]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G4       ; 15         ; 2        ; d_vsync_counter[8]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G5       ; 10         ; 2        ; d_toggle_counter[19]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G6       ; 11         ; 2        ; d_vsync_counter[7]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G7       ; 700        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G8       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G9       ; 688        ; 3        ; d_vsync_counter[0]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; G10      ; 686        ; 3        ; d_vsync_counter[3]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; G11      ; 670        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G12      ; 653        ; 3        ; ^DCLK                    ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G13      ;            ;          ; TEMPDIODEn               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G14      ; 636        ; 4        ; #TDO                     ; output ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G15      ; 631        ; 4        ; #TCK                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G16      ; 622        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; G17      ; 601        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G18      ; 594        ; 4        ; d_hsync_counter[9]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; G19      ; 585        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G20      ; 587        ; 4        ; d_toggle_counter[18]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; G21      ; 522        ; 5        ; d_toggle_counter[17]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G22      ; 523        ; 5        ; d_hsync_counter[8]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G23      ; 526        ; 5        ; d_toggle_counter[16]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G24      ; 527        ; 5        ; d_toggle_counter[15]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G25      ; 528        ; 5        ; d_hsync_counter[7]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; G26      ; 529        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H1       ; 16         ; 2        ; d_hsync_counter[4]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; H2       ; 17         ; 2        ; d_vsync_counter[4]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; H3       ; 18         ; 2        ; d_toggle                 ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; H4       ; 19         ; 2        ; d_hsync_counter[0]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; H5       ; 24         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H6       ; 23         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H7       ; 28         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H8       ; 20         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; H9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H10      ; 675        ; 3        ; d_vsync_counter[1]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; H11      ; 654        ; 3        ; ^CONF_DONE               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H12      ; 652        ; 3        ; ^nCONFIG                 ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H13      ; 651        ; 3        ; ^nSTATUS                 ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H14      ;            ;          ; TEMPDIODEp               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H15      ; 635        ; 4        ; #TDI                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H16      ; 621        ; 4        ; d_toggle_counter[8]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; H17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H18      ; 603        ; 4        ; d_v_enable               ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; H19      ; 506        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H20      ; 505        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H21      ; 514        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H22      ; 513        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H23      ; 518        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H24      ; 517        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H25      ; 524        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H26      ; 525        ; 5        ; d_toggle_counter[0]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; J1       ; 34         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J2       ; 33         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J3       ; 30         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J4       ; 29         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J5       ; 36         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J6       ; 35         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J7       ; 27         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J8       ; 48         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J11      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J12      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J15      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J16      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J18      ; 521        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; J19      ; 494        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J20      ; 493        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J21      ; 504        ; 5        ; d_h_enable               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; J22      ; 503        ; 5        ; d_line_counter[2]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; J23      ; 512        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J24      ; 511        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J25      ; 508        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J26      ; 507        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K1       ; 46         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K2       ; 45         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K3       ; 38         ; 2        ; d_state_clk              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K4       ; 37         ; 2        ; d_line_counter[1]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K5       ; 50         ; 2        ; d_column_counter[9]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K6       ; 49         ; 2        ; d_line_counter[0]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K7       ; 52         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K8       ; 51         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K9       ; 47         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K19      ; 486        ; 5        ; d_column_counter[8]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K20      ; 485        ; 5        ; d_b                      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K21      ; 490        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K22      ; 489        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K23      ; 492        ; 5        ; d_column_counter[7]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K24      ; 491        ; 5        ; d_g                      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; K25      ; 496        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K26      ; 495        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L1       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L2       ; 54         ; 2        ; d_column_counter[6]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L3       ; 53         ; 2        ; d_r                      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L4       ; 56         ; 2        ; d_column_counter[5]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L5       ; 55         ; 2        ; d_vsync                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L6       ; 60         ; 2        ; d_column_counter[4]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L7       ; 59         ; 2        ; d_hsync                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L8       ; 61         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; L9       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L18      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L19      ; 480        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; L20      ; 482        ; 5        ; d_column_counter[3]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L21      ; 481        ; 5        ; d_column_counter[2]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L22      ; 478        ; 5        ; d_column_counter[1]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L23      ; 479        ; 5        ; d_column_counter[0]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L24      ; 488        ; 5        ; d_line_counter[7]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L25      ; 487        ; 5        ; d_line_counter[8]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; L26      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; M1       ; 81         ; 2        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M2       ;            ;          ; VCCG_PLL1                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M3       ;            ;          ; VCCA_PLL1                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M4       ; 66         ; 2        ; d_vsync_state[6]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M5       ; 67         ; 2        ; d_line_counter[6]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M6       ; 62         ; 2        ; d_line_counter[5]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M7       ; 63         ; 2        ; d_vsync_state[5]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M8       ; 72         ; 2        ; d_line_counter[4]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M9       ; 73         ; 2        ; d_line_counter[3]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M18      ; 468        ; 5        ; d_vsync_state[4]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M19      ; 469        ; 5        ; d_vsync_state[3]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; M20      ; 470        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M21      ; 471        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M22      ; 474        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M23      ; 475        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M24      ; 462        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M25      ; 463        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M26      ; 460        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; N1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N2       ; 78         ; 2        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; N3       ; 79         ; 2        ; board_clk                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; N4       ;            ;          ; GNDG_PLL1                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N5       ;            ;          ; GNDA_PLL1                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N6       ; 70         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; N7       ; 71         ; 2        ; seven_seg_pin[8]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; N8       ; 77         ; 2        ; seven_seg_pin[9]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; N9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N19      ; 453        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; N20      ; 464        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; N21      ; 465        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; N22      ;            ;          ; GNDG_PLL4                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N23      ;            ;          ; GNDA_PLL4                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N24      ;            ;          ; VCCG_PLL4                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N25      ;            ;          ; VCCA_PLL4                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P2       ;            ;          ; GNDG_PLL2                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P3       ;            ;          ; GNDA_PLL2                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P4       ;            ;          ; VCCG_PLL2                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P5       ;            ;          ; VCCA_PLL2                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P6       ; 88         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P7       ; 89         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P8       ; 76         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P19      ; 452        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P20      ; 448        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P21      ; 449        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P22      ;            ;          ; VCCA_PLL3                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P23      ;            ;          ; VCCG_PLL3                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P24      ; 457        ; 6        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; P25      ; 458        ; 6        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; P26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R1       ; 82         ; 1        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; R2       ; 83         ; 1        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; R3       ; 84         ; 1        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; R4       ; 94         ; 1        ; seven_seg_pin[10]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R5       ; 95         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R6       ; 90         ; 1        ; seven_seg_pin[11]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R7       ; 91         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R8       ; 92         ; 1        ; seven_seg_pin[0]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R9       ; 93         ; 1        ; seven_seg_pin[1]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R18      ; 443        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; R19      ; 436        ; 6        ; seven_seg_pin[2]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R20      ; 450        ; 6        ; seven_seg_pin[3]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R21      ; 451        ; 6        ; seven_seg_pin[4]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R22      ; 446        ; 6        ; seven_seg_pin[5]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R23      ; 447        ; 6        ; seven_seg_pin[6]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; R24      ;            ;          ; GNDA_PLL3                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R25      ;            ;          ; GNDG_PLL3                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R26      ; 459        ; 6        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; T1       ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T2       ; 100        ; 1        ; seven_seg_pin[13]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T3       ; 99         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T4       ; 108        ; 1        ; r1_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T5       ; 107        ; 1        ; g1_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T6       ; 106        ; 1        ; b1_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T7       ; 105        ; 1        ; r2_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T8       ; 98         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; T9       ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T18      ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T19      ; 435        ; 6        ; d_toggle_counter[24]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T20      ; 432        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T21      ; 431        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T22      ; 442        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T23      ; 441        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T24      ; 434        ; 6        ; g2_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; T25      ; 433        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T26      ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; U1       ; 112        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U2       ; 111        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U3       ; 116        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U4       ; 115        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U5       ; 110        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U6       ; 109        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U7       ; 114        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U8       ; 113        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U9       ; 117        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U18      ; 428        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U19      ; 427        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U20      ; 424        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U21      ; 430        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U22      ; 429        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U23      ; 418        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U24      ; 417        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U25      ; 426        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U26      ; 425        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V1       ; 132        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V2       ; 133        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V3       ; 136        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V4       ; 137        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V5       ; 124        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V6       ; 123        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V7       ; 127        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; V8       ; 118        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V11      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V12      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V15      ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V16      ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V19      ; 423        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V20      ; 414        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; V21      ; 406        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V22      ; 407        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V23      ; 404        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V24      ; 405        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V25      ; 408        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V26      ; 409        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W1       ; 140        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W2       ; 141        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W3       ; 148        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W4       ; 149        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W5       ; 134        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W6       ; 135        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W7       ; 138        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W8       ; 139        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W9       ; 212        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W10      ; 228        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W11      ; 255        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; W12      ; 260        ; 8        ; PLL_ENA                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W13      ; 263        ; 8        ; ^MSEL2                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W14      ; 279        ; 7        ; ^nCEO                    ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W15      ; 282        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W16      ; 285        ; 7        ; ^PORSEL                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W17      ; 311        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W18      ; 321        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W19      ; 402        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W20      ; 403        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W21      ; 394        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W22      ; 395        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W23      ; 392        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W24      ; 393        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W25      ; 400        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W26      ; 401        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y1       ; 153        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y2       ; 152        ; 1        ; d_hsync_state[3]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; Y3       ; 146        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y4       ; 147        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y5       ; 151        ; 1        ; d_hsync_state[0]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; Y6       ; 150        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y7       ; 156        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; Y8       ; 210        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y9       ; 209        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y10      ; 226        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y11      ; 244        ; 8        ; seven_seg_pin[7]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; Y               ; no       ; Off          ;
+; Y12      ; 261        ; 8        ; ^MSEL0                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y13      ; 262        ; 8        ; ^MSEL1                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y14      ; 278        ; 7        ; ^nCE                     ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y15      ; 284        ; 7        ; ^VCCSEL                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y16      ; 297        ; 7        ; d_toggle_counter[4]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; Y17      ; 314        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y18      ; 317        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y19      ; 325        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y20      ; 333        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y21      ; 385        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; Y22      ; 387        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y23      ; 391        ; 6        ; d_set_column_counter     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; Y               ; no       ; Off          ;
+; Y24      ; 390        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y25      ; 389        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y26      ; 388        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+Note: Pin directions (input, output or bidir) are based on device operating in user mode.
+
+
++------------------------------------------------------------------------+
+; PLL Summary                                                            ;
++-------------------------------+----------------------------------------+
+; Name                          ; vpll:inst1|altpll:altpll_component|pll ;
++-------------------------------+----------------------------------------+
+; SDC pin name                  ; inst1|altpll_component|pll             ;
+; PLL type                      ; Fast                                   ;
+; Scan chain                    ; None                                   ;
+; PLL mode                      ; Normal                                 ;
+; Feedback source               ; --                                     ;
+; Compensate clock              ; clock0                                 ;
+; Compensated input/output pins ; --                                     ;
+; Switchover on loss of clock   ; --                                     ;
+; Switchover counter            ; --                                     ;
+; Primary clock                 ; --                                     ;
+; Input frequency 0             ; 33.33 MHz                              ;
+; Input frequency 1             ; --                                     ;
+; Nominal PFD frequency         ; 16.7 MHz                               ;
+; Nominal VCO frequency         ; 516.5 MHz                              ;
+; Freq min lock                 ; 20.0 MHz                               ;
+; Freq max lock                 ; 64.52 MHz                              ;
+; Clock Offset                  ; -707 ps                                ;
+; M VCO Tap                     ; 3                                      ;
+; M Initial                     ; 1                                      ;
+; M value                       ; 31                                     ;
+; N value                       ; 2                                      ;
+; M counter delay               ; --                                     ;
+; N counter delay               ; --                                     ;
+; M2 value                      ; --                                     ;
+; N2 value                      ; --                                     ;
+; SS counter                    ; --                                     ;
+; Downspread                    ; --                                     ;
+; Spread frequency              ; --                                     ;
+; Charge pump current           ; 20 uA                                  ;
+; Loop filter resistance        ; 1.021000 KOhm                          ;
+; Loop filter capacitance       ; 10 pF                                  ;
+; Freq zero                     ; 0.240 MHz                              ;
+; Bandwidth                     ; 200 KHz                                ;
+; Freq pole                     ; 15.844 MHz                             ;
+; enable0 counter               ; --                                     ;
+; enable1 counter               ; --                                     ;
+; Real time reconfigurable      ; --                                     ;
+; Scan chain MIF file           ; --                                     ;
+; Preserve PLL counter order    ; Off                                    ;
+; PLL location                  ; PLL_1                                  ;
+; Inclk0 signal                 ; board_clk                              ;
+; Inclk1 signal                 ; --                                     ;
+; Inclk0 signal type            ; Dedicated Pin                          ;
+; Inclk1 signal type            ; --                                     ;
++-------------------------------+----------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; PLL Usage                                                                                                                                                                                                                                  ;
++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+
+; Name                                     ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift  ; Delay ; Duty Cycle ; Counter ; Counter Delay ; Counter Value ; High / Low ; Initial ; VCO Tap ; SDC Pin Name                      ;
++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+
+; vpll:inst1|altpll:altpll_component|_clk0 ; clock0       ; 31   ; 38  ; 27.19 MHz        ; -7 (-725 ps) ; 0 ps  ; 50/50      ; G0      ; --            ; 19            ; 10/9 Odd   ; 1       ; 0       ; inst1|altpll_component|pll|clk[0] ;
++------------------------------------------+--------------+------+-----+------------------+--------------+-------+------------+---------+---------------+---------------+------------+---------+---------+-----------------------------------+
+
+
++-------------------------------------------------------------------------------+
+; Output Pin Default Load For Reported TCO                                      ;
++----------------------------------+-------+------------------------------------+
+; I/O Standard                     ; Load  ; Termination Resistance             ;
++----------------------------------+-------+------------------------------------+
+; 3.3-V LVTTL                      ; 10 pF ; Not Available                      ;
+; 3.3-V LVCMOS                     ; 10 pF ; Not Available                      ;
+; 2.5 V                            ; 10 pF ; Not Available                      ;
+; 1.8 V                            ; 10 pF ; Not Available                      ;
+; 1.5 V                            ; 10 pF ; Not Available                      ;
+; GTL                              ; 30 pF ; 25 Ohm (Parallel)                  ;
+; GTL+                             ; 30 pF ; 25 Ohm (Parallel)                  ;
+; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
+; 3.3-V PCI-X                      ; 8 pF  ; 25 Ohm (Parallel)                  ;
+; Compact PCI                      ; 10 pF ; 25 Ohm (Parallel)                  ;
+; AGP 1X                           ; 10 pF ; Not Available                      ;
+; AGP 2X                           ; 10 pF ; Not Available                      ;
+; CTT                              ; 30 pF ; 50 Ohm (Parallel)                  ;
+; SSTL-3 Class I                   ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-3 Class II                  ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-2 Class I                   ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-2 Class II                  ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-18 Class I                  ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-18 Class II                 ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; 1.5-V HSTL Class I               ; 20 pF ; 50 Ohm (Parallel)                  ;
+; 1.5-V HSTL Class II              ; 20 pF ; 25 Ohm (Parallel)                  ;
+; 1.8-V HSTL Class I               ; 20 pF ; 50 Ohm (Parallel)                  ;
+; 1.8-V HSTL Class II              ; 20 pF ; 25 Ohm (Parallel)                  ;
+; LVDS                             ; 4 pF  ; 100 Ohm (Differential)             ;
+; Differential LVPECL              ; 4 pF  ; 100 Ohm (Differential)             ;
+; 3.3-V PCML                       ; 4 pF  ; 50 Ohm (Parallel)                  ;
+; HyperTransport                   ; 4 pF  ; 100 Ohm (Differential)             ;
+; Differential 1.5-V HSTL Class I  ; 20 pF ; (See 1.5-V HSTL Class I)           ;
+; Differential 1.8-V HSTL Class I  ; 20 pF ; (See 1.8-V HSTL Class I)           ;
+; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II)          ;
+; Differential SSTL-2              ; 30 pF ; (See SSTL-2)                       ;
++----------------------------------+-------+------------------------------------+
+Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                               ;
++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+; Compilation Hierarchy Node           ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                            ; Library Name ;
++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+; |vga_pll                             ; 173 (1)     ; 81           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 117  ; 0            ; 92 (1)       ; 0 (0)             ; 81 (0)           ; 60 (0)          ; 3 (0)      ; |vga_pll                                       ; work         ;
+;    |vga:inst|                        ; 172 (2)     ; 81           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 116  ; 0            ; 91 (0)       ; 0 (0)             ; 81 (2)           ; 60 (0)          ; 3 (0)      ; |vga_pll|vga:inst                              ; work         ;
+;       |vga_control:vga_control_unit| ; 42 (42)     ; 22           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 20 (20)      ; 0 (0)             ; 22 (22)          ; 20 (20)         ; 0 (0)      ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work         ;
+;       |vga_driver:vga_driver_unit|   ; 128 (128)   ; 57           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 71 (71)      ; 0 (0)             ; 57 (57)          ; 40 (40)         ; 3 (3)      ; |vga_pll|vga:inst|vga_driver:vga_driver_unit   ; work         ;
+;    |vpll:inst1|                      ; 0 (0)       ; 0            ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |vga_pll|vpll:inst1                            ; work         ;
+;       |altpll:altpll_component|      ; 0 (0)       ; 0            ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |vga_pll|vpll:inst1|altpll:altpll_component    ; work         ;
++--------------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Delay Chain Summary                                                                                                                                                                                                                                                     ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+; Name                 ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+; board_clk            ; Input    ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; --   ; --                         ;
+; d_hsync              ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync              ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_column_counter ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_line_counter   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_hsync_counter  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_vsync_counter  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_r                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_g                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_b                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_h_enable           ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_v_enable           ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_state_clk          ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle             ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; r0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; r1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; r2_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g2_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; b0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; b1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; hsync_pin            ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; vsync_pin            ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[9]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[8]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[7]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[6]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[5]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[4]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[3]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[2]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[1]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[0]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[9]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[8]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[7]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[6]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[5]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[4]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[3]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[2]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[1]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[0]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[8]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[7]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[6]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[5]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[4]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[3]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[2]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[1]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[0]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[24] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[23] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[22] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[21] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[20] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[19] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[18] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[17] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[16] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[15] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[14] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[13] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[12] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[11] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[10] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[9]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[8]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[7]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[6]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[5]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[4]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[3]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[2]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[1]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[0]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[9]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[8]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[7]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[6]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[5]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[4]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[3]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[2]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[1]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[0]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[13]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[12]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[11]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[10]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[9]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[8]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[7]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; reset                ; Input    ; ON            ; ON            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; --   ; --                         ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------+
+; Pad To Core Delay Chain Fanout                                                                               ;
++--------------------------------------------------------------------------------+-------------------+---------+
+; Source Pin / Fanout                                                            ; Pad To Core Index ; Setting ;
++--------------------------------------------------------------------------------+-------------------+---------+
+; board_clk                                                                      ;                   ;         ;
+; vga:inst|reset_pin_in                                                          ;                   ;         ;
+;      - vga:inst|vga_driver:vga_driver_unit|vsync_state_6_                      ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|h_sync_Z                            ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|v_sync_Z                            ; 0                 ; ON      ;
+;      - vga:inst|dly_counter_0_                                                 ; 0                 ; ON      ;
+;      - vga:inst|dly_counter_1_                                                 ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ      ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ   ; 0                 ; ON      ;
+;      - vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ      ; 0                 ; ON      ;
++--------------------------------------------------------------------------------+-------------------+---------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Control Signals                                                                                                                                                               ;
++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+; Name                                                                 ; Location      ; Fan-Out ; Usage                     ; Global ; Global Resource Used ; Global Line Name ;
++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+; board_clk                                                            ; PIN_N3        ; 1       ; Clock                     ; no     ; --                   ; --               ;
+; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1            ; LC_X50_Y46_N4 ; 21      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|G_16_i                           ; LC_X25_Y42_N2 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|G_2_i                            ; LC_X22_Y42_N0 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X25_Y42_N1 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4     ; LC_X24_Y41_N1 ; 1       ; Clock enable              ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0        ; LC_X22_Y42_N2 ; 6       ; Clock enable              ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1   ; LC_X24_Y41_N2 ; 9       ; Sync. clear               ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x              ; LC_X25_Y42_N0 ; 51      ; Async. clear, Sync. clear ; yes    ; Global Clock         ; GCLK12           ;
+; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9             ; LC_X22_Y42_N5 ; 11      ; Sync. load                ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9             ; LC_X25_Y42_N5 ; 11      ; Sync. load                ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4     ; LC_X23_Y42_N8 ; 1       ; Clock enable              ; no     ; --                   ; --               ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa        ; LC_X24_Y42_N7 ; 5       ; Clock enable              ; no     ; --                   ; --               ;
+; vpll:inst1|altpll:altpll_component|_clk0                             ; PLL_1         ; 82      ; Clock                     ; yes    ; Global Clock         ; GCLK1            ;
++----------------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------+
+; Global & Other Fast Signals                                                                                                 ;
++---------------------------------------------------------+---------------+---------+----------------------+------------------+
+; Name                                                    ; Location      ; Fan-Out ; Global Resource Used ; Global Line Name ;
++---------------------------------------------------------+---------------+---------+----------------------+------------------+
+; vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X25_Y42_N0 ; 51      ; Global Clock         ; GCLK12           ;
+; vpll:inst1|altpll:altpll_component|_clk0                ; PLL_1         ; 82      ; Global Clock         ; GCLK1            ;
++---------------------------------------------------------+---------------+---------+----------------------+------------------+
+
+
++--------------------------------------------------------------------------------+
+; Non-Global High Fan-Out Signals                                                ;
++----------------------------------------------------------------------+---------+
+; Name                                                                 ; Fan-Out ;
++----------------------------------------------------------------------+---------+
+; vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1            ; 21      ;
+; ~STRATIX_FITTER_CREATED_GND~I                                        ; 19      ;
+; vga:inst|vga_driver:vga_driver_unit|un9_vsync_counterlt9             ; 11      ;
+; vga:inst|vga_driver:vga_driver_unit|un9_hsync_counterlt9             ; 11      ;
+; vga:inst|vga_driver:vga_driver_unit|G_16_i                           ; 10      ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa      ; 10      ;
+; vga:inst|vga_driver:vga_driver_unit|G_2_i                            ; 10      ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa      ; 10      ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10      ;
+; vga:inst|vga_driver:vga_driver_unit|un10_column_counter_siglto9      ; 10      ;
+; reset                                                                ; 9       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1   ; 9       ;
+; vga:inst|vga_driver:vga_driver_unit|un10_line_counter_siglto8        ; 9       ;
+; vga:inst|dly_counter[1]                                              ; 9       ;
+; vga:inst|dly_counter[0]                                              ; 9       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0                  ; 9       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9                  ; 9       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4                  ; 7       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6                  ; 7       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7                  ; 7       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0        ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7               ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9                  ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8             ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_state_1                    ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|hsync_state_1                    ; 6       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa        ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_state_4                    ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_state_0                    ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1                  ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2                  ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3                  ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_cout[4]            ; 5       ;
+; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4                  ; 5       ;
++----------------------------------------------------------------------+---------+
+
+
++-------------------------------------------------------+
+; Interconnect Usage Summary                            ;
++-----------------------------+-------------------------+
+; Interconnect Resource Type  ; Usage                   ;
++-----------------------------+-------------------------+
+; C16 interconnects           ; 36 / 4,620 ( < 1 % )    ;
+; C4 interconnects            ; 131 / 69,840 ( < 1 % )  ;
+; C8 interconnects            ; 32 / 15,568 ( < 1 % )   ;
+; DIFFIOCLKs                  ; 0 / 16 ( 0 % )          ;
+; DQS bus muxes               ; 0 / 102 ( 0 % )         ;
+; DQS-16 I/O buses            ; 0 / 8 ( 0 % )           ;
+; DQS-32 I/O buses            ; 0 / 4 ( 0 % )           ;
+; DQS-8 I/O buses             ; 0 / 20 ( 0 % )          ;
+; Direct links                ; 82 / 104,060 ( < 1 % )  ;
+; Fast regional clocks        ; 0 / 8 ( 0 % )           ;
+; Global clocks               ; 2 / 16 ( 13 % )         ;
+; I/O buses                   ; 24 / 320 ( 8 % )        ;
+; LUT chains                  ; 6 / 23,094 ( < 1 % )    ;
+; Local routing interconnects ; 123 / 25,660 ( < 1 % )  ;
+; R24 interconnects           ; 81 / 4,692 ( 2 % )      ;
+; R4 interconnects            ; 162 / 141,520 ( < 1 % ) ;
+; R8 interconnects            ; 29 / 22,956 ( < 1 % )   ;
+; Regional clocks             ; 0 / 16 ( 0 % )          ;
++-----------------------------+-------------------------+
+
+
++---------------------------------------------------------------------------+
+; LAB Logic Elements                                                        ;
++--------------------------------------------+------------------------------+
+; Number of Logic Elements  (Average = 7.86) ; Number of LABs  (Total = 22) ;
++--------------------------------------------+------------------------------+
+; 1                                          ; 3                            ;
+; 2                                          ; 0                            ;
+; 3                                          ; 1                            ;
+; 4                                          ; 0                            ;
+; 5                                          ; 2                            ;
+; 6                                          ; 0                            ;
+; 7                                          ; 0                            ;
+; 8                                          ; 1                            ;
+; 9                                          ; 1                            ;
+; 10                                         ; 14                           ;
++--------------------------------------------+------------------------------+
+
+
++-------------------------------------------------------------------+
+; LAB-wide Signals                                                  ;
++------------------------------------+------------------------------+
+; LAB-wide Signals  (Average = 1.86) ; Number of LABs  (Total = 22) ;
++------------------------------------+------------------------------+
+; 1 Async. clear                     ; 4                            ;
+; 1 Clock                            ; 19                           ;
+; 1 Clock enable                     ; 5                            ;
+; 1 Sync. clear                      ; 11                           ;
+; 1 Sync. load                       ; 2                            ;
++------------------------------------+------------------------------+
+
+
++----------------------------------------------------------------------------+
+; LAB Signals Sourced                                                        ;
++---------------------------------------------+------------------------------+
+; Number of Signals Sourced  (Average = 7.91) ; Number of LABs  (Total = 22) ;
++---------------------------------------------+------------------------------+
+; 0                                           ; 0                            ;
+; 1                                           ; 2                            ;
+; 2                                           ; 1                            ;
+; 3                                           ; 1                            ;
+; 4                                           ; 1                            ;
+; 5                                           ; 1                            ;
+; 6                                           ; 0                            ;
+; 7                                           ; 0                            ;
+; 8                                           ; 1                            ;
+; 9                                           ; 3                            ;
+; 10                                          ; 9                            ;
+; 11                                          ; 3                            ;
++---------------------------------------------+------------------------------+
+
+
++--------------------------------------------------------------------------------+
+; LAB Signals Sourced Out                                                        ;
++-------------------------------------------------+------------------------------+
+; Number of Signals Sourced Out  (Average = 5.77) ; Number of LABs  (Total = 22) ;
++-------------------------------------------------+------------------------------+
+; 0                                               ; 0                            ;
+; 1                                               ; 2                            ;
+; 2                                               ; 3                            ;
+; 3                                               ; 1                            ;
+; 4                                               ; 2                            ;
+; 5                                               ; 2                            ;
+; 6                                               ; 2                            ;
+; 7                                               ; 2                            ;
+; 8                                               ; 3                            ;
+; 9                                               ; 2                            ;
+; 10                                              ; 3                            ;
++-------------------------------------------------+------------------------------+
+
+
++-----------------------------------------------------------------------------+
+; LAB Distinct Inputs                                                         ;
++----------------------------------------------+------------------------------+
+; Number of Distinct Inputs  (Average = 11.32) ; Number of LABs  (Total = 22) ;
++----------------------------------------------+------------------------------+
+; 0                                            ; 0                            ;
+; 1                                            ; 0                            ;
+; 2                                            ; 0                            ;
+; 3                                            ; 1                            ;
+; 4                                            ; 1                            ;
+; 5                                            ; 2                            ;
+; 6                                            ; 0                            ;
+; 7                                            ; 0                            ;
+; 8                                            ; 2                            ;
+; 9                                            ; 2                            ;
+; 10                                           ; 2                            ;
+; 11                                           ; 1                            ;
+; 12                                           ; 0                            ;
+; 13                                           ; 4                            ;
+; 14                                           ; 1                            ;
+; 15                                           ; 1                            ;
+; 16                                           ; 0                            ;
+; 17                                           ; 0                            ;
+; 18                                           ; 0                            ;
+; 19                                           ; 1                            ;
+; 20                                           ; 0                            ;
+; 21                                           ; 1                            ;
+; 22                                           ; 0                            ;
+; 23                                           ; 2                            ;
++----------------------------------------------+------------------------------+
+
+
++-------------------------------------------------------------------------+
+; Fitter Device Options                                                   ;
++----------------------------------------------+--------------------------+
+; Option                                       ; Setting                  ;
++----------------------------------------------+--------------------------+
+; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
+; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
+; Enable device-wide output enable (DEV_OE)    ; Off                      ;
+; Enable INIT_DONE output                      ; Off                      ;
+; Configuration scheme                         ; Passive Serial           ;
+; Error detection CRC                          ; Off                      ;
+; nWS, nRS, nCS, CS                            ; Unreserved               ;
+; RDYnBUSY                                     ; Unreserved               ;
+; Data[7..1]                                   ; Unreserved               ;
+; Data[0]                                      ; As input tri-stated      ;
+; Reserve all unused pins                      ; As output driving ground ;
+; Base pin-out file on sameframe device        ; Off                      ;
++----------------------------------------------+--------------------------+
+
+
++------------------------------------------------------------+
+; Estimated Delay Added for Hold Timing                      ;
++-----------------+----------------------+-------------------+
+; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
++-----------------+----------------------+-------------------+
+
+
++-----------------+
+; Fitter Messages ;
++-----------------+
+Info: *******************************************************************
+Info: Running Quartus II Fitter
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:36:41 2009
+Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+Info: Parallel compilation is enabled and will use 2 of the 2 processors detected
+Info: Selected device EP1S25F672C6 for design "vga_pll"
+Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
+Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
+Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
+    Info: Device EP1S10F672C6 is compatible
+    Info: Device EP1S20F672C6 is compatible
+    Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible
+Info: Fitter converted 1 user pins into dedicated programming pins
+    Info: Pin ~DATA0~ is reserved at location F16
+Warning: No exact pin location assignment(s) for 26 pins of 117 total pins
+    Info: Pin d_hsync_counter[6] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[5] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[4] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[3] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[2] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[1] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[14] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[13] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[12] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[11] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[10] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[9] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[8] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[7] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[6] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[5] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[4] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[3] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[2] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[1] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[6] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[5] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[4] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[3] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[2] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[1] not assigned to an exact location on the device
+Info: Fitter is using the Classic Timing Analyzer
+Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements
+Info: Completed User Assigned Global Signals Promotion Operation
+Info: Implementing parameter values for PLL "vpll:inst1|altpll:altpll_component|pll"
+    Info: Implementing clock multiplication of 31, clock division of 38, and phase shift of 0 degrees (-18 ps) for vpll:inst1|altpll:altpll_component|_clk0 port
+Info: Promoted PLL clock signals
+    Info: Promoted signal "vpll:inst1|altpll:altpll_component|_clk0" to use global clock
+Info: Completed PLL Placement Operation
+Info: Automatically promoted some destinations of signal "vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_6_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_0_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|v_enable_sig_Z" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|h_enable_sig_Z" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_5_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_4_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_3_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|vsync_state_2_" may be non-global or may not use global clock
+    Info: Destination "vga:inst|vga_driver:vga_driver_unit|hsync_state_5_" may be non-global or may not use global clock
+    Info: Limited to 10 non-global destinations
+Info: Completed Auto Global Promotion Operation
+Info: Starting register packing
+Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option
+Info: Finished register packing
+Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
+    Info: Number of I/O pins in group: 26 (unused VREF, 3.3V VCCIO, 0 input, 26 output, 0 bidirectional)
+        Info: I/O standards used: 3.3-V LVTTL.
+Info: I/O bank details before I/O pin placement
+    Info: Statistics of I/O banks
+        Info: I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 11 total pin(s) used --  50 pins available
+        Info: I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 31 total pin(s) used --  28 pins available
+        Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 6 total pin(s) used --  48 pins available
+        Info: I/O bank number 4 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used --  48 pins available
+        Info: I/O bank number 5 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used --  33 pins available
+        Info: I/O bank number 6 does not use VREF pins and has 3.3V VCCIO pins. 8 total pin(s) used --  53 pins available
+        Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  57 pins available
+        Info: I/O bank number 8 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used --  52 pins available
+        Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available
+        Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available
+Info: Fitter preparation operations ending: elapsed time is 00:00:03
+Info: Fitter placement preparation operations beginning
+Info: Fitter placement preparation operations ending: elapsed time is 00:00:00
+Info: Fitter placement operations beginning
+Info: Fitter placement was successful
+Info: Fitter placement operations ending: elapsed time is 00:00:03
+Info: Slack time is 31.223 ns between source register "vga:inst|vga_driver:vga_driver_unit|hsync_counter_4" and destination register "vga:inst|vga_driver:vga_driver_unit|hsync_state_1"
+    Info: + Largest register to register requirement is 36.591 ns
+    Info:   Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1'
+        Info: Total cell delay = 0.560 ns ( 26.19 % )
+        Info: Total interconnect delay = 1.578 ns ( 73.81 % )
+    Info:   Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.138 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1'
+        Info: Total cell delay = 0.560 ns ( 26.19 % )
+        Info: Total interconnect delay = 1.578 ns ( 73.81 % )
+    Info:   Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4'
+        Info: Total cell delay = 0.560 ns ( 26.19 % )
+        Info: Total interconnect delay = 1.578 ns ( 73.81 % )
+    Info:   Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.138 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.578 ns) + CELL(0.560 ns) = 2.138 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4'
+        Info: Total cell delay = 0.560 ns ( 26.19 % )
+        Info: Total interconnect delay = 1.578 ns ( 73.81 % )
+    Info:   Micro clock to output delay of source is 0.176 ns
+    Info:   Micro setup delay of destination is 0.010 ns
+    Info: - Longest register to register delay is 5.368 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4'
+        Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4'
+        Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter'
+        Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0'
+        Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = Unassigned; Fanout = 6; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0'
+        Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = Unassigned; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1'
+        Info: Total cell delay = 1.074 ns ( 20.01 % )
+        Info: Total interconnect delay = 4.294 ns ( 79.99 % )
+Info: Estimated most critical path is register to register delay of 5.368 ns
+    Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X21_Y42; Fanout = 8; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_counter_4'
+    Info: 2: + IC(1.210 ns) + CELL(0.087 ns) = 1.297 ns; Loc. = LAB_X22_Y43; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter_4'
+    Info: 3: + IC(1.136 ns) + CELL(0.087 ns) = 2.520 ns; Loc. = LAB_X22_Y42; Fanout = 2; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un12_hsync_counter'
+    Info: 4: + IC(0.470 ns) + CELL(0.087 ns) = 3.077 ns; Loc. = LAB_X22_Y42; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0'
+    Info: 5: + IC(0.427 ns) + CELL(0.087 ns) = 3.591 ns; Loc. = LAB_X22_Y42; Fanout = 6; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0'
+    Info: 6: + IC(1.051 ns) + CELL(0.726 ns) = 5.368 ns; Loc. = LAB_X22_Y43; Fanout = 6; REG Node = 'vga:inst|vga_driver:vga_driver_unit|hsync_state_1'
+    Info: Total cell delay = 1.074 ns ( 20.01 % )
+    Info: Total interconnect delay = 4.294 ns ( 79.99 % )
+Info: Fitter routing operations beginning
+Info: Average interconnect usage is 0% of the available device resources
+    Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X22_Y36 to location X33_Y47
+Info: Fitter routing operations ending: elapsed time is 00:00:01
+Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
+    Info: Optimizations that may affect the design's routability were skipped
+    Info: Optimizations that may affect the design's timing were skipped
+Info: Completed Fixed Delay Chain Operation
+Info: Started post-fitting delay annotation
+Info: Delay annotation completed successfully
+Info: Completed Auto Delay Chain Operation
+Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results
+    Info: Pin d_r has GND driving its datain port
+    Info: Pin d_g has GND driving its datain port
+    Info: Pin r0_pin has GND driving its datain port
+    Info: Pin r1_pin has GND driving its datain port
+    Info: Pin r2_pin has GND driving its datain port
+    Info: Pin g0_pin has GND driving its datain port
+    Info: Pin g1_pin has GND driving its datain port
+    Info: Pin g2_pin has GND driving its datain port
+    Info: Pin d_toggle_counter[24] has GND driving its datain port
+    Info: Pin d_toggle_counter[23] has GND driving its datain port
+    Info: Pin d_toggle_counter[22] has GND driving its datain port
+    Info: Pin d_toggle_counter[21] has GND driving its datain port
+    Info: Pin d_toggle_counter[20] has GND driving its datain port
+    Info: Pin seven_seg_pin[13] has GND driving its datain port
+    Info: Pin seven_seg_pin[6] has GND driving its datain port
+    Info: Pin seven_seg_pin[5] has GND driving its datain port
+    Info: Pin seven_seg_pin[4] has GND driving its datain port
+    Info: Pin seven_seg_pin[3] has GND driving its datain port
+    Info: Pin seven_seg_pin[0] has GND driving its datain port
+Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
+Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg
+Info: Quartus II Fitter was successful. 0 errors, 4 warnings
+    Info: Peak virtual memory: 320 megabytes
+    Info: Processing ended: Tue Nov  3 17:37:13 2009
+    Info: Elapsed time: 00:00:32
+    Info: Total CPU time (on all processors): 00:00:29
+
+
++----------------------------+
+; Fitter Suppressed Messages ;
++----------------------------+
+The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll.fit.smsg.
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.smsg b/bsp4/Designflow/ppr/download/vga_pll.fit.smsg
new file mode 100644 (file)
index 0000000..38de4e4
--- /dev/null
@@ -0,0 +1,8 @@
+Extra Info: Performing register packing on registers with non-logic cell location assignments
+Extra Info: Completed register packing on registers with non-logic cell location assignments
+Extra Info: Started Fast Input/Output/OE register processing
+Extra Info: Finished Fast Input/Output/OE register processing
+Extra Info: Start inferring scan chains for DSP blocks
+Extra Info: Inferring scan chains for DSP blocks is complete
+Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density
+Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks
diff --git a/bsp4/Designflow/ppr/download/vga_pll.fit.summary b/bsp4/Designflow/ppr/download/vga_pll.fit.summary
new file mode 100644 (file)
index 0000000..a11bf3b
--- /dev/null
@@ -0,0 +1,14 @@
+Fitter Status : Successful - Tue Nov  3 17:37:12 2009
+Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version
+Revision Name : vga_pll
+Top-level Entity Name : vga_pll
+Family : Stratix
+Device : EP1S25F672C6
+Timing Models : Final
+Total logic elements : 173 / 25,660 ( < 1 % )
+Total pins : 117 / 474 ( 25 % )
+Total virtual pins : 0
+Total memory bits : 0 / 1,944,576 ( 0 % )
+DSP block 9-bit elements : 0 / 80 ( 0 % )
+Total PLLs : 1 / 6 ( 17 % )
+Total DLLs : 0 / 2 ( 0 % )
diff --git a/bsp4/Designflow/ppr/download/vga_pll.flow.rpt b/bsp4/Designflow/ppr/download/vga_pll.flow.rpt
new file mode 100644 (file)
index 0000000..4b20ac5
--- /dev/null
@@ -0,0 +1,125 @@
+Flow report for vga_pll
+Tue Nov  3 17:37:44 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Flow Summary
+  3. Flow Settings
+  4. Flow Non-Default Global Settings
+  5. Flow Elapsed Time
+  6. Flow OS Summary
+  7. Flow Log
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------+
+; Flow Summary                                                        ;
++--------------------------+------------------------------------------+
+; Flow Status              ; Successful - Tue Nov  3 17:37:44 2009    ;
+; Quartus II Version       ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name            ; vga_pll                                  ;
+; Top-level Entity Name    ; vga_pll                                  ;
+; Family                   ; Stratix                                  ;
+; Device                   ; EP1S25F672C6                             ;
+; Timing Models            ; Final                                    ;
+; Met timing requirements  ; Yes                                      ;
+; Total logic elements     ; 173 / 25,660 ( < 1 % )                   ;
+; Total pins               ; 117 / 474 ( 25 % )                       ;
+; Total virtual pins       ; 0                                        ;
+; Total memory bits        ; 0 / 1,944,576 ( 0 % )                    ;
+; DSP block 9-bit elements ; 0 / 80 ( 0 % )                           ;
+; Total PLLs               ; 1 / 6 ( 17 % )                           ;
+; Total DLLs               ; 0 / 2 ( 0 % )                            ;
++--------------------------+------------------------------------------+
+
+
++-----------------------------------------+
+; Flow Settings                           ;
++-------------------+---------------------+
+; Option            ; Setting             ;
++-------------------+---------------------+
+; Start date & time ; 11/03/2009 17:36:34 ;
+; Main task         ; Compilation         ;
+; Revision Name     ; vga_pll             ;
++-------------------+---------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------+
+; Flow Non-Default Global Settings                                                                                      ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+; Assignment Name                    ; Value                       ; Default Value ; Entity Name ; Section Id           ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+; COMPILER_SIGNATURE_ID              ; 91815334056.125726619431726 ; --            ; --          ; --                   ;
+; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL    ; Synplify Pro                ; <None>        ; --          ; --                   ;
+; EDA_INPUT_DATA_FORMAT              ; Vqm                         ; --            ; --          ; eda_design_synthesis ;
+; EDA_LMF_FILE                       ; synplcty.lmf                ; --            ; --          ; eda_design_synthesis ;
+; EDA_OUTPUT_DATA_FORMAT             ; Verilog                     ; --            ; --          ; eda_simulation       ;
+; EDA_SIMULATION_TOOL                ; ModelSim-Altera (Verilog)   ; <None>        ; --          ; --                   ;
+; EDA_TIME_SCALE                     ; 1 ps                        ; --            ; --          ; eda_simulation       ;
+; MAX_CORE_JUNCTION_TEMP             ; 85                          ; --            ; --          ; --                   ;
+; MIN_CORE_JUNCTION_TEMP             ; 0                           ; --            ; --          ; --                   ;
+; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off                         ; --            ; --          ; eda_blast_fpga       ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------+
+; Flow Elapsed Time                                                                                                           ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Module Name             ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Analysis & Synthesis    ; 00:00:05     ; 1.0                     ; --                  ; 00:00:03                           ;
+; Fitter                  ; 00:00:31     ; 1.0                     ; --                  ; 00:00:28                           ;
+; Assembler               ; 00:00:20     ; 1.0                     ; --                  ; 00:00:18                           ;
+; Classic Timing Analyzer ; 00:00:01     ; 1.0                     ; --                  ; 00:00:00                           ;
+; EDA Netlist Writer      ; 00:00:02     ; 1.0                     ; --                  ; 00:00:01                           ;
+; Total                   ; 00:00:59     ; --                      ; --                  ; 00:00:50                           ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+
+
++------------------------------------------------------------------------------------+
+; Flow OS Summary                                                                    ;
++-------------------------+------------------+---------+------------+----------------+
+; Module Name             ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
++-------------------------+------------------+---------+------------+----------------+
+; Analysis & Synthesis    ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Fitter                  ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Assembler               ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Classic Timing Analyzer ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; EDA Netlist Writer      ; ti14             ; Red Hat ; 5          ; x86_64         ;
++-------------------------+------------------+---------+------------+----------------+
+
+
+------------
+; Flow Log ;
+------------
+quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll
+quartus_fit --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+quartus_asm --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only
+quartus_eda --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll
+
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.map.rpt b/bsp4/Designflow/ppr/download/vga_pll.map.rpt
new file mode 100644 (file)
index 0000000..386c1aa
--- /dev/null
@@ -0,0 +1,685 @@
+Analysis & Synthesis report for vga_pll
+Tue Nov  3 17:36:38 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Analysis & Synthesis Summary
+  3. Analysis & Synthesis Settings
+  4. Analysis & Synthesis Source Files Read
+  5. Analysis & Synthesis Resource Usage Summary
+  6. Analysis & Synthesis Resource Utilization by Entity
+  7. Registers Removed During Synthesis
+  8. General Register Statistics
+  9. Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component
+ 10. altpll Parameter Settings by Entity Instance
+ 11. Analysis & Synthesis Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++------------------------------------------------------------------------+
+; Analysis & Synthesis Summary                                           ;
++-----------------------------+------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Tue Nov  3 17:36:38 2009    ;
+; Quartus II Version          ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name               ; vga_pll                                  ;
+; Top-level Entity Name       ; vga_pll                                  ;
+; Family                      ; Stratix                                  ;
+; Total logic elements        ; 175                                      ;
+; Total pins                  ; 117                                      ;
+; Total virtual pins          ; 0                                        ;
+; Total memory bits           ; 0                                        ;
+; DSP block 9-bit elements    ; 0                                        ;
+; Total PLLs                  ; 1                                        ;
+; Total DLLs                  ; 0                                        ;
++-----------------------------+------------------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings                                                                            ;
++----------------------------------------------------------------+--------------------+--------------------+
+; Option                                                         ; Setting            ; Default Value      ;
++----------------------------------------------------------------+--------------------+--------------------+
+; Device                                                         ; EP1S25F672C6       ;                    ;
+; Top-level entity name                                          ; vga_pll            ; vga_pll            ;
+; Family name                                                    ; Stratix            ; Stratix            ;
+; Type of Retiming Performed During Resynthesis                  ; Full               ;                    ;
+; Resynthesis Optimization Effort                                ; Normal             ;                    ;
+; Physical Synthesis Level for Resynthesis                       ; Normal             ;                    ;
+; Use Generated Physical Constraints File                        ; On                 ;                    ;
+; Use smart compilation                                          ; Off                ; Off                ;
+; Restructure Multiplexers                                       ; Auto               ; Auto               ;
+; Create Debugging Nodes for IP Cores                            ; Off                ; Off                ;
+; Preserve fewer node names                                      ; On                 ; On                 ;
+; Disable OpenCore Plus hardware evaluation                      ; Off                ; Off                ;
+; Verilog Version                                                ; Verilog_2001       ; Verilog_2001       ;
+; VHDL Version                                                   ; VHDL93             ; VHDL93             ;
+; State Machine Processing                                       ; Auto               ; Auto               ;
+; Safe State Machine                                             ; Off                ; Off                ;
+; Extract Verilog State Machines                                 ; On                 ; On                 ;
+; Extract VHDL State Machines                                    ; On                 ; On                 ;
+; Ignore Verilog initial constructs                              ; Off                ; Off                ;
+; Iteration limit for constant Verilog loops                     ; 5000               ; 5000               ;
+; Iteration limit for non-constant Verilog loops                 ; 250                ; 250                ;
+; Add Pass-Through Logic to Inferred RAMs                        ; On                 ; On                 ;
+; Parallel Synthesis                                             ; Off                ; Off                ;
+; DSP Block Balancing                                            ; Auto               ; Auto               ;
+; NOT Gate Push-Back                                             ; On                 ; On                 ;
+; Power-Up Don't Care                                            ; On                 ; On                 ;
+; Remove Redundant Logic Cells                                   ; Off                ; Off                ;
+; Remove Duplicate Registers                                     ; On                 ; On                 ;
+; Ignore CARRY Buffers                                           ; Off                ; Off                ;
+; Ignore CASCADE Buffers                                         ; Off                ; Off                ;
+; Ignore GLOBAL Buffers                                          ; Off                ; Off                ;
+; Ignore ROW GLOBAL Buffers                                      ; Off                ; Off                ;
+; Ignore LCELL Buffers                                           ; Off                ; Off                ;
+; Ignore SOFT Buffers                                            ; On                 ; On                 ;
+; Limit AHDL Integers to 32 Bits                                 ; Off                ; Off                ;
+; Optimization Technique                                         ; Balanced           ; Balanced           ;
+; Carry Chain Length                                             ; 70                 ; 70                 ;
+; Auto Carry Chains                                              ; On                 ; On                 ;
+; Auto Open-Drain Pins                                           ; On                 ; On                 ;
+; Perform WYSIWYG Primitive Resynthesis                          ; Off                ; Off                ;
+; Auto ROM Replacement                                           ; On                 ; On                 ;
+; Auto RAM Replacement                                           ; On                 ; On                 ;
+; Auto DSP Block Replacement                                     ; On                 ; On                 ;
+; Auto Shift Register Replacement                                ; Auto               ; Auto               ;
+; Auto Clock Enable Replacement                                  ; On                 ; On                 ;
+; Strict RAM Replacement                                         ; Off                ; Off                ;
+; Allow Synchronous Control Signals                              ; On                 ; On                 ;
+; Force Use of Synchronous Clear Signals                         ; Off                ; Off                ;
+; Auto RAM Block Balancing                                       ; On                 ; On                 ;
+; Auto RAM to Logic Cell Conversion                              ; Off                ; Off                ;
+; Auto Resource Sharing                                          ; Off                ; Off                ;
+; Allow Any RAM Size For Recognition                             ; Off                ; Off                ;
+; Allow Any ROM Size For Recognition                             ; Off                ; Off                ;
+; Allow Any Shift Register Size For Recognition                  ; Off                ; Off                ;
+; Use LogicLock Constraints during Resource Balancing            ; On                 ; On                 ;
+; Ignore translate_off and synthesis_off directives              ; Off                ; Off                ;
+; Show Parameter Settings Tables in Synthesis Report             ; On                 ; On                 ;
+; Ignore Maximum Fan-Out Assignments                             ; Off                ; Off                ;
+; Synchronization Register Chain Length                          ; 2                  ; 2                  ;
+; PowerPlay Power Optimization                                   ; Normal compilation ; Normal compilation ;
+; HDL message level                                              ; Level2             ; Level2             ;
+; Suppress Register Optimization Related Messages                ; Off                ; Off                ;
+; Number of Removed Registers Reported in Synthesis Report       ; 100                ; 100                ;
+; Number of Inverted Registers Reported in Synthesis Report      ; 100                ; 100                ;
+; Clock MUX Protection                                           ; On                 ; On                 ;
+; Block Design Naming                                            ; Auto               ; Auto               ;
+; Synthesis Effort                                               ; Auto               ; Auto               ;
+; Allows Asynchronous Clear Usage For Shift Register Replacement ; On                 ; On                 ;
+; Analysis & Synthesis Message Level                             ; Medium             ; Medium             ;
++----------------------------------------------------------------+--------------------+--------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read                                                                                                                   ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type                          ; File Name with Absolute Path                                   ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+; ../../src/vga_pll.bdf            ; yes             ; User Block Diagram/Schematic File  ; /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pll.bdf   ;
+; ../../syn/rev_1/vga.vqm          ; yes             ; User Verilog Quartus Mapping File  ; /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm ;
+; ../../src/vpll.vhd               ; yes             ; User Wizard-Generated File         ; /homes/burban/didelu/dide_16/bsp4/Designflow/src/vpll.vhd      ;
+; altpll.tdf                       ; yes             ; Megafunction                       ; /opt/quartus/quartus/libraries/megafunctions/altpll.tdf        ;
+; aglobal90.inc                    ; yes             ; Megafunction                       ; /opt/quartus/quartus/libraries/megafunctions/aglobal90.inc     ;
+; stratix_pll.inc                  ; yes             ; Megafunction                       ; /opt/quartus/quartus/libraries/megafunctions/stratix_pll.inc   ;
+; stratixii_pll.inc                ; yes             ; Megafunction                       ; /opt/quartus/quartus/libraries/megafunctions/stratixii_pll.inc ;
+; cycloneii_pll.inc                ; yes             ; Megafunction                       ; /opt/quartus/quartus/libraries/megafunctions/cycloneii_pll.inc ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+
+
++----------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary                                            ;
++---------------------------------------------+------------------------------------------+
+; Resource                                    ; Usage                                    ;
++---------------------------------------------+------------------------------------------+
+; Total logic elements                        ; 175                                      ;
+;     -- Combinational with no register       ; 94                                       ;
+;     -- Register only                        ; 3                                        ;
+;     -- Combinational with a register        ; 78                                       ;
+;                                             ;                                          ;
+; Logic element usage by number of LUT inputs ;                                          ;
+;     -- 4 input functions                    ; 61                                       ;
+;     -- 3 input functions                    ; 50                                       ;
+;     -- 2 input functions                    ; 58                                       ;
+;     -- 1 input functions                    ; 2                                        ;
+;     -- 0 input functions                    ; 0                                        ;
+;                                             ;                                          ;
+; Logic elements by mode                      ;                                          ;
+;     -- normal mode                          ; 123                                      ;
+;     -- arithmetic mode                      ; 52                                       ;
+;     -- qfbk mode                            ; 0                                        ;
+;     -- register cascade mode                ; 0                                        ;
+;     -- synchronous clear/load mode          ; 68                                       ;
+;     -- asynchronous clear/load mode         ; 22                                       ;
+;                                             ;                                          ;
+; Total registers                             ; 81                                       ;
+; Total logic cells in carry chains           ; 60                                       ;
+; I/O pins                                    ; 117                                      ;
+; Total PLLs                                  ; 1                                        ;
+; Maximum fan-out node                        ; vpll:inst1|altpll:altpll_component|_clk0 ;
+; Maximum fan-out                             ; 82                                       ;
+; Total fan-out                               ; 834                                      ;
+; Average fan-out                             ; 2.85                                     ;
++---------------------------------------------+------------------------------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                         ;
++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+; Compilation Hierarchy Node           ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                            ; Library Name ;
++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+; |vga_pll                             ; 175 (0)     ; 81           ; 0           ; 0            ; 0       ; 0         ; 0         ; 117  ; 0            ; 94 (0)       ; 3 (0)             ; 78 (0)           ; 60 (0)          ; 0 (0)      ; |vga_pll                                       ; work         ;
+;    |vga:inst|                        ; 175 (2)     ; 81           ; 0           ; 0            ; 0       ; 0         ; 0         ; 116  ; 0            ; 94 (0)       ; 3 (0)             ; 78 (2)           ; 60 (0)          ; 0 (0)      ; |vga_pll|vga:inst                              ; work         ;
+;       |vga_control:vga_control_unit| ; 42 (42)     ; 22           ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 20 (20)      ; 0 (0)             ; 22 (22)          ; 20 (20)         ; 0 (0)      ; |vga_pll|vga:inst|vga_control:vga_control_unit ; work         ;
+;       |vga_driver:vga_driver_unit|   ; 131 (131)   ; 57           ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 74 (74)      ; 3 (3)             ; 54 (54)          ; 40 (40)         ; 0 (0)      ; |vga_pll|vga:inst|vga_driver:vga_driver_unit   ; work         ;
+;    |vpll:inst1|                      ; 0 (0)       ; 0            ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |vga_pll|vpll:inst1                            ; work         ;
+;       |altpll:altpll_component|      ; 0 (0)       ; 0            ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |vga_pll|vpll:inst1|altpll:altpll_component    ; work         ;
++--------------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++------------------------------------------------------------------------------------------------------+
+; Registers Removed During Synthesis                                                                   ;
++-------------------------------------------------------------+----------------------------------------+
+; Register name                                               ; Reason for Removal                     ;
++-------------------------------------------------------------+----------------------------------------+
+; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_20 ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|r                     ; Stuck at GND due to stuck port reg_out ;
+; vga:inst|vga_control:vga_control_unit|g                     ; Stuck at GND due to stuck port reg_out ;
+; Total Number of Removed Registers = 7                       ;                                        ;
++-------------------------------------------------------------+----------------------------------------+
+
+
++------------------------------------------------------+
+; General Register Statistics                          ;
++----------------------------------------------+-------+
+; Statistic                                    ; Value ;
++----------------------------------------------+-------+
+; Total registers                              ; 81    ;
+; Number of registers using Synchronous Clear  ; 68    ;
+; Number of registers using Synchronous Load   ; 20    ;
+; Number of registers using Asynchronous Clear ; 22    ;
+; Number of registers using Asynchronous Load  ; 0     ;
+; Number of registers using Clock Enable       ; 12    ;
+; Number of registers using Preset             ; 0     ;
++----------------------------------------------+-------+
+
+
++---------------------------------------------------------------------------------+
+; Parameter Settings for User Entity Instance: vpll:inst1|altpll:altpll_component ;
++-------------------------------+-------------------+-----------------------------+
+; Parameter Name                ; Value             ; Type                        ;
++-------------------------------+-------------------+-----------------------------+
+; OPERATION_MODE                ; NORMAL            ; Untyped                     ;
+; PLL_TYPE                      ; AUTO              ; Untyped                     ;
+; QUALIFY_CONF_DONE             ; OFF               ; Untyped                     ;
+; COMPENSATE_CLOCK              ; CLK0              ; Untyped                     ;
+; SCAN_CHAIN                    ; LONG              ; Untyped                     ;
+; PRIMARY_CLOCK                 ; INCLK0            ; Untyped                     ;
+; INCLK0_INPUT_FREQUENCY        ; 30003             ; Signed Integer              ;
+; INCLK1_INPUT_FREQUENCY        ; 0                 ; Untyped                     ;
+; GATE_LOCK_SIGNAL              ; NO                ; Untyped                     ;
+; GATE_LOCK_COUNTER             ; 0                 ; Untyped                     ;
+; LOCK_HIGH                     ; 1                 ; Untyped                     ;
+; LOCK_LOW                      ; 1                 ; Untyped                     ;
+; VALID_LOCK_MULTIPLIER         ; 1                 ; Signed Integer              ;
+; INVALID_LOCK_MULTIPLIER       ; 5                 ; Signed Integer              ;
+; SWITCH_OVER_ON_LOSSCLK        ; OFF               ; Untyped                     ;
+; SWITCH_OVER_ON_GATED_LOCK     ; OFF               ; Untyped                     ;
+; ENABLE_SWITCH_OVER_COUNTER    ; OFF               ; Untyped                     ;
+; SKIP_VCO                      ; OFF               ; Untyped                     ;
+; SWITCH_OVER_COUNTER           ; 0                 ; Untyped                     ;
+; SWITCH_OVER_TYPE              ; AUTO              ; Untyped                     ;
+; FEEDBACK_SOURCE               ; EXTCLK0           ; Untyped                     ;
+; BANDWIDTH                     ; 0                 ; Untyped                     ;
+; BANDWIDTH_TYPE                ; AUTO              ; Untyped                     ;
+; SPREAD_FREQUENCY              ; 0                 ; Signed Integer              ;
+; DOWN_SPREAD                   ; 0                 ; Untyped                     ;
+; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF               ; Untyped                     ;
+; SELF_RESET_ON_LOSS_LOCK       ; OFF               ; Untyped                     ;
+; CLK9_MULTIPLY_BY              ; 0                 ; Untyped                     ;
+; CLK8_MULTIPLY_BY              ; 0                 ; Untyped                     ;
+; CLK7_MULTIPLY_BY              ; 0                 ; Untyped                     ;
+; CLK6_MULTIPLY_BY              ; 0                 ; Untyped                     ;
+; CLK5_MULTIPLY_BY              ; 1                 ; Untyped                     ;
+; CLK4_MULTIPLY_BY              ; 1                 ; Untyped                     ;
+; CLK3_MULTIPLY_BY              ; 1                 ; Untyped                     ;
+; CLK2_MULTIPLY_BY              ; 1                 ; Untyped                     ;
+; CLK1_MULTIPLY_BY              ; 1                 ; Untyped                     ;
+; CLK0_MULTIPLY_BY              ; 5435              ; Signed Integer              ;
+; CLK9_DIVIDE_BY                ; 0                 ; Untyped                     ;
+; CLK8_DIVIDE_BY                ; 0                 ; Untyped                     ;
+; CLK7_DIVIDE_BY                ; 0                 ; Untyped                     ;
+; CLK6_DIVIDE_BY                ; 0                 ; Untyped                     ;
+; CLK5_DIVIDE_BY                ; 1                 ; Untyped                     ;
+; CLK4_DIVIDE_BY                ; 1                 ; Untyped                     ;
+; CLK3_DIVIDE_BY                ; 1                 ; Untyped                     ;
+; CLK2_DIVIDE_BY                ; 1                 ; Untyped                     ;
+; CLK1_DIVIDE_BY                ; 1                 ; Untyped                     ;
+; CLK0_DIVIDE_BY                ; 6666              ; Signed Integer              ;
+; CLK9_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK8_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK7_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK6_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK5_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK4_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK3_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK2_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK1_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK0_PHASE_SHIFT              ; 0                 ; Untyped                     ;
+; CLK5_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK4_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK3_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK2_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK1_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK0_TIME_DELAY               ; 0                 ; Untyped                     ;
+; CLK9_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK8_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK7_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK6_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK5_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK4_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK3_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK2_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK1_DUTY_CYCLE               ; 50                ; Untyped                     ;
+; CLK0_DUTY_CYCLE               ; 50                ; Signed Integer              ;
+; CLK9_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK8_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK7_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK6_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK5_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK4_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK3_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK2_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK1_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK0_USE_EVEN_COUNTER_MODE    ; OFF               ; Untyped                     ;
+; CLK9_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK8_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK7_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK6_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK5_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK4_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK3_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK2_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK1_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; CLK0_USE_EVEN_COUNTER_VALUE   ; OFF               ; Untyped                     ;
+; LOCK_WINDOW_UI                ;  0.05             ; Untyped                     ;
+; LOCK_WINDOW_UI_BITS           ; UNUSED            ; Untyped                     ;
+; VCO_RANGE_DETECTOR_LOW_BITS   ; UNUSED            ; Untyped                     ;
+; VCO_RANGE_DETECTOR_HIGH_BITS  ; UNUSED            ; Untyped                     ;
+; DPA_MULTIPLY_BY               ; 0                 ; Untyped                     ;
+; DPA_DIVIDE_BY                 ; 1                 ; Untyped                     ;
+; DPA_DIVIDER                   ; 0                 ; Untyped                     ;
+; EXTCLK3_MULTIPLY_BY           ; 1                 ; Untyped                     ;
+; EXTCLK2_MULTIPLY_BY           ; 1                 ; Untyped                     ;
+; EXTCLK1_MULTIPLY_BY           ; 1                 ; Untyped                     ;
+; EXTCLK0_MULTIPLY_BY           ; 1                 ; Untyped                     ;
+; EXTCLK3_DIVIDE_BY             ; 1                 ; Untyped                     ;
+; EXTCLK2_DIVIDE_BY             ; 1                 ; Untyped                     ;
+; EXTCLK1_DIVIDE_BY             ; 1                 ; Untyped                     ;
+; EXTCLK0_DIVIDE_BY             ; 1                 ; Untyped                     ;
+; EXTCLK3_PHASE_SHIFT           ; 0                 ; Untyped                     ;
+; EXTCLK2_PHASE_SHIFT           ; 0                 ; Untyped                     ;
+; EXTCLK1_PHASE_SHIFT           ; 0                 ; Untyped                     ;
+; EXTCLK0_PHASE_SHIFT           ; 0                 ; Untyped                     ;
+; EXTCLK3_TIME_DELAY            ; 0                 ; Untyped                     ;
+; EXTCLK2_TIME_DELAY            ; 0                 ; Untyped                     ;
+; EXTCLK1_TIME_DELAY            ; 0                 ; Untyped                     ;
+; EXTCLK0_TIME_DELAY            ; 0                 ; Untyped                     ;
+; EXTCLK3_DUTY_CYCLE            ; 50                ; Untyped                     ;
+; EXTCLK2_DUTY_CYCLE            ; 50                ; Untyped                     ;
+; EXTCLK1_DUTY_CYCLE            ; 50                ; Untyped                     ;
+; EXTCLK0_DUTY_CYCLE            ; 50                ; Untyped                     ;
+; VCO_MULTIPLY_BY               ; 0                 ; Untyped                     ;
+; VCO_DIVIDE_BY                 ; 0                 ; Untyped                     ;
+; SCLKOUT0_PHASE_SHIFT          ; 0                 ; Untyped                     ;
+; SCLKOUT1_PHASE_SHIFT          ; 0                 ; Untyped                     ;
+; VCO_MIN                       ; 0                 ; Untyped                     ;
+; VCO_MAX                       ; 0                 ; Untyped                     ;
+; VCO_CENTER                    ; 0                 ; Untyped                     ;
+; PFD_MIN                       ; 0                 ; Untyped                     ;
+; PFD_MAX                       ; 0                 ; Untyped                     ;
+; M_INITIAL                     ; 0                 ; Untyped                     ;
+; M                             ; 0                 ; Untyped                     ;
+; N                             ; 1                 ; Untyped                     ;
+; M2                            ; 1                 ; Untyped                     ;
+; N2                            ; 1                 ; Untyped                     ;
+; SS                            ; 1                 ; Untyped                     ;
+; C0_HIGH                       ; 0                 ; Untyped                     ;
+; C1_HIGH                       ; 0                 ; Untyped                     ;
+; C2_HIGH                       ; 0                 ; Untyped                     ;
+; C3_HIGH                       ; 0                 ; Untyped                     ;
+; C4_HIGH                       ; 0                 ; Untyped                     ;
+; C5_HIGH                       ; 0                 ; Untyped                     ;
+; C6_HIGH                       ; 0                 ; Untyped                     ;
+; C7_HIGH                       ; 0                 ; Untyped                     ;
+; C8_HIGH                       ; 0                 ; Untyped                     ;
+; C9_HIGH                       ; 0                 ; Untyped                     ;
+; C0_LOW                        ; 0                 ; Untyped                     ;
+; C1_LOW                        ; 0                 ; Untyped                     ;
+; C2_LOW                        ; 0                 ; Untyped                     ;
+; C3_LOW                        ; 0                 ; Untyped                     ;
+; C4_LOW                        ; 0                 ; Untyped                     ;
+; C5_LOW                        ; 0                 ; Untyped                     ;
+; C6_LOW                        ; 0                 ; Untyped                     ;
+; C7_LOW                        ; 0                 ; Untyped                     ;
+; C8_LOW                        ; 0                 ; Untyped                     ;
+; C9_LOW                        ; 0                 ; Untyped                     ;
+; C0_INITIAL                    ; 0                 ; Untyped                     ;
+; C1_INITIAL                    ; 0                 ; Untyped                     ;
+; C2_INITIAL                    ; 0                 ; Untyped                     ;
+; C3_INITIAL                    ; 0                 ; Untyped                     ;
+; C4_INITIAL                    ; 0                 ; Untyped                     ;
+; C5_INITIAL                    ; 0                 ; Untyped                     ;
+; C6_INITIAL                    ; 0                 ; Untyped                     ;
+; C7_INITIAL                    ; 0                 ; Untyped                     ;
+; C8_INITIAL                    ; 0                 ; Untyped                     ;
+; C9_INITIAL                    ; 0                 ; Untyped                     ;
+; C0_MODE                       ; BYPASS            ; Untyped                     ;
+; C1_MODE                       ; BYPASS            ; Untyped                     ;
+; C2_MODE                       ; BYPASS            ; Untyped                     ;
+; C3_MODE                       ; BYPASS            ; Untyped                     ;
+; C4_MODE                       ; BYPASS            ; Untyped                     ;
+; C5_MODE                       ; BYPASS            ; Untyped                     ;
+; C6_MODE                       ; BYPASS            ; Untyped                     ;
+; C7_MODE                       ; BYPASS            ; Untyped                     ;
+; C8_MODE                       ; BYPASS            ; Untyped                     ;
+; C9_MODE                       ; BYPASS            ; Untyped                     ;
+; C0_PH                         ; 0                 ; Untyped                     ;
+; C1_PH                         ; 0                 ; Untyped                     ;
+; C2_PH                         ; 0                 ; Untyped                     ;
+; C3_PH                         ; 0                 ; Untyped                     ;
+; C4_PH                         ; 0                 ; Untyped                     ;
+; C5_PH                         ; 0                 ; Untyped                     ;
+; C6_PH                         ; 0                 ; Untyped                     ;
+; C7_PH                         ; 0                 ; Untyped                     ;
+; C8_PH                         ; 0                 ; Untyped                     ;
+; C9_PH                         ; 0                 ; Untyped                     ;
+; L0_HIGH                       ; 1                 ; Untyped                     ;
+; L1_HIGH                       ; 1                 ; Untyped                     ;
+; G0_HIGH                       ; 1                 ; Untyped                     ;
+; G1_HIGH                       ; 1                 ; Untyped                     ;
+; G2_HIGH                       ; 1                 ; Untyped                     ;
+; G3_HIGH                       ; 1                 ; Untyped                     ;
+; E0_HIGH                       ; 1                 ; Untyped                     ;
+; E1_HIGH                       ; 1                 ; Untyped                     ;
+; E2_HIGH                       ; 1                 ; Untyped                     ;
+; E3_HIGH                       ; 1                 ; Untyped                     ;
+; L0_LOW                        ; 1                 ; Untyped                     ;
+; L1_LOW                        ; 1                 ; Untyped                     ;
+; G0_LOW                        ; 1                 ; Untyped                     ;
+; G1_LOW                        ; 1                 ; Untyped                     ;
+; G2_LOW                        ; 1                 ; Untyped                     ;
+; G3_LOW                        ; 1                 ; Untyped                     ;
+; E0_LOW                        ; 1                 ; Untyped                     ;
+; E1_LOW                        ; 1                 ; Untyped                     ;
+; E2_LOW                        ; 1                 ; Untyped                     ;
+; E3_LOW                        ; 1                 ; Untyped                     ;
+; L0_INITIAL                    ; 1                 ; Untyped                     ;
+; L1_INITIAL                    ; 1                 ; Untyped                     ;
+; G0_INITIAL                    ; 1                 ; Untyped                     ;
+; G1_INITIAL                    ; 1                 ; Untyped                     ;
+; G2_INITIAL                    ; 1                 ; Untyped                     ;
+; G3_INITIAL                    ; 1                 ; Untyped                     ;
+; E0_INITIAL                    ; 1                 ; Untyped                     ;
+; E1_INITIAL                    ; 1                 ; Untyped                     ;
+; E2_INITIAL                    ; 1                 ; Untyped                     ;
+; E3_INITIAL                    ; 1                 ; Untyped                     ;
+; L0_MODE                       ; BYPASS            ; Untyped                     ;
+; L1_MODE                       ; BYPASS            ; Untyped                     ;
+; G0_MODE                       ; BYPASS            ; Untyped                     ;
+; G1_MODE                       ; BYPASS            ; Untyped                     ;
+; G2_MODE                       ; BYPASS            ; Untyped                     ;
+; G3_MODE                       ; BYPASS            ; Untyped                     ;
+; E0_MODE                       ; BYPASS            ; Untyped                     ;
+; E1_MODE                       ; BYPASS            ; Untyped                     ;
+; E2_MODE                       ; BYPASS            ; Untyped                     ;
+; E3_MODE                       ; BYPASS            ; Untyped                     ;
+; L0_PH                         ; 0                 ; Untyped                     ;
+; L1_PH                         ; 0                 ; Untyped                     ;
+; G0_PH                         ; 0                 ; Untyped                     ;
+; G1_PH                         ; 0                 ; Untyped                     ;
+; G2_PH                         ; 0                 ; Untyped                     ;
+; G3_PH                         ; 0                 ; Untyped                     ;
+; E0_PH                         ; 0                 ; Untyped                     ;
+; E1_PH                         ; 0                 ; Untyped                     ;
+; E2_PH                         ; 0                 ; Untyped                     ;
+; E3_PH                         ; 0                 ; Untyped                     ;
+; M_PH                          ; 0                 ; Untyped                     ;
+; C1_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C2_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C3_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C4_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C5_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C6_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C7_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C8_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; C9_USE_CASC_IN                ; OFF               ; Untyped                     ;
+; CLK0_COUNTER                  ; G0                ; Untyped                     ;
+; CLK1_COUNTER                  ; G0                ; Untyped                     ;
+; CLK2_COUNTER                  ; G0                ; Untyped                     ;
+; CLK3_COUNTER                  ; G0                ; Untyped                     ;
+; CLK4_COUNTER                  ; G0                ; Untyped                     ;
+; CLK5_COUNTER                  ; G0                ; Untyped                     ;
+; CLK6_COUNTER                  ; E0                ; Untyped                     ;
+; CLK7_COUNTER                  ; E1                ; Untyped                     ;
+; CLK8_COUNTER                  ; E2                ; Untyped                     ;
+; CLK9_COUNTER                  ; E3                ; Untyped                     ;
+; L0_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; L1_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; G0_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; G1_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; G2_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; G3_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; E0_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; E1_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; E2_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; E3_TIME_DELAY                 ; 0                 ; Untyped                     ;
+; M_TIME_DELAY                  ; 0                 ; Untyped                     ;
+; N_TIME_DELAY                  ; 0                 ; Untyped                     ;
+; EXTCLK3_COUNTER               ; E3                ; Untyped                     ;
+; EXTCLK2_COUNTER               ; E2                ; Untyped                     ;
+; EXTCLK1_COUNTER               ; E1                ; Untyped                     ;
+; EXTCLK0_COUNTER               ; E0                ; Untyped                     ;
+; ENABLE0_COUNTER               ; L0                ; Untyped                     ;
+; ENABLE1_COUNTER               ; L0                ; Untyped                     ;
+; CHARGE_PUMP_CURRENT           ; 2                 ; Untyped                     ;
+; LOOP_FILTER_R                 ;  1.000000         ; Untyped                     ;
+; LOOP_FILTER_C                 ; 5                 ; Untyped                     ;
+; CHARGE_PUMP_CURRENT_BITS      ; 9999              ; Untyped                     ;
+; LOOP_FILTER_R_BITS            ; 9999              ; Untyped                     ;
+; LOOP_FILTER_C_BITS            ; 9999              ; Untyped                     ;
+; VCO_POST_SCALE                ; 0                 ; Untyped                     ;
+; CLK2_OUTPUT_FREQUENCY         ; 0                 ; Untyped                     ;
+; CLK1_OUTPUT_FREQUENCY         ; 0                 ; Untyped                     ;
+; CLK0_OUTPUT_FREQUENCY         ; 0                 ; Untyped                     ;
+; INTENDED_DEVICE_FAMILY        ; Stratix           ; Untyped                     ;
+; PORT_CLKENA0                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKENA1                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKENA2                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKENA3                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKENA4                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKENA5                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLKENA0               ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLKENA1               ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLKENA2               ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLKENA3               ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLK0                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLK1                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLK2                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_EXTCLK3                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKBAD0                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKBAD1                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK0                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK1                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK2                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK3                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK4                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK5                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLK6                     ; PORT_UNUSED       ; Untyped                     ;
+; PORT_CLK7                     ; PORT_UNUSED       ; Untyped                     ;
+; PORT_CLK8                     ; PORT_UNUSED       ; Untyped                     ;
+; PORT_CLK9                     ; PORT_UNUSED       ; Untyped                     ;
+; PORT_SCANDATA                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANDATAOUT              ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANDONE                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCLKOUT1                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCLKOUT0                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_ACTIVECLOCK              ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKLOSS                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_INCLK1                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_INCLK0                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_FBIN                     ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PLLENA                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CLKSWITCH                ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_ARESET                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PFDENA                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANCLK                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANACLR                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANREAD                 ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANWRITE                ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_ENABLE0                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_ENABLE1                  ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_LOCKED                   ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_CONFIGUPDATE             ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_FBOUT                    ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PHASEDONE                ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PHASESTEP                ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PHASEUPDOWN              ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_SCANCLKENA               ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_PHASECOUNTERSELECT       ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_VCOOVERRANGE             ; PORT_CONNECTIVITY ; Untyped                     ;
+; PORT_VCOUNDERRANGE            ; PORT_CONNECTIVITY ; Untyped                     ;
+; M_TEST_SOURCE                 ; 5                 ; Untyped                     ;
+; C0_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C1_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C2_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C3_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C4_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C5_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C6_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C7_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C8_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; C9_TEST_SOURCE                ; 5                 ; Untyped                     ;
+; CBXI_PARAMETER                ; NOTHING           ; Untyped                     ;
+; VCO_FREQUENCY_CONTROL         ; AUTO              ; Untyped                     ;
+; VCO_PHASE_SHIFT_STEP          ; 0                 ; Untyped                     ;
+; WIDTH_CLOCK                   ; 6                 ; Untyped                     ;
+; WIDTH_PHASECOUNTERSELECT      ; 4                 ; Untyped                     ;
+; USING_FBMIMICBIDIR_PORT       ; OFF               ; Untyped                     ;
+; DEVICE_FAMILY                 ; Stratix           ; Untyped                     ;
+; SCAN_CHAIN_MIF_FILE           ; UNUSED            ; Untyped                     ;
+; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF               ; Untyped                     ;
+; AUTO_CARRY_CHAINS             ; ON                ; AUTO_CARRY                  ;
+; IGNORE_CARRY_BUFFERS          ; OFF               ; IGNORE_CARRY                ;
+; AUTO_CASCADE_CHAINS           ; ON                ; AUTO_CASCADE                ;
+; IGNORE_CASCADE_BUFFERS        ; OFF               ; IGNORE_CASCADE              ;
++-------------------------------+-------------------+-----------------------------+
+Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
+
+
++--------------------------------------------------------------------+
+; altpll Parameter Settings by Entity Instance                       ;
++-------------------------------+------------------------------------+
+; Name                          ; Value                              ;
++-------------------------------+------------------------------------+
+; Number of entity instances    ; 1                                  ;
+; Entity Instance               ; vpll:inst1|altpll:altpll_component ;
+;     -- OPERATION_MODE         ; NORMAL                             ;
+;     -- PLL_TYPE               ; AUTO                               ;
+;     -- PRIMARY_CLOCK          ; INCLK0                             ;
+;     -- INCLK0_INPUT_FREQUENCY ; 30003                              ;
+;     -- INCLK1_INPUT_FREQUENCY ; 0                                  ;
+;     -- VCO_MULTIPLY_BY        ; 0                                  ;
+;     -- VCO_DIVIDE_BY          ; 0                                  ;
++-------------------------------+------------------------------------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus II Analysis & Synthesis
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:36:33 2009
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga_pll -c vga_pll
+Info: Revision "vga_pll" was previously opened in Quartus II software version 6.0. Created Quartus II Default Settings File /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf, which contains the default assignment setting information from Quartus II software version 6.0.
+Info: Default assignment values were changed in the current version of the Quartus II software -- changes to default assignments values are contained in file /opt/quartus/quartus/linux/assignment_defaults.qdf
+Info: Found 1 design units, including 1 entities, in source file ../../src/vga_pll.bdf
+    Info: Found entity 1: vga_pll
+Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm
+    Info: Found entity 1: vga_driver
+    Info: Found entity 2: vga_control
+    Info: Found entity 3: vga
+Info: Found 2 design units, including 1 entities, in source file ../../src/vpll.vhd
+    Info: Found design unit 1: vpll-SYN
+    Info: Found entity 1: vpll
+Info: Elaborating entity "vga_pll" for the top level hierarchy
+Info: Elaborating entity "vga" for hierarchy "vga:inst"
+Info: Elaborating entity "vga_driver" for hierarchy "vga:inst|vga_driver:vga_driver_unit"
+Info: Elaborating entity "vga_control" for hierarchy "vga:inst|vga_control:vga_control_unit"
+Info: Elaborating entity "vpll" for hierarchy "vpll:inst1"
+Warning (10036): Verilog HDL or VHDL warning at vpll.vhd(73): object "locked" assigned a value but never read
+Info: Elaborating entity "altpll" for hierarchy "vpll:inst1|altpll:altpll_component"
+Info: Elaborated megafunction instantiation "vpll:inst1|altpll:altpll_component"
+Info: Instantiated megafunction "vpll:inst1|altpll:altpll_component" with the following parameter:
+    Info: Parameter "bandwidth_type" = "AUTO"
+    Info: Parameter "clk0_duty_cycle" = "50"
+    Info: Parameter "lpm_type" = "altpll"
+    Info: Parameter "clk0_multiply_by" = "5435"
+    Info: Parameter "invalid_lock_multiplier" = "5"
+    Info: Parameter "inclk0_input_frequency" = "30003"
+    Info: Parameter "gate_lock_signal" = "NO"
+    Info: Parameter "clk0_divide_by" = "6666"
+    Info: Parameter "pll_type" = "AUTO"
+    Info: Parameter "valid_lock_multiplier" = "1"
+    Info: Parameter "clk0_time_delay" = "0"
+    Info: Parameter "spread_frequency" = "0"
+    Info: Parameter "intended_device_family" = "Stratix"
+    Info: Parameter "operation_mode" = "NORMAL"
+    Info: Parameter "compensate_clock" = "CLK0"
+    Info: Parameter "clk0_phase_shift" = "0"
+Info: WYSIWYG I/O primitives converted to equivalent logic
+    Info: WYSIWYG I/O primitive "vga:inst|clk_pin_in" converted to equivalent logic
+Info: Found the following redundant logic cells in design
+    Info (17048): Logic cell "vga:inst|vga_control:vga_control_unit|toggle_sig_0_0_0_g1"
+Info: Implemented 293 device resources after synthesis - the final resource count might be different
+    Info: Implemented 2 input pins
+    Info: Implemented 115 output pins
+    Info: Implemented 175 logic cells
+    Info: Implemented 1 ClockLock PLLs
+Warning: Output port clk0 of PLL "vpll:inst1|altpll:altpll_component|pll" feeds an output pin via global clocks -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
+Info: Quartus II Analysis & Synthesis was successful. 0 errors, 2 warnings
+    Info: Peak virtual memory: 204 megabytes
+    Info: Processing ended: Tue Nov  3 17:36:38 2009
+    Info: Elapsed time: 00:00:05
+    Info: Total CPU time (on all processors): 00:00:04
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.map.summary b/bsp4/Designflow/ppr/download/vga_pll.map.summary
new file mode 100644 (file)
index 0000000..92ec552
--- /dev/null
@@ -0,0 +1,12 @@
+Analysis & Synthesis Status : Successful - Tue Nov  3 17:36:38 2009
+Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version
+Revision Name : vga_pll
+Top-level Entity Name : vga_pll
+Family : Stratix
+Total logic elements : 175
+Total pins : 117
+Total virtual pins : 0
+Total memory bits : 0
+DSP block 9-bit elements : 0
+Total PLLs : 1
+Total DLLs : 0
diff --git a/bsp4/Designflow/ppr/download/vga_pll.pin b/bsp4/Designflow/ppr/download/vga_pll.pin
new file mode 100644 (file)
index 0000000..3168e60
--- /dev/null
@@ -0,0 +1,748 @@
+ -- Copyright (C) 1991-2009 Altera Corporation
+ -- Your use of Altera Corporation's design tools, logic functions 
+ -- and other software and tools, and its AMPP partner logic 
+ -- functions, and any output files from any of the foregoing 
+ -- (including device programming or simulation files), and any 
+ -- associated documentation or information are expressly subject 
+ -- to the terms and conditions of the Altera Program License 
+ -- Subscription Agreement, Altera MegaCore Function License 
+ -- Agreement, or other applicable license agreement, including, 
+ -- without limitation, that your use is for the sole purpose of 
+ -- programming logic devices manufactured by Altera and sold by 
+ -- Altera or its authorized distributors.  Please refer to the 
+ -- applicable agreement for further details.
+ -- 
+ -- This is a Quartus II output file. It is for reporting purposes only, and is
+ -- not intended for use as a Quartus II input file. This file cannot be used
+ -- to make Quartus II pin assignments - for instructions on how to make pin
+ -- assignments, please see Quartus II help.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- NC            : No Connect. This pin has no internal connection to the device.
+ -- DNU           : Do Not Use. This pin MUST NOT be connected.
+ -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.5V).
+ -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
+ --                 of its bank.
+ --                                    Bank 1:         3.3V
+ --                                    Bank 2:         3.3V
+ --                                    Bank 3:         3.3V
+ --                                    Bank 4:         3.3V
+ --                                    Bank 5:         3.3V
+ --                                    Bank 6:         3.3V
+ --                                    Bank 7:         3.3V
+ --                                    Bank 8:         3.3V
+ --                                    Bank 9:         3.3V
+ --                                    Bank 11:        3.3V
+ -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
+ --                                    It can also be used to report unused dedicated pins. The connection
+ --                                    on the board for unused dedicated pins depends on whether this will
+ --                                    be used in a future design. One example is device migration. When
+ --                                    using device migration, refer to the device pin-tables. If it is a
+ --                                    GND pin in the pin table or if it will not be used in a future design
+ --                                    for another purpose the it MUST be connected to GND. If it is an unused
+ --                                    dedicated pin, then it can be connected to a valid signal on the board
+ --                                    (low, high, or toggling) if that signal is required for a different
+ --                                    revision of the design.
+ -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
+ --                                    This pin should be connected to GND. It may also be connected  to a
+ --                                    valid signal  on the board  (low, high, or toggling)  if that signal
+ --                                    is required for a different revision of the design.
+ -- GND*          : Unused  I/O  pin.   For transceiver I/O banks (Bank 13, 14, 15, 16 and 17),
+ --                connect each pin marked GND* either individually through a 10k Ohm resistor
+ --                to GND or tie all pins together and connect through a single 10k Ohm resistor
+ --                to GND.
+ --                For non-transceiver I/O banks, connect each pin marked GND* directly to GND
+ --                or leave it unconnected.
+ -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
+ -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
+ -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
+ -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
+ -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- Pin directions (input, output or bidir) are based on device operating in user mode.
+ ---------------------------------------------------------------------------------
+
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+CHIP  "vga_pll"  ASSIGNED TO AN: EP1S25F672C6
+
+Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
+-------------------------------------------------------------------------------------------------------------
+GND                          : A2        : gnd    :                   :         :           :                
+GND*                         : A3        :        :                   :         : 3         :                
+VCCIO3                       : A4        : power  :                   : 3.3V    : 3         :                
+reset                        : A5        : input  : 3.3-V LVTTL       :         : 3         : Y              
+GND*                         : A6        :        :                   :         : 3         :                
+GND*                         : A7        :        :                   :         : 3         :                
+GND*                         : A8        :        :                   :         : 3         :                
+d_hsync_counter[5]           : A9        : output : 3.3-V LVTTL       :         : 3         : N              
+d_vsync_counter[6]           : A10       : output : 3.3-V LVTTL       :         : 3         : N              
+VCCIO3                       : A11       : power  :                   : 3.3V    : 3         :                
+GND*                         : A12       :        :                   :         : 3         :                
+GND                          : A13       : gnd    :                   :         :           :                
+GND                          : A14       : gnd    :                   :         :           :                
+GND+                         : A15       :        :                   :         : 4         :                
+VCCIO4                       : A16       : power  :                   : 3.3V    : 4         :                
+GND*                         : A17       :        :                   :         : 4         :                
+GND*                         : A18       :        :                   :         : 4         :                
+GND*                         : A19       :        :                   :         : 4         :                
+GND*                         : A20       :        :                   :         : 4         :                
+GND*                         : A21       :        :                   :         : 4         :                
+GND*                         : A22       :        :                   :         : 4         :                
+VCCIO4                       : A23       : power  :                   : 3.3V    : 4         :                
+GND*                         : A24       :        :                   :         : 4         :                
+GND                          : A25       : gnd    :                   :         :           :                
+GND*                         : AA1       :        :                   :         : 1         :                
+GND*                         : AA2       :        :                   :         : 1         :                
+GND*                         : AA3       :        :                   :         : 1         :                
+GND*                         : AA4       :        :                   :         : 1         :                
+GND*                         : AA5       :        :                   :         : 1         :                
+GND*                         : AA6       :        :                   :         : 1         :                
+GND*                         : AA7       :        :                   :         : 8         :                
+GND*                         : AA8       :        :                   :         : 8         :                
+GND*                         : AA9       :        :                   :         : 8         :                
+GND*                         : AA10      :        :                   :         : 8         :                
+seven_seg_pin[12]            : AA11      : output : 3.3-V LVTTL       :         : 8         : Y              
+GND*                         : AA12      :        :                   :         : 11        :                
+GND*                         : AA13      :        :                   :         : 11        :                
+GND*                         : AA14      :        :                   :         : 11        :                
+nIO_PULLUP                   : AA15      :        :                   :         : 7         :                
+d_toggle_counter[7]          : AA16      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AA17      :        :                   :         : 7         :                
+GND*                         : AA18      :        :                   :         : 7         :                
+GND*                         : AA19      :        :                   :         : 7         :                
+GND*                         : AA20      :        :                   :         : 7         :                
+GND*                         : AA21      :        :                   :         : 7         :                
+GND*                         : AA22      :        :                   :         : 6         :                
+GND*                         : AA23      :        :                   :         : 6         :                
+GND*                         : AA24      :        :                   :         : 6         :                
+GND*                         : AA25      :        :                   :         : 6         :                
+GND*                         : AA26      :        :                   :         : 6         :                
+GND*                         : AB1       :        :                   :         : 1         :                
+GND*                         : AB2       :        :                   :         : 1         :                
+GND*                         : AB3       :        :                   :         : 1         :                
+GND*                         : AB4       :        :                   :         : 1         :                
+GND*                         : AB5       :        :                   :         : 8         :                
+GND*                         : AB6       :        :                   :         : 8         :                
+GND*                         : AB7       :        :                   :         : 8         :                
+GND*                         : AB8       :        :                   :         : 8         :                
+GND*                         : AB9       :        :                   :         : 8         :                
+GND*                         : AB10      :        :                   :         : 8         :                
+GND*                         : AB11      :        :                   :         : 8         :                
+GND*                         : AB12      :        :                   :         : 11        :                
+GND*                         : AB13      :        :                   :         : 11        :                
+GND*                         : AB14      :        :                   :         : 11        :                
+GND                          : AB15      : gnd    :                   :         :           :                
+GND*                         : AB16      :        :                   :         : 7         :                
+GND*                         : AB17      :        :                   :         : 7         :                
+GND                          : AB18      : gnd    :                   :         :           :                
+GND*                         : AB19      :        :                   :         : 7         :                
+GND*                         : AB20      :        :                   :         : 7         :                
+GND*                         : AB21      :        :                   :         : 7         :                
+GND*                         : AB22      :        :                   :         : 7         :                
+GND*                         : AB23      :        :                   :         : 6         :                
+GND*                         : AB24      :        :                   :         : 6         :                
+GND*                         : AB25      :        :                   :         : 6         :                
+GND*                         : AB26      :        :                   :         : 6         :                
+VCCIO1                       : AC1       : power  :                   : 3.3V    : 1         :                
+GND*                         : AC2       :        :                   :         : 1         :                
+GND*                         : AC3       :        :                   :         : 1         :                
+GND*                         : AC4       :        :                   :         : 1         :                
+GND*                         : AC5       :        :                   :         : 8         :                
+GND*                         : AC6       :        :                   :         : 8         :                
+GND*                         : AC7       :        :                   :         : 8         :                
+GND*                         : AC8       :        :                   :         : 8         :                
+GND*                         : AC9       :        :                   :         : 8         :                
+d_hsync_counter[1]           : AC10      : output : 3.3-V LVTTL       :         : 8         : N              
+GND*                         : AC11      :        :                   :         : 8         :                
+GND+                         : AC12      :        :                   :         : 8         :                
+GND                          : AC13      : gnd    :                   :         :           :                
+GNDA_PLL6                    : AC14      : gnd    :                   :         :           :                
+GND*                         : AC15      :        :                   :         : 7         :                
+GND*                         : AC16      :        :                   :         : 7         :                
+GND*                         : AC17      :        :                   :         : 7         :                
+GND*                         : AC18      :        :                   :         : 7         :                
+GND*                         : AC19      :        :                   :         : 7         :                
+GND*                         : AC20      :        :                   :         : 7         :                
+GND*                         : AC21      :        :                   :         : 7         :                
+GND*                         : AC22      :        :                   :         : 7         :                
+GND*                         : AC23      :        :                   :         : 7         :                
+GND*                         : AC24      :        :                   :         : 6         :                
+GND*                         : AC25      :        :                   :         : 6         :                
+VCCIO6                       : AC26      : power  :                   : 3.3V    : 6         :                
+GND*                         : AD1       :        :                   :         : 1         :                
+GND*                         : AD2       :        :                   :         : 8         :                
+GND*                         : AD3       :        :                   :         : 8         :                
+GND*                         : AD4       :        :                   :         : 8         :                
+GND*                         : AD5       :        :                   :         : 8         :                
+GND*                         : AD6       :        :                   :         : 8         :                
+GND*                         : AD7       :        :                   :         : 8         :                
+GND*                         : AD8       :        :                   :         : 8         :                
+GND*                         : AD9       :        :                   :         : 8         :                
+GND*                         : AD10      :        :                   :         : 8         :                
+GND*                         : AD11      :        :                   :         : 8         :                
+GND*                         : AD12      :        :                   :         : 8         :                
+VCCG_PLL6                    : AD13      : power  :                   : 1.5V    :           :                
+VCCA_PLL6                    : AD14      : power  :                   : 1.5V    :           :                
+GND*                         : AD15      :        :                   :         : 7         :                
+GND*                         : AD16      :        :                   :         : 7         :                
+GND*                         : AD17      :        :                   :         : 7         :                
+GND*                         : AD18      :        :                   :         : 7         :                
+GND*                         : AD19      :        :                   :         : 7         :                
+GND*                         : AD20      :        :                   :         : 7         :                
+GND                          : AD21      : gnd    :                   :         :           :                
+GND*                         : AD22      :        :                   :         : 7         :                
+GND*                         : AD23      :        :                   :         : 7         :                
+GND*                         : AD24      :        :                   :         : 7         :                
+GND*                         : AD25      :        :                   :         : 6         :                
+GND*                         : AD26      :        :                   :         : 6         :                
+GND                          : AE1       : gnd    :                   :         :           :                
+GND*                         : AE2       :        :                   :         : 8         :                
+GND*                         : AE3       :        :                   :         : 8         :                
+GND*                         : AE4       :        :                   :         : 8         :                
+GND                          : AE5       : gnd    :                   :         :           :                
+GND*                         : AE6       :        :                   :         : 8         :                
+GND*                         : AE7       :        :                   :         : 8         :                
+GND*                         : AE8       :        :                   :         : 8         :                
+GND                          : AE9       : gnd    :                   :         :           :                
+GND*                         : AE10      :        :                   :         : 8         :                
+GND*                         : AE11      :        :                   :         : 8         :                
+GND+                         : AE12      :        :                   :         : 8         :                
+VCC_PLL6_OUTA                : AE13      : power  :                   : 3.3V    : 11        :                
+GNDG_PLL6                    : AE14      : gnd    :                   :         :           :                
+GND+                         : AE15      :        :                   :         : 7         :                
+GND*                         : AE16      :        :                   :         : 7         :                
+GND*                         : AE17      :        :                   :         : 7         :                
+GND*                         : AE18      :        :                   :         : 7         :                
+GND*                         : AE19      :        :                   :         : 7         :                
+GND*                         : AE20      :        :                   :         : 7         :                
+GND*                         : AE21      :        :                   :         : 7         :                
+GND*                         : AE22      :        :                   :         : 7         :                
+GND*                         : AE23      :        :                   :         : 7         :                
+GND*                         : AE24      :        :                   :         : 7         :                
+GND*                         : AE25      :        :                   :         : 7         :                
+GND                          : AE26      : gnd    :                   :         :           :                
+GND                          : AF2       : gnd    :                   :         :           :                
+GND*                         : AF3       :        :                   :         : 8         :                
+VCCIO8                       : AF4       : power  :                   : 3.3V    : 8         :                
+GND*                         : AF5       :        :                   :         : 8         :                
+GND*                         : AF6       :        :                   :         : 8         :                
+GND*                         : AF7       :        :                   :         : 8         :                
+GND*                         : AF8       :        :                   :         : 8         :                
+GND*                         : AF9       :        :                   :         : 8         :                
+GND*                         : AF10      :        :                   :         : 8         :                
+VCCIO8                       : AF11      : power  :                   : 3.3V    : 8         :                
+GND*                         : AF12      :        :                   :         : 8         :                
+GND                          : AF13      : gnd    :                   :         :           :                
+GND                          : AF14      : gnd    :                   :         :           :                
+GND+                         : AF15      :        :                   :         : 7         :                
+VCCIO7                       : AF16      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF17      :        :                   :         : 7         :                
+GND*                         : AF18      :        :                   :         : 7         :                
+GND*                         : AF19      :        :                   :         : 7         :                
+GND*                         : AF20      :        :                   :         : 7         :                
+GND*                         : AF21      :        :                   :         : 7         :                
+GND*                         : AF22      :        :                   :         : 7         :                
+VCCIO7                       : AF23      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF24      :        :                   :         : 7         :                
+GND                          : AF25      : gnd    :                   :         :           :                
+GND                          : B1        : gnd    :                   :         :           :                
+GND                          : B2        : gnd    :                   :         :           :                
+GND*                         : B3        :        :                   :         : 3         :                
+GND*                         : B4        :        :                   :         : 3         :                
+GND*                         : B5        :        :                   :         : 3         :                
+GND*                         : B6        :        :                   :         : 3         :                
+GND*                         : B7        :        :                   :         : 3         :                
+GND*                         : B8        :        :                   :         : 3         :                
+GND*                         : B9        :        :                   :         : 3         :                
+d_hsync_counter[3]           : B10       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : B11       :        :                   :         : 3         :                
+GND+                         : B12       :        :                   :         : 3         :                
+GNDG_PLL5                    : B13       : gnd    :                   :         :           :                
+GNDA_PLL5                    : B14       : gnd    :                   :         :           :                
+GND+                         : B15       :        :                   :         : 4         :                
+d_toggle_counter[2]          : B16       : output : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : B17       :        :                   :         : 4         :                
+GND*                         : B18       :        :                   :         : 4         :                
+GND*                         : B19       :        :                   :         : 4         :                
+GND*                         : B20       :        :                   :         : 4         :                
+GND*                         : B21       :        :                   :         : 4         :                
+GND*                         : B22       :        :                   :         : 4         :                
+GND*                         : B23       :        :                   :         : 4         :                
+GND*                         : B24       :        :                   :         : 4         :                
+GND*                         : B25       :        :                   :         : 4         :                
+GND                          : B26       : gnd    :                   :         :           :                
+GND*                         : C1        :        :                   :         : 2         :                
+GND*                         : C2        :        :                   :         : 3         :                
+GND*                         : C3        :        :                   :         : 3         :                
+GND*                         : C4        :        :                   :         : 3         :                
+GND*                         : C5        :        :                   :         : 3         :                
+GND*                         : C6        :        :                   :         : 3         :                
+GND*                         : C7        :        :                   :         : 3         :                
+GND*                         : C8        :        :                   :         : 3         :                
+GND*                         : C9        :        :                   :         : 3         :                
+d_hsync_counter[6]           : C10       : output : 3.3-V LVTTL       :         : 3         : N              
+d_vsync_counter[2]           : C11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : C12       :        :                   :         : 3         :                
+GND                          : C13       : gnd    :                   :         :           :                
+VCCG_PLL5                    : C14       : power  :                   : 1.5V    :           :                
+d_toggle_counter[5]          : C15       : output : 3.3-V LVTTL       :         : 4         : N              
+d_toggle_counter[9]          : C16       : output : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : C17       :        :                   :         : 4         :                
+GND*                         : C18       :        :                   :         : 4         :                
+GND*                         : C19       :        :                   :         : 4         :                
+GND*                         : C20       :        :                   :         : 4         :                
+GND*                         : C21       :        :                   :         : 4         :                
+GND*                         : C22       :        :                   :         : 4         :                
+GND*                         : C23       :        :                   :         : 4         :                
+GND*                         : C24       :        :                   :         : 4         :                
+d_toggle_counter[1]          : C25       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : C26       :        :                   :         : 5         :                
+VCCIO2                       : D1        : power  :                   : 3.3V    : 2         :                
+GND*                         : D2        :        :                   :         : 2         :                
+GND*                         : D3        :        :                   :         : 3         :                
+GND*                         : D4        :        :                   :         : 3         :                
+GND*                         : D5        :        :                   :         : 3         :                
+GND*                         : D6        :        :                   :         : 3         :                
+GND                          : D7        : gnd    :                   :         :           :                
+GND*                         : D8        :        :                   :         : 3         :                
+GND                          : D9        : gnd    :                   :         :           :                
+d_hsync_counter[2]           : D10       : output : 3.3-V LVTTL       :         : 3         : N              
+d_vsync_counter[5]           : D11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND+                         : D12       :        :                   :         : 3         :                
+VCC_PLL5_OUTA                : D13       : power  :                   : 3.3V    : 9         :                
+VCCA_PLL5                    : D14       : power  :                   : 1.5V    :           :                
+TRST                         : D15       : input  :                   :         : 4         :                
+GND*                         : D16       :        :                   :         : 4         :                
+GND*                         : D17       :        :                   :         : 4         :                
+GND*                         : D18       :        :                   :         : 4         :                
+GND*                         : D19       :        :                   :         : 4         :                
+GND*                         : D20       :        :                   :         : 4         :                
+GND*                         : D21       :        :                   :         : 4         :                
+GND*                         : D22       :        :                   :         : 4         :                
+GND*                         : D23       :        :                   :         : 4         :                
+d_toggle_counter[11]         : D24       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : D25       :        :                   :         : 5         :                
+VCCIO5                       : D26       : power  :                   : 3.3V    : 5         :                
+GND*                         : E1        :        :                   :         : 2         :                
+GND*                         : E2        :        :                   :         : 2         :                
+GND*                         : E3        :        :                   :         : 2         :                
+GND*                         : E4        :        :                   :         : 2         :                
+GND*                         : E5        :        :                   :         : 3         :                
+GND*                         : E6        :        :                   :         : 3         :                
+GND*                         : E7        :        :                   :         : 3         :                
+GND*                         : E8        :        :                   :         : 3         :                
+GND*                         : E9        :        :                   :         : 3         :                
+GND*                         : E10       :        :                   :         : 3         :                
+GND*                         : E11       :        :                   :         : 3         :                
+GND*                         : E12       :        :                   :         : 9         :                
+d_toggle_counter[3]          : E13       : output : 3.3-V LVTTL       :         : 9         : N              
+d_toggle_counter[12]         : E14       : output : 3.3-V LVTTL       :         : 9         : N              
+TMS                          : E15       : input  :                   :         : 4         :                
+d_toggle_counter[13]         : E16       : output : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : E17       :        :                   :         : 4         :                
+GND*                         : E18       :        :                   :         : 4         :                
+GND*                         : E19       :        :                   :         : 4         :                
+GND*                         : E20       :        :                   :         : 4         :                
+GND*                         : E21       :        :                   :         : 4         :                
+r0_pin                       : E22       : output : 3.3-V LVTTL       :         : 4         : Y              
+g0_pin                       : E23       : output : 3.3-V LVTTL       :         : 5         : Y              
+b0_pin                       : E24       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : E25       :        :                   :         : 5         :                
+GND*                         : E26       :        :                   :         : 5         :                
+hsync_pin                    : F1        : output : 3.3-V LVTTL       :         : 2         : Y              
+vsync_pin                    : F2        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[2]             : F3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[1]             : F4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[0]             : F5        : output : 3.3-V LVTTL       :         : 3         : Y              
+d_hsync_state[6]             : F6        : output : 3.3-V LVTTL       :         : 3         : Y              
+GND*                         : F7        :        :                   :         : 3         :                
+GND                          : F8        : gnd    :                   :         :           :                
+d_hsync_state[5]             : F9        : output : 3.3-V LVTTL       :         : 3         : Y              
+d_hsync_state[4]             : F10       : output : 3.3-V LVTTL       :         : 3         : Y              
+GND                          : F11       : gnd    :                   :         :           :                
+d_toggle_counter[10]         : F12       : output : 3.3-V LVTTL       :         : 9         : N              
+d_toggle_counter[14]         : F13       : output : 3.3-V LVTTL       :         : 9         : N              
+GND*                         : F14       :        :                   :         : 9         :                
+d_toggle_counter[6]          : F15       : output : 3.3-V LVTTL       :         : 4         : N              
+~DATA0~ / RESERVED_INPUT     : F16       : input  : 3.3-V LVTTL       :         : 4         : N              
+d_hsync_state[2]             : F17       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND                          : F18       : gnd    :                   :         :           :                
+d_hsync_state[1]             : F19       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : F20       :        :                   :         : 4         :                
+d_set_line_counter           : F21       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND                          : F22       : gnd    :                   :         :           :                
+d_toggle_counter[23]         : F23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_set_vsync_counter          : F24       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_toggle_counter[22]         : F25       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_set_hsync_counter          : F26       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_toggle_counter[21]         : G1        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_counter[9]           : G2        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_toggle_counter[20]         : G3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_counter[8]           : G4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_toggle_counter[19]         : G5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_counter[7]           : G6        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : G7        :        :                   :         : 3         :                
+GND                          : G8        : gnd    :                   :         :           :                
+d_vsync_counter[0]           : G9        : output : 3.3-V LVTTL       :         : 3         : Y              
+d_vsync_counter[3]           : G10       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : G11       :        :                   :         : 3         :                
+DCLK                         : G12       :        :                   :         : 3         :                
+TEMPDIODEn                   : G13       :        :                   :         :           :                
+TDO                          : G14       : output :                   :         : 4         :                
+TCK                          : G15       : input  :                   :         : 4         :                
+GND                          : G16       : gnd    :                   :         :           :                
+GND*                         : G17       :        :                   :         : 4         :                
+d_hsync_counter[9]           : G18       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : G19       :        :                   :         : 4         :                
+d_toggle_counter[18]         : G20       : output : 3.3-V LVTTL       :         : 4         : Y              
+d_toggle_counter[17]         : G21       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_hsync_counter[8]           : G22       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_toggle_counter[16]         : G23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_toggle_counter[15]         : G24       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_hsync_counter[7]           : G25       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : G26       :        :                   :         : 5         :                
+d_hsync_counter[4]           : H1        : output : 3.3-V LVTTL       :         : 2         : N              
+d_vsync_counter[4]           : H2        : output : 3.3-V LVTTL       :         : 2         : N              
+d_toggle                     : H3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_hsync_counter[0]           : H4        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : H5        :        :                   :         : 2         :                
+GND*                         : H6        :        :                   :         : 2         :                
+GND*                         : H7        :        :                   :         : 2         :                
+GND                          : H8        : gnd    :                   :         :           :                
+GND                          : H9        : gnd    :                   :         :           :                
+d_vsync_counter[1]           : H10       : output : 3.3-V LVTTL       :         : 3         : N              
+CONF_DONE                    : H11       :        :                   :         : 3         :                
+nCONFIG                      : H12       :        :                   :         : 3         :                
+nSTATUS                      : H13       :        :                   :         : 3         :                
+TEMPDIODEp                   : H14       :        :                   :         :           :                
+TDI                          : H15       : input  :                   :         : 4         :                
+d_toggle_counter[8]          : H16       : output : 3.3-V LVTTL       :         : 4         : N              
+GND                          : H17       : gnd    :                   :         :           :                
+d_v_enable                   : H18       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : H19       :        :                   :         : 5         :                
+GND*                         : H20       :        :                   :         : 5         :                
+GND*                         : H21       :        :                   :         : 5         :                
+GND*                         : H22       :        :                   :         : 5         :                
+GND*                         : H23       :        :                   :         : 5         :                
+GND*                         : H24       :        :                   :         : 5         :                
+GND*                         : H25       :        :                   :         : 5         :                
+d_toggle_counter[0]          : H26       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : J1        :        :                   :         : 2         :                
+GND*                         : J2        :        :                   :         : 2         :                
+GND*                         : J3        :        :                   :         : 2         :                
+GND*                         : J4        :        :                   :         : 2         :                
+GND*                         : J5        :        :                   :         : 2         :                
+GND*                         : J6        :        :                   :         : 2         :                
+GND*                         : J7        :        :                   :         : 2         :                
+GND*                         : J8        :        :                   :         : 2         :                
+GND                          : J9        : gnd    :                   :         :           :                
+GND                          : J10       : gnd    :                   :         :           :                
+VCCIO3                       : J11       : power  :                   : 3.3V    : 3         :                
+VCCIO3                       : J12       : power  :                   : 3.3V    : 3         :                
+GND                          : J13       : gnd    :                   :         :           :                
+GND                          : J14       : gnd    :                   :         :           :                
+VCCIO4                       : J15       : power  :                   : 3.3V    : 4         :                
+VCCIO4                       : J16       : power  :                   : 3.3V    : 4         :                
+GND                          : J17       : gnd    :                   :         :           :                
+GND                          : J18       : gnd    :                   :         :           :                
+GND*                         : J19       :        :                   :         : 5         :                
+GND*                         : J20       :        :                   :         : 5         :                
+d_h_enable                   : J21       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[2]            : J22       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : J23       :        :                   :         : 5         :                
+GND*                         : J24       :        :                   :         : 5         :                
+GND*                         : J25       :        :                   :         : 5         :                
+GND*                         : J26       :        :                   :         : 5         :                
+GND*                         : K1        :        :                   :         : 2         :                
+GND*                         : K2        :        :                   :         : 2         :                
+d_state_clk                  : K3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[1]            : K4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[9]          : K5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[0]            : K6        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : K7        :        :                   :         : 2         :                
+GND*                         : K8        :        :                   :         : 2         :                
+GND*                         : K9        :        :                   :         : 2         :                
+GND                          : K10       : gnd    :                   :         :           :                
+VCCINT                       : K11       : power  :                   : 1.5V    :           :                
+GND                          : K12       : gnd    :                   :         :           :                
+VCCINT                       : K13       : power  :                   : 1.5V    :           :                
+GND                          : K14       : gnd    :                   :         :           :                
+VCCINT                       : K15       : power  :                   : 1.5V    :           :                
+GND                          : K16       : gnd    :                   :         :           :                
+VCCINT                       : K17       : power  :                   : 1.5V    :           :                
+GND                          : K18       : gnd    :                   :         :           :                
+d_column_counter[8]          : K19       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_b                          : K20       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : K21       :        :                   :         : 5         :                
+GND*                         : K22       :        :                   :         : 5         :                
+d_column_counter[7]          : K23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_g                          : K24       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : K25       :        :                   :         : 5         :                
+GND*                         : K26       :        :                   :         : 5         :                
+VCCIO2                       : L1        : power  :                   : 3.3V    : 2         :                
+d_column_counter[6]          : L2        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_r                          : L3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[5]          : L4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync                      : L5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[4]          : L6        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_hsync                      : L7        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : L8        : gnd    :                   :         :           :                
+VCCIO2                       : L9        : power  :                   : 3.3V    : 2         :                
+VCCINT                       : L10       : power  :                   : 1.5V    :           :                
+GND                          : L11       : gnd    :                   :         :           :                
+VCCINT                       : L12       : power  :                   : 1.5V    :           :                
+GND                          : L13       : gnd    :                   :         :           :                
+VCCINT                       : L14       : power  :                   : 1.5V    :           :                
+GND                          : L15       : gnd    :                   :         :           :                
+VCCINT                       : L16       : power  :                   : 1.5V    :           :                
+GND                          : L17       : gnd    :                   :         :           :                
+VCCIO5                       : L18       : power  :                   : 3.3V    : 5         :                
+GND                          : L19       : gnd    :                   :         :           :                
+d_column_counter[3]          : L20       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[2]          : L21       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[1]          : L22       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[0]          : L23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[7]            : L24       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[8]            : L25       : output : 3.3-V LVTTL       :         : 5         : Y              
+VCCIO5                       : L26       : power  :                   : 3.3V    : 5         :                
+GND+                         : M1        :        :                   :         : 2         :                
+VCCG_PLL1                    : M2        : power  :                   : 1.5V    :           :                
+VCCA_PLL1                    : M3        : power  :                   : 1.5V    :           :                
+d_vsync_state[6]             : M4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[6]            : M5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[5]            : M6        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[5]             : M7        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[4]            : M8        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[3]            : M9        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : M10       : gnd    :                   :         :           :                
+VCCINT                       : M11       : power  :                   : 1.5V    :           :                
+GND                          : M12       : gnd    :                   :         :           :                
+VCCINT                       : M13       : power  :                   : 1.5V    :           :                
+GND                          : M14       : gnd    :                   :         :           :                
+VCCINT                       : M15       : power  :                   : 1.5V    :           :                
+GND                          : M16       : gnd    :                   :         :           :                
+VCCINT                       : M17       : power  :                   : 1.5V    :           :                
+d_vsync_state[4]             : M18       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_vsync_state[3]             : M19       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : M20       :        :                   :         : 5         :                
+GND*                         : M21       :        :                   :         : 5         :                
+GND*                         : M22       :        :                   :         : 5         :                
+GND*                         : M23       :        :                   :         : 5         :                
+GND+                         : M24       :        :                   :         : 5         :                
+GND+                         : M25       :        :                   :         : 5         :                
+GND+                         : M26       :        :                   :         : 5         :                
+GND                          : N1        : gnd    :                   :         :           :                
+GND+                         : N2        :        :                   :         : 2         :                
+board_clk                    : N3        : input  : 3.3-V LVTTL       :         : 2         : Y              
+GNDG_PLL1                    : N4        : gnd    :                   :         :           :                
+GNDA_PLL1                    : N5        : gnd    :                   :         :           :                
+GND*                         : N6        :        :                   :         : 2         :                
+seven_seg_pin[8]             : N7        : output : 3.3-V LVTTL       :         : 2         : Y              
+seven_seg_pin[9]             : N8        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : N9        : gnd    :                   :         :           :                
+VCCINT                       : N10       : power  :                   : 1.5V    :           :                
+GND                          : N11       : gnd    :                   :         :           :                
+VCCINT                       : N12       : power  :                   : 1.5V    :           :                
+GND                          : N13       : gnd    :                   :         :           :                
+VCCINT                       : N14       : power  :                   : 1.5V    :           :                
+GND                          : N15       : gnd    :                   :         :           :                
+VCCINT                       : N16       : power  :                   : 1.5V    :           :                
+GND                          : N17       : gnd    :                   :         :           :                
+GND                          : N18       : gnd    :                   :         :           :                
+GND*                         : N19       :        :                   :         : 6         :                
+GND*                         : N20       :        :                   :         : 5         :                
+GND*                         : N21       :        :                   :         : 5         :                
+GNDG_PLL4                    : N22       : gnd    :                   :         :           :                
+GNDA_PLL4                    : N23       : gnd    :                   :         :           :                
+VCCG_PLL4                    : N24       : power  :                   : 1.5V    :           :                
+VCCA_PLL4                    : N25       : power  :                   : 1.5V    :           :                
+GND                          : N26       : gnd    :                   :         :           :                
+GND                          : P1        : gnd    :                   :         :           :                
+GNDG_PLL2                    : P2        : gnd    :                   :         :           :                
+GNDA_PLL2                    : P3        : gnd    :                   :         :           :                
+VCCG_PLL2                    : P4        : power  :                   : 1.5V    :           :                
+VCCA_PLL2                    : P5        : power  :                   : 1.5V    :           :                
+GND*                         : P6        :        :                   :         : 1         :                
+GND*                         : P7        :        :                   :         : 1         :                
+GND*                         : P8        :        :                   :         : 2         :                
+GND                          : P9        : gnd    :                   :         :           :                
+GND                          : P10       : gnd    :                   :         :           :                
+VCCINT                       : P11       : power  :                   : 1.5V    :           :                
+GND                          : P12       : gnd    :                   :         :           :                
+VCCINT                       : P13       : power  :                   : 1.5V    :           :                
+GND                          : P14       : gnd    :                   :         :           :                
+VCCINT                       : P15       : power  :                   : 1.5V    :           :                
+GND                          : P16       : gnd    :                   :         :           :                
+VCCINT                       : P17       : power  :                   : 1.5V    :           :                
+GND                          : P18       : gnd    :                   :         :           :                
+GND*                         : P19       :        :                   :         : 6         :                
+GND*                         : P20       :        :                   :         : 6         :                
+GND*                         : P21       :        :                   :         : 6         :                
+VCCA_PLL3                    : P22       : power  :                   : 1.5V    :           :                
+VCCG_PLL3                    : P23       : power  :                   : 1.5V    :           :                
+GND+                         : P24       :        :                   :         : 6         :                
+GND+                         : P25       :        :                   :         : 6         :                
+GND                          : P26       : gnd    :                   :         :           :                
+GND+                         : R1        :        :                   :         : 1         :                
+GND+                         : R2        :        :                   :         : 1         :                
+GND+                         : R3        :        :                   :         : 1         :                
+seven_seg_pin[10]            : R4        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : R5        :        :                   :         : 1         :                
+seven_seg_pin[11]            : R6        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : R7        :        :                   :         : 1         :                
+seven_seg_pin[0]             : R8        : output : 3.3-V LVTTL       :         : 1         : Y              
+seven_seg_pin[1]             : R9        : output : 3.3-V LVTTL       :         : 1         : Y              
+VCCINT                       : R10       : power  :                   : 1.5V    :           :                
+GND                          : R11       : gnd    :                   :         :           :                
+VCCINT                       : R12       : power  :                   : 1.5V    :           :                
+GND                          : R13       : gnd    :                   :         :           :                
+VCCINT                       : R14       : power  :                   : 1.5V    :           :                
+GND                          : R15       : gnd    :                   :         :           :                
+VCCINT                       : R16       : power  :                   : 1.5V    :           :                
+GND                          : R17       : gnd    :                   :         :           :                
+GND                          : R18       : gnd    :                   :         :           :                
+seven_seg_pin[2]             : R19       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[3]             : R20       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[4]             : R21       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[5]             : R22       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[6]             : R23       : output : 3.3-V LVTTL       :         : 6         : Y              
+GNDA_PLL3                    : R24       : gnd    :                   :         :           :                
+GNDG_PLL3                    : R25       : gnd    :                   :         :           :                
+GND+                         : R26       :        :                   :         : 6         :                
+VCCIO1                       : T1        : power  :                   : 3.3V    : 1         :                
+seven_seg_pin[13]            : T2        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : T3        :        :                   :         : 1         :                
+r1_pin                       : T4        : output : 3.3-V LVTTL       :         : 1         : Y              
+g1_pin                       : T5        : output : 3.3-V LVTTL       :         : 1         : Y              
+b1_pin                       : T6        : output : 3.3-V LVTTL       :         : 1         : Y              
+r2_pin                       : T7        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND                          : T8        : gnd    :                   :         :           :                
+VCCIO1                       : T9        : power  :                   : 3.3V    : 1         :                
+GND                          : T10       : gnd    :                   :         :           :                
+VCCINT                       : T11       : power  :                   : 1.5V    :           :                
+GND                          : T12       : gnd    :                   :         :           :                
+VCCINT                       : T13       : power  :                   : 1.5V    :           :                
+GND                          : T14       : gnd    :                   :         :           :                
+VCCINT                       : T15       : power  :                   : 1.5V    :           :                
+GND                          : T16       : gnd    :                   :         :           :                
+VCCINT                       : T17       : power  :                   : 1.5V    :           :                
+VCCIO6                       : T18       : power  :                   : 3.3V    : 6         :                
+d_toggle_counter[24]         : T19       : output : 3.3-V LVTTL       :         : 6         : Y              
+GND*                         : T20       :        :                   :         : 6         :                
+GND*                         : T21       :        :                   :         : 6         :                
+GND*                         : T22       :        :                   :         : 6         :                
+GND*                         : T23       :        :                   :         : 6         :                
+g2_pin                       : T24       : output : 3.3-V LVTTL       :         : 6         : Y              
+GND*                         : T25       :        :                   :         : 6         :                
+VCCIO6                       : T26       : power  :                   : 3.3V    : 6         :                
+GND*                         : U1        :        :                   :         : 1         :                
+GND*                         : U2        :        :                   :         : 1         :                
+GND*                         : U3        :        :                   :         : 1         :                
+GND*                         : U4        :        :                   :         : 1         :                
+GND*                         : U5        :        :                   :         : 1         :                
+GND*                         : U6        :        :                   :         : 1         :                
+GND*                         : U7        :        :                   :         : 1         :                
+GND*                         : U8        :        :                   :         : 1         :                
+GND*                         : U9        :        :                   :         : 1         :                
+VCCINT                       : U10       : power  :                   : 1.5V    :           :                
+GND                          : U11       : gnd    :                   :         :           :                
+VCCINT                       : U12       : power  :                   : 1.5V    :           :                
+GND                          : U13       : gnd    :                   :         :           :                
+VCCINT                       : U14       : power  :                   : 1.5V    :           :                
+GND                          : U15       : gnd    :                   :         :           :                
+VCCINT                       : U16       : power  :                   : 1.5V    :           :                
+GND                          : U17       : gnd    :                   :         :           :                
+GND*                         : U18       :        :                   :         : 6         :                
+GND*                         : U19       :        :                   :         : 6         :                
+GND*                         : U20       :        :                   :         : 6         :                
+GND*                         : U21       :        :                   :         : 6         :                
+GND*                         : U22       :        :                   :         : 6         :                
+GND*                         : U23       :        :                   :         : 6         :                
+GND*                         : U24       :        :                   :         : 6         :                
+GND*                         : U25       :        :                   :         : 6         :                
+GND*                         : U26       :        :                   :         : 6         :                
+GND*                         : V1        :        :                   :         : 1         :                
+GND*                         : V2        :        :                   :         : 1         :                
+GND*                         : V3        :        :                   :         : 1         :                
+GND*                         : V4        :        :                   :         : 1         :                
+GND*                         : V5        :        :                   :         : 1         :                
+GND*                         : V6        :        :                   :         : 1         :                
+GND                          : V7        : gnd    :                   :         :           :                
+GND*                         : V8        :        :                   :         : 1         :                
+GND                          : V9        : gnd    :                   :         :           :                
+GND                          : V10       : gnd    :                   :         :           :                
+VCCIO8                       : V11       : power  :                   : 3.3V    : 8         :                
+VCCIO8                       : V12       : power  :                   : 3.3V    : 8         :                
+GND                          : V13       : gnd    :                   :         :           :                
+GND                          : V14       : gnd    :                   :         :           :                
+VCCIO7                       : V15       : power  :                   : 3.3V    : 7         :                
+VCCIO7                       : V16       : power  :                   : 3.3V    : 7         :                
+GND                          : V17       : gnd    :                   :         :           :                
+GND                          : V18       : gnd    :                   :         :           :                
+GND*                         : V19       :        :                   :         : 6         :                
+GND                          : V20       : gnd    :                   :         :           :                
+GND*                         : V21       :        :                   :         : 6         :                
+GND*                         : V22       :        :                   :         : 6         :                
+GND*                         : V23       :        :                   :         : 6         :                
+GND*                         : V24       :        :                   :         : 6         :                
+GND*                         : V25       :        :                   :         : 6         :                
+GND*                         : V26       :        :                   :         : 6         :                
+GND*                         : W1        :        :                   :         : 1         :                
+GND*                         : W2        :        :                   :         : 1         :                
+GND*                         : W3        :        :                   :         : 1         :                
+GND*                         : W4        :        :                   :         : 1         :                
+GND*                         : W5        :        :                   :         : 1         :                
+GND*                         : W6        :        :                   :         : 1         :                
+GND*                         : W7        :        :                   :         : 1         :                
+GND*                         : W8        :        :                   :         : 1         :                
+GND*                         : W9        :        :                   :         : 8         :                
+GND*                         : W10       :        :                   :         : 8         :                
+GND                          : W11       : gnd    :                   :         :           :                
+PLL_ENA                      : W12       :        :                   :         : 8         :                
+MSEL2                        : W13       :        :                   :         : 8         :                
+nCEO                         : W14       :        :                   :         : 7         :                
+GND*                         : W15       :        :                   :         : 7         :                
+PORSEL                       : W16       :        :                   :         : 7         :                
+GND*                         : W17       :        :                   :         : 7         :                
+GND*                         : W18       :        :                   :         : 7         :                
+GND*                         : W19       :        :                   :         : 6         :                
+GND*                         : W20       :        :                   :         : 6         :                
+GND*                         : W21       :        :                   :         : 6         :                
+GND*                         : W22       :        :                   :         : 6         :                
+GND*                         : W23       :        :                   :         : 6         :                
+GND*                         : W24       :        :                   :         : 6         :                
+GND*                         : W25       :        :                   :         : 6         :                
+GND*                         : W26       :        :                   :         : 6         :                
+GND*                         : Y1        :        :                   :         : 1         :                
+d_hsync_state[3]             : Y2        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : Y3        :        :                   :         : 1         :                
+GND*                         : Y4        :        :                   :         : 1         :                
+d_hsync_state[0]             : Y5        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : Y6        :        :                   :         : 1         :                
+GND                          : Y7        : gnd    :                   :         :           :                
+GND*                         : Y8        :        :                   :         : 8         :                
+GND*                         : Y9        :        :                   :         : 8         :                
+GND*                         : Y10       :        :                   :         : 8         :                
+seven_seg_pin[7]             : Y11       : output : 3.3-V LVTTL       :         : 8         : Y              
+MSEL0                        : Y12       :        :                   :         : 8         :                
+MSEL1                        : Y13       :        :                   :         : 8         :                
+nCE                          : Y14       :        :                   :         : 7         :                
+VCCSEL                       : Y15       :        :                   :         : 7         :                
+d_toggle_counter[4]          : Y16       : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : Y17       :        :                   :         : 7         :                
+GND*                         : Y18       :        :                   :         : 7         :                
+GND*                         : Y19       :        :                   :         : 7         :                
+GND*                         : Y20       :        :                   :         : 7         :                
+GND                          : Y21       : gnd    :                   :         :           :                
+GND*                         : Y22       :        :                   :         : 6         :                
+d_set_column_counter         : Y23       : output : 3.3-V LVTTL       :         : 6         : Y              
+GND*                         : Y24       :        :                   :         : 6         :                
+GND*                         : Y25       :        :                   :         : 6         :                
+GND*                         : Y26       :        :                   :         : 6         :                
diff --git a/bsp4/Designflow/ppr/download/vga_pll.pof b/bsp4/Designflow/ppr/download/vga_pll.pof
new file mode 100644 (file)
index 0000000..e184bce
Binary files /dev/null and b/bsp4/Designflow/ppr/download/vga_pll.pof differ
diff --git a/bsp4/Designflow/ppr/download/vga_pll.qpf b/bsp4/Designflow/ppr/download/vga_pll.qpf
new file mode 100644 (file)
index 0000000..7d1e046
--- /dev/null
@@ -0,0 +1,30 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2009 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Altera Program License 
+# Subscription Agreement, Altera MegaCore Function License 
+# Agreement, or other applicable license agreement, including, 
+# without limitation, that your use is for the sole purpose of 
+# programming logic devices manufactured by Altera and sold by 
+# Altera or its authorized distributors.  Please refer to the 
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II
+# Version 9.0 Build 132 02/25/2009 SJ Full Version
+# Date created = 17:35:41  November 03, 2009
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "9.0"
+DATE = "17:35:41  November 03, 2009"
+
+# Revisions
+
+PROJECT_REVISION = "vga_pll"
diff --git a/bsp4/Designflow/ppr/download/vga_pll.qsf b/bsp4/Designflow/ppr/download/vga_pll.qsf
new file mode 100644 (file)
index 0000000..812df02
--- /dev/null
@@ -0,0 +1,170 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2009 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Altera Program License 
+# Subscription Agreement, Altera MegaCore Function License 
+# Agreement, or other applicable license agreement, including, 
+# without limitation, that your use is for the sole purpose of 
+# programming logic devices manufactured by Altera and sold by 
+# Altera or its authorized distributors.  Please refer to the 
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II
+# Version 9.0 Build 132 02/25/2009 SJ Full Version
+# Date created = 17:35:41  November 03, 2009
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+#              vga_pll_assignment_defaults.qdf
+#    If this file doesn't exist, see file:
+#              assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+#    file is updated automatically by the Quartus II software
+#    and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY Stratix
+set_global_assignment -name DEVICE EP1S25F672C6
+set_global_assignment -name TOP_LEVEL_ENTITY vga_pll
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10  SEPTEMBER 29, 2006"
+set_global_assignment -name LAST_QUARTUS_VERSION 6.0
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro"
+set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis
+set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation
+set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
+set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
+set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
+set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf
+set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
+set_global_assignment -name BSF_FILE ../../src/vpll.bsf
+set_global_assignment -name VHDL_FILE ../../src/vpll.vhd
+set_location_assignment PIN_E24 -to b0_pin
+set_location_assignment PIN_T6 -to b1_pin
+set_location_assignment PIN_N3 -to board_clk
+set_location_assignment PIN_E23 -to g0_pin
+set_location_assignment PIN_T5 -to g1_pin
+set_location_assignment PIN_T24 -to g2_pin
+set_location_assignment PIN_F1 -to hsync_pin
+set_location_assignment PIN_E22 -to r0_pin
+set_location_assignment PIN_T4 -to r1_pin
+set_location_assignment PIN_T7 -to r2_pin
+set_location_assignment PIN_A5 -to reset
+set_location_assignment PIN_F2 -to vsync_pin
+set_location_assignment PIN_Y5 -to d_hsync_state[0]
+set_location_assignment PIN_F19 -to d_hsync_state[1]
+set_location_assignment PIN_F17 -to d_hsync_state[2]
+set_location_assignment PIN_Y2 -to d_hsync_state[3]
+set_location_assignment PIN_F10 -to d_hsync_state[4]
+set_location_assignment PIN_F9 -to d_hsync_state[5]
+set_location_assignment PIN_F6 -to d_hsync_state[6]
+set_location_assignment PIN_H4 -to d_hsync_counter[0]
+set_location_assignment PIN_G25 -to d_hsync_counter[7]
+set_location_assignment PIN_G22 -to d_hsync_counter[8]
+set_location_assignment PIN_G18 -to d_hsync_counter[9]
+set_location_assignment PIN_F5 -to d_vsync_state[0]
+set_location_assignment PIN_F4 -to d_vsync_state[1]
+set_location_assignment PIN_F3 -to d_vsync_state[2]
+set_location_assignment PIN_M19 -to d_vsync_state[3]
+set_location_assignment PIN_M18 -to d_vsync_state[4]
+set_location_assignment PIN_M7 -to d_vsync_state[5]
+set_location_assignment PIN_M4 -to d_vsync_state[6]
+set_location_assignment PIN_G9 -to d_vsync_counter[0]
+set_location_assignment PIN_G6 -to d_vsync_counter[7]
+set_location_assignment PIN_G4 -to d_vsync_counter[8]
+set_location_assignment PIN_G2 -to d_vsync_counter[9]
+set_location_assignment PIN_K6 -to d_line_counter[0]
+set_location_assignment PIN_K4 -to d_line_counter[1]
+set_location_assignment PIN_J22 -to d_line_counter[2]
+set_location_assignment PIN_M9 -to d_line_counter[3]
+set_location_assignment PIN_M8 -to d_line_counter[4]
+set_location_assignment PIN_M6 -to d_line_counter[5]
+set_location_assignment PIN_M5 -to d_line_counter[6]
+set_location_assignment PIN_L24 -to d_line_counter[7]
+set_location_assignment PIN_L25 -to d_line_counter[8]
+set_location_assignment PIN_L23 -to d_column_counter[0]
+set_location_assignment PIN_L22 -to d_column_counter[1]
+set_location_assignment PIN_L21 -to d_column_counter[2]
+set_location_assignment PIN_L20 -to d_column_counter[3]
+set_location_assignment PIN_L6 -to d_column_counter[4]
+set_location_assignment PIN_L4 -to d_column_counter[5]
+set_location_assignment PIN_L2 -to d_column_counter[6]
+set_location_assignment PIN_K23 -to d_column_counter[7]
+set_location_assignment PIN_K19 -to d_column_counter[8]
+set_location_assignment PIN_K5 -to d_column_counter[9]
+set_location_assignment PIN_L7 -to d_hsync
+set_location_assignment PIN_L5 -to d_vsync
+set_location_assignment PIN_F26 -to d_set_hsync_counter
+set_location_assignment PIN_F24 -to d_set_vsync_counter
+set_location_assignment PIN_F21 -to d_set_line_counter
+set_location_assignment PIN_Y23 -to d_set_column_counter
+set_location_assignment PIN_L3 -to d_r
+set_location_assignment PIN_K24 -to d_g
+set_location_assignment PIN_K20 -to d_b
+set_location_assignment PIN_H18 -to d_v_enable
+set_location_assignment PIN_J21 -to d_h_enable
+set_location_assignment PIN_R8 -to seven_seg_pin[0]
+set_location_assignment PIN_R9 -to seven_seg_pin[1]
+set_location_assignment PIN_R19 -to seven_seg_pin[2]
+set_location_assignment PIN_R20 -to seven_seg_pin[3]
+set_location_assignment PIN_R21 -to seven_seg_pin[4]
+set_location_assignment PIN_R22 -to seven_seg_pin[5]
+set_location_assignment PIN_R23 -to seven_seg_pin[6]
+set_location_assignment PIN_Y11 -to seven_seg_pin[7]
+set_location_assignment PIN_N7 -to seven_seg_pin[8]
+set_location_assignment PIN_N8 -to seven_seg_pin[9]
+set_location_assignment PIN_R4 -to seven_seg_pin[10]
+set_location_assignment PIN_R6 -to seven_seg_pin[11]
+set_location_assignment PIN_AA11 -to seven_seg_pin[12]
+set_location_assignment PIN_T2 -to seven_seg_pin[13]
+set_location_assignment PIN_K3 -to d_state_clk
+set_location_assignment PIN_H3 -to d_toggle
+set_location_assignment PIN_H26 -to d_toggle_counter[0]
+set_location_assignment PIN_G24 -to d_toggle_counter[15]
+set_location_assignment PIN_G23 -to d_toggle_counter[16]
+set_location_assignment PIN_G21 -to d_toggle_counter[17]
+set_location_assignment PIN_G20 -to d_toggle_counter[18]
+set_location_assignment PIN_G5 -to d_toggle_counter[19]
+set_location_assignment PIN_G3 -to d_toggle_counter[20]
+set_location_assignment PIN_G1 -to d_toggle_counter[21]
+set_location_assignment PIN_F25 -to d_toggle_counter[22]
+set_location_assignment PIN_F23 -to d_toggle_counter[23]
+set_location_assignment PIN_T19 -to d_toggle_counter[24]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6]
+set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state
+set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/download/vga_pll.qws b/bsp4/Designflow/ppr/download/vga_pll.qws
new file mode 100644 (file)
index 0000000..9bb7bd7
--- /dev/null
@@ -0,0 +1,11 @@
+
+
+[ProjectWorkspace]
+ptn_Child1=Frames
+
+[ProjectWorkspace.Frames]
+ptn_Child1=ChildFrames
+
+[ProjectWorkspace.Frames.ChildFrames]
+ptn_Child1=Document-0
+ptn_Child2=Document-1
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/download/vga_pll.sof b/bsp4/Designflow/ppr/download/vga_pll.sof
new file mode 100644 (file)
index 0000000..c16d2dc
Binary files /dev/null and b/bsp4/Designflow/ppr/download/vga_pll.sof differ
diff --git a/bsp4/Designflow/ppr/download/vga_pll.tan.rpt b/bsp4/Designflow/ppr/download/vga_pll.tan.rpt
new file mode 100644 (file)
index 0000000..b2ec87e
--- /dev/null
@@ -0,0 +1,928 @@
+Classic Timing Analyzer report for vga_pll
+Tue Nov  3 17:37:39 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Timing Analyzer Summary
+  3. Timing Analyzer Settings
+  4. Clock Settings Summary
+  5. Parallel Compilation
+  6. Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0'
+  7. Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0'
+  8. tsu
+  9. tco
+ 10. tpd
+ 11. th
+ 12. Timing Analyzer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Timing Analyzer Summary                                                                                                                                                                                                                                                                                                                                                  ;
++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+------------------------------------------------------------+------------------------------------------------------------+------------------------------------------+------------------------------------------+--------------+
+; Type                                                    ; Slack     ; Required Time                    ; Actual Time                      ; From                                                       ; To                                                         ; From Clock                               ; To Clock                                 ; Failed Paths ;
++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+------------------------------------------------------------+------------------------------------------------------------+------------------------------------------+------------------------------------------+--------------+
+; Worst-case tsu                                          ; N/A       ; None                             ; 10.814 ns                        ; reset                                                      ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig           ; --                                       ; board_clk                                ; 0            ;
+; Worst-case tco                                          ; N/A       ; None                             ; 12.054 ns                        ; vga:inst|dly_counter[0]                                    ; seven_seg_pin[7]                                           ; board_clk                                ; --                                       ; 0            ;
+; Worst-case tpd                                          ; N/A       ; None                             ; 16.190 ns                        ; reset                                                      ; seven_seg_pin[7]                                           ; --                                       ; --                                       ; 0            ;
+; Worst-case th                                           ; N/A       ; None                             ; -5.344 ns                        ; reset                                                      ; vga:inst|vga_driver:vga_driver_unit|h_sync                 ; --                                       ; board_clk                                ; 0            ;
+; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0' ; 29.952 ns ; 27.19 MHz ( period = 36.777 ns ) ; 146.52 MHz ( period = 6.825 ns ) ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5          ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0            ;
+; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0'  ; 0.736 ns  ; 27.19 MHz ( period = 36.777 ns ) ; N/A                              ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0            ;
+; Total number of failed paths                            ;           ;                                  ;                                  ;                                                            ;                                                            ;                                          ;                                          ; 0            ;
++---------------------------------------------------------+-----------+----------------------------------+----------------------------------+------------------------------------------------------------+------------------------------------------------------------+------------------------------------------+------------------------------------------+--------------+
+
+
++--------------------------------------------------------------------------------------------------------------------+
+; Timing Analyzer Settings                                                                                           ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+; Option                                                              ; Setting            ; From ; To ; Entity Name ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+; Device Name                                                         ; EP1S25F672C6       ;      ;    ;             ;
+; Timing Models                                                       ; Final              ;      ;    ;             ;
+; Default hold multicycle                                             ; Same as Multicycle ;      ;    ;             ;
+; Cut paths between unrelated clock domains                           ; On                 ;      ;    ;             ;
+; Cut off read during write signal paths                              ; On                 ;      ;    ;             ;
+; Cut off feedback from I/O pins                                      ; On                 ;      ;    ;             ;
+; Report Combined Fast/Slow Timing                                    ; Off                ;      ;    ;             ;
+; Ignore Clock Settings                                               ; Off                ;      ;    ;             ;
+; Analyze latches as synchronous elements                             ; On                 ;      ;    ;             ;
+; Enable Recovery/Removal analysis                                    ; Off                ;      ;    ;             ;
+; Enable Clock Latency                                                ; Off                ;      ;    ;             ;
+; Use TimeQuest Timing Analyzer                                       ; Off                ;      ;    ;             ;
+; Minimum Core Junction Temperature                                   ; 0                  ;      ;    ;             ;
+; Maximum Core Junction Temperature                                   ; 85                 ;      ;    ;             ;
+; Number of source nodes to report per destination node               ; 10                 ;      ;    ;             ;
+; Number of destination nodes to report                               ; 10                 ;      ;    ;             ;
+; Number of paths to report                                           ; 200                ;      ;    ;             ;
+; Report Minimum Timing Checks                                        ; Off                ;      ;    ;             ;
+; Use Fast Timing Models                                              ; Off                ;      ;    ;             ;
+; Report IO Paths Separately                                          ; Off                ;      ;    ;             ;
+; Perform Multicorner Analysis                                        ; Off                ;      ;    ;             ;
+; Reports the worst-case path for each clock domain and analysis      ; Off                ;      ;    ;             ;
+; Removes common clock path pessimism (CCPP) during slack computation ; Off                ;      ;    ;             ;
+; Output I/O Timing Endpoint                                          ; Near End           ;      ;    ;             ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Settings Summary                                                                                                                                                                                            ;
++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+
+; Clock Node Name                          ; Clock Setting Name ; Type       ; Fmax Requirement ; Early Latency ; Late Latency ; Based on  ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset    ; Phase offset ;
++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+
+; vpll:inst1|altpll:altpll_component|_clk0 ;                    ; PLL output ; 27.19 MHz        ; 0.000 ns      ; 0.000 ns     ; board_clk ; 31                    ; 38                  ; -1.030 ns ;              ;
+; board_clk                                ;                    ; User Pin   ; 33.33 MHz        ; 0.000 ns      ; 0.000 ns     ; --        ; N/A                   ; N/A                 ; N/A       ;              ;
++------------------------------------------+--------------------+------------+------------------+---------------+--------------+-----------+-----------------------+---------------------+-----------+--------------+
+
+
++------------------------------------------+
+; Parallel Compilation                     ;
++----------------------------+-------------+
+; Processors                 ; Number      ;
++----------------------------+-------------+
+; Number detected on machine ; 2           ;
+; Maximum allowed            ; 2           ;
+;                            ;             ;
+; Average used               ; 1.00        ;
+; Maximum used               ; 1           ;
+;                            ;             ;
+; Usage by Processor         ; % Time Used ;
+;     1 processor            ; 100.0%      ;
+;     2 processors           ;   0.0%      ;
++----------------------------+-------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0'                                                                                                                                                                                                                                                                                                                                            ;
++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+
+; Slack                                   ; Actual fmax (period)                                ; From                                                       ; To                                                          ; From Clock                               ; To Clock                                 ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+
+; 29.952 ns                               ; 146.52 MHz ( period = 6.825 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.629 ns                ;
+; 29.952 ns                               ; 146.52 MHz ( period = 6.825 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.629 ns                ;
+; 29.952 ns                               ; 146.52 MHz ( period = 6.825 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.629 ns                ;
+; 30.008 ns                               ; 147.73 MHz ( period = 6.769 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.587 ns                ;
+; 30.008 ns                               ; 147.73 MHz ( period = 6.769 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.587 ns                ;
+; 30.089 ns                               ; 149.52 MHz ( period = 6.688 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.492 ns                ;
+; 30.089 ns                               ; 149.52 MHz ( period = 6.688 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.492 ns                ;
+; 30.089 ns                               ; 149.52 MHz ( period = 6.688 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.492 ns                ;
+; 30.099 ns                               ; 149.75 MHz ( period = 6.678 ns )                    ; vga:inst|dly_counter[0]                                    ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig            ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.620 ns                 ; 6.521 ns                ;
+; 30.139 ns                               ; 150.65 MHz ( period = 6.638 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.456 ns                ;
+; 30.139 ns                               ; 150.65 MHz ( period = 6.638 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.456 ns                ;
+; 30.171 ns                               ; 151.38 MHz ( period = 6.606 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.424 ns                ;
+; 30.171 ns                               ; 151.38 MHz ( period = 6.606 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.424 ns                ;
+; 30.213 ns                               ; 152.35 MHz ( period = 6.564 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.368 ns                ;
+; 30.213 ns                               ; 152.35 MHz ( period = 6.564 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.368 ns                ;
+; 30.213 ns                               ; 152.35 MHz ( period = 6.564 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.368 ns                ;
+; 30.314 ns                               ; 154.73 MHz ( period = 6.463 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.281 ns                ;
+; 30.314 ns                               ; 154.73 MHz ( period = 6.463 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.281 ns                ;
+; 30.331 ns                               ; 155.13 MHz ( period = 6.446 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.264 ns                ;
+; 30.331 ns                               ; 155.13 MHz ( period = 6.446 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.264 ns                ;
+; 30.346 ns                               ; 155.50 MHz ( period = 6.431 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.235 ns                ;
+; 30.346 ns                               ; 155.50 MHz ( period = 6.431 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.235 ns                ;
+; 30.346 ns                               ; 155.50 MHz ( period = 6.431 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 6.235 ns                ;
+; 30.526 ns                               ; 159.97 MHz ( period = 6.251 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 6.065 ns                ;
+; 30.526 ns                               ; 159.97 MHz ( period = 6.251 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 6.065 ns                ;
+; 30.526 ns                               ; 159.97 MHz ( period = 6.251 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 6.065 ns                ;
+; 30.563 ns                               ; 160.93 MHz ( period = 6.214 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.032 ns                ;
+; 30.563 ns                               ; 160.93 MHz ( period = 6.214 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.032 ns                ;
+; 30.565 ns                               ; 160.98 MHz ( period = 6.212 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.030 ns                ;
+; 30.565 ns                               ; 160.98 MHz ( period = 6.212 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 6.030 ns                ;
+; 30.622 ns                               ; 162.47 MHz ( period = 6.155 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.959 ns                ;
+; 30.622 ns                               ; 162.47 MHz ( period = 6.155 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.959 ns                ;
+; 30.622 ns                               ; 162.47 MHz ( period = 6.155 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.959 ns                ;
+; 30.645 ns                               ; 163.08 MHz ( period = 6.132 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.942 ns                ;
+; 30.657 ns                               ; 163.40 MHz ( period = 6.120 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.934 ns                ;
+; 30.657 ns                               ; 163.40 MHz ( period = 6.120 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.934 ns                ;
+; 30.657 ns                               ; 163.40 MHz ( period = 6.120 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.934 ns                ;
+; 30.689 ns                               ; 164.26 MHz ( period = 6.088 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.902 ns                ;
+; 30.689 ns                               ; 164.26 MHz ( period = 6.088 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.902 ns                ;
+; 30.689 ns                               ; 164.26 MHz ( period = 6.088 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.902 ns                ;
+; 30.718 ns                               ; 165.04 MHz ( period = 6.059 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.873 ns                ;
+; 30.731 ns                               ; 165.40 MHz ( period = 6.046 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.864 ns                ;
+; 30.731 ns                               ; 165.40 MHz ( period = 6.046 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.864 ns                ;
+; 30.782 ns                               ; 166.81 MHz ( period = 5.995 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.805 ns                ;
+; 30.789 ns                               ; 167.00 MHz ( period = 5.988 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.792 ns                ;
+; 30.789 ns                               ; 167.00 MHz ( period = 5.988 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.792 ns                ;
+; 30.789 ns                               ; 167.00 MHz ( period = 5.988 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.792 ns                ;
+; 30.832 ns                               ; 168.21 MHz ( period = 5.945 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.759 ns                ;
+; 30.832 ns                               ; 168.21 MHz ( period = 5.945 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.759 ns                ;
+; 30.832 ns                               ; 168.21 MHz ( period = 5.945 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.759 ns                ;
+; 30.836 ns                               ; 168.32 MHz ( period = 5.941 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.745 ns                ;
+; 30.836 ns                               ; 168.32 MHz ( period = 5.941 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.745 ns                ;
+; 30.836 ns                               ; 168.32 MHz ( period = 5.941 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.745 ns                ;
+; 30.849 ns                               ; 168.69 MHz ( period = 5.928 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.742 ns                ;
+; 30.849 ns                               ; 168.69 MHz ( period = 5.928 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.742 ns                ;
+; 30.849 ns                               ; 168.69 MHz ( period = 5.928 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.742 ns                ;
+; 30.849 ns                               ; 168.69 MHz ( period = 5.928 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.742 ns                ;
+; 30.881 ns                               ; 169.61 MHz ( period = 5.896 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.710 ns                ;
+; 30.906 ns                               ; 170.33 MHz ( period = 5.871 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.681 ns                ;
+; 30.937 ns                               ; 171.23 MHz ( period = 5.840 ns )                    ; vga:inst|dly_counter[1]                                    ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig            ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.614 ns                 ; 5.677 ns                ;
+; 30.938 ns                               ; 171.26 MHz ( period = 5.839 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.657 ns                ;
+; 30.938 ns                               ; 171.26 MHz ( period = 5.839 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.657 ns                ;
+; 30.963 ns                               ; 172.00 MHz ( period = 5.814 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.632 ns                ;
+; 30.963 ns                               ; 172.00 MHz ( period = 5.814 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.595 ns                 ; 5.632 ns                ;
+; 30.977 ns                               ; 172.41 MHz ( period = 5.800 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.604 ns                ;
+; 30.977 ns                               ; 172.41 MHz ( period = 5.800 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.604 ns                ;
+; 30.977 ns                               ; 172.41 MHz ( period = 5.800 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.604 ns                ;
+; 31.001 ns                               ; 173.13 MHz ( period = 5.776 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.586 ns                ;
+; 31.022 ns                               ; 173.76 MHz ( period = 5.755 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.605 ns                ;
+; 31.024 ns                               ; 173.82 MHz ( period = 5.753 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.567 ns                ;
+; 31.039 ns                               ; 174.28 MHz ( period = 5.738 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.548 ns                ;
+; 31.041 ns                               ; 174.34 MHz ( period = 5.736 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.550 ns                ;
+; 31.074 ns                               ; 175.35 MHz ( period = 5.703 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.507 ns                ;
+; 31.074 ns                               ; 175.35 MHz ( period = 5.703 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.507 ns                ;
+; 31.074 ns                               ; 175.35 MHz ( period = 5.703 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.507 ns                ;
+; 31.081 ns                               ; 175.56 MHz ( period = 5.696 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.510 ns                ;
+; 31.081 ns                               ; 175.56 MHz ( period = 5.696 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.510 ns                ;
+; 31.081 ns                               ; 175.56 MHz ( period = 5.696 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.510 ns                ;
+; 31.083 ns                               ; 175.62 MHz ( period = 5.694 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.508 ns                ;
+; 31.083 ns                               ; 175.62 MHz ( period = 5.694 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.508 ns                ;
+; 31.083 ns                               ; 175.62 MHz ( period = 5.694 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.508 ns                ;
+; 31.113 ns                               ; 176.55 MHz ( period = 5.664 ns )                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0     ; vga:inst|vga_control:vga_control_unit|b                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.578 ns                 ; 5.465 ns                ;
+; 31.121 ns                               ; 176.80 MHz ( period = 5.656 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.506 ns                ;
+; 31.138 ns                               ; 177.34 MHz ( period = 5.639 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.449 ns                ;
+; 31.145 ns                               ; 177.56 MHz ( period = 5.632 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.482 ns                ;
+; 31.249 ns                               ; 180.90 MHz ( period = 5.528 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.342 ns                ;
+; 31.249 ns                               ; 180.90 MHz ( period = 5.528 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.342 ns                ;
+; 31.249 ns                               ; 180.90 MHz ( period = 5.528 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.342 ns                ;
+; 31.252 ns                               ; 181.00 MHz ( period = 5.525 ns )                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2     ; vga:inst|vga_control:vga_control_unit|b                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.578 ns                 ; 5.326 ns                ;
+; 31.253 ns                               ; 181.03 MHz ( period = 5.524 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.374 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.255 ns                               ; 181.09 MHz ( period = 5.522 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.336 ns                ;
+; 31.262 ns                               ; 181.32 MHz ( period = 5.515 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.325 ns                ;
+; 31.267 ns                               ; 181.49 MHz ( period = 5.510 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.360 ns                ;
+; 31.273 ns                               ; 181.69 MHz ( period = 5.504 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.318 ns                ;
+; 31.275 ns                               ; 181.75 MHz ( period = 5.502 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.316 ns                ;
+; 31.298 ns                               ; 182.52 MHz ( period = 5.479 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.283 ns                ;
+; 31.298 ns                               ; 182.52 MHz ( period = 5.479 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.283 ns                ;
+; 31.298 ns                               ; 182.52 MHz ( period = 5.479 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 5.283 ns                ;
+; 31.315 ns                               ; 183.08 MHz ( period = 5.462 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.272 ns                ;
+; 31.324 ns                               ; 183.39 MHz ( period = 5.453 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.303 ns                ;
+; 31.328 ns                               ; 183.52 MHz ( period = 5.449 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.299 ns                ;
+; 31.395 ns                               ; 185.80 MHz ( period = 5.382 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.192 ns                ;
+; 31.441 ns                               ; 187.41 MHz ( period = 5.336 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.150 ns                ;
+; 31.453 ns                               ; 187.83 MHz ( period = 5.324 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.174 ns                ;
+; 31.456 ns                               ; 187.93 MHz ( period = 5.321 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.135 ns                ;
+; 31.456 ns                               ; 187.93 MHz ( period = 5.321 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.135 ns                ;
+; 31.456 ns                               ; 187.93 MHz ( period = 5.321 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.135 ns                ;
+; 31.481 ns                               ; 188.82 MHz ( period = 5.296 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.110 ns                ;
+; 31.481 ns                               ; 188.82 MHz ( period = 5.296 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.110 ns                ;
+; 31.481 ns                               ; 188.82 MHz ( period = 5.296 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.110 ns                ;
+; 31.482 ns                               ; 188.86 MHz ( period = 5.295 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.105 ns                ;
+; 31.529 ns                               ; 190.55 MHz ( period = 5.248 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 5.058 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.556 ns                               ; 191.53 MHz ( period = 5.221 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.035 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.559 ns                               ; 191.64 MHz ( period = 5.218 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.032 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.591 ns                               ; 192.83 MHz ( period = 5.186 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 5.000 ns                ;
+; 31.627 ns                               ; 194.17 MHz ( period = 5.150 ns )                    ; vga:inst|dly_counter[1]                                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 5.000 ns                ;
+; 31.648 ns                               ; 194.97 MHz ( period = 5.129 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.943 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.667 ns                               ; 195.69 MHz ( period = 5.110 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0        ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.924 ns                ;
+; 31.670 ns                               ; 195.81 MHz ( period = 5.107 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 4.917 ns                ;
+; 31.671 ns                               ; 195.85 MHz ( period = 5.106 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 4.916 ns                ;
+; 31.673 ns                               ; 195.92 MHz ( period = 5.104 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1        ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.918 ns                ;
+; 31.679 ns                               ; 196.16 MHz ( period = 5.098 ns )                    ; vga:inst|dly_counter[1]                                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 4.948 ns                ;
+; 31.679 ns                               ; 196.16 MHz ( period = 5.098 ns )                    ; vga:inst|dly_counter[1]                                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 4.948 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.699 ns                               ; 196.93 MHz ( period = 5.078 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.892 ns                ;
+; 31.728 ns                               ; 198.06 MHz ( period = 5.049 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4          ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.863 ns                ;
+; 31.728 ns                               ; 198.06 MHz ( period = 5.049 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4          ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.863 ns                ;
+; 31.728 ns                               ; 198.06 MHz ( period = 5.049 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4          ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.863 ns                ;
+; 31.743 ns                               ; 198.65 MHz ( period = 5.034 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.848 ns                ;
+; 31.761 ns                               ; 199.36 MHz ( period = 5.016 ns )                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4     ; vga:inst|vga_control:vga_control_unit|b                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.578 ns                 ; 4.817 ns                ;
+; 31.767 ns                               ; 199.60 MHz ( period = 5.010 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 4.820 ns                ;
+; 31.769 ns                               ; 199.68 MHz ( period = 5.008 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.627 ns                 ; 4.858 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.796 ns                               ; 200.76 MHz ( period = 4.981 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.795 ns                ;
+; 31.830 ns                               ; 202.14 MHz ( period = 4.947 ns )                    ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8     ; vga:inst|vga_control:vga_control_unit|b                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.578 ns                 ; 4.748 ns                ;
+; 31.836 ns                               ; 202.39 MHz ( period = 4.941 ns )                    ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6          ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.581 ns                 ; 4.745 ns                ;
+; 31.838 ns                               ; 202.47 MHz ( period = 4.939 ns )                    ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2        ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.587 ns                 ; 4.749 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; 31.860 ns                               ; 203.38 MHz ( period = 4.917 ns )                    ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 36.777 ns                   ; 36.591 ns                 ; 4.731 ns                ;
+; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                            ;                                                             ;                                          ;                                          ;                             ;                           ;                         ;
++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+-----------------------------+---------------------------+-------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0'                                                                                                                                                                                                                                                                                         ;
++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+
+; Minimum Slack                           ; From                                                        ; To                                                          ; From Clock                               ; To Clock                                 ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ;
++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+
+; 0.736 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.660 ns                 ;
+; 0.737 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.661 ns                 ;
+; 0.743 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.667 ns                 ;
+; 0.743 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.667 ns                 ;
+; 0.745 ns                                ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0    ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0    ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.669 ns                 ;
+; 0.745 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.669 ns                 ;
+; 0.768 ns                                ; vga:inst|dly_counter[1]                                     ; vga:inst|dly_counter[1]                                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.692 ns                 ;
+; 0.777 ns                                ; vga:inst|dly_counter[1]                                     ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.701 ns                 ;
+; 0.900 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig            ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.824 ns                 ;
+; 0.900 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.824 ns                 ;
+; 0.971 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.895 ns                 ;
+; 0.981 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.905 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.984 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.908 ns                 ;
+; 0.985 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.909 ns                 ;
+; 0.985 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.909 ns                 ;
+; 0.985 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.909 ns                 ;
+; 0.985 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.909 ns                 ;
+; 0.987 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.911 ns                 ;
+; 0.987 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.911 ns                 ;
+; 0.988 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.912 ns                 ;
+; 0.988 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.912 ns                 ;
+; 1.006 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 0.930 ns                 ;
+; 1.095 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.019 ns                 ;
+; 1.095 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.019 ns                 ;
+; 1.095 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.019 ns                 ;
+; 1.096 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.020 ns                 ;
+; 1.096 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.020 ns                 ;
+; 1.096 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.020 ns                 ;
+; 1.097 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.021 ns                 ;
+; 1.098 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.022 ns                 ;
+; 1.102 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.026 ns                 ;
+; 1.103 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.027 ns                 ;
+; 1.103 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.027 ns                 ;
+; 1.103 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.027 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.104 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.028 ns                 ;
+; 1.106 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_sig            ; vga:inst|vga_control:vga_control_unit|toggle_sig            ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.030 ns                 ;
+; 1.122 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.046 ns                 ;
+; 1.125 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.049 ns                 ;
+; 1.162 ns                                ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9    ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9    ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.086 ns                 ;
+; 1.168 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.092 ns                 ;
+; 1.174 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.098 ns                 ;
+; 1.180 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.104 ns                 ;
+; 1.193 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.117 ns                 ;
+; 1.244 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.168 ns                 ;
+; 1.275 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.199 ns                 ;
+; 1.283 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1           ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.070 ns                  ; 1.213 ns                 ;
+; 1.285 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.209 ns                 ;
+; 1.304 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.080 ns                  ; 1.224 ns                 ;
+; 1.305 ns                                ; vga:inst|dly_counter[1]                                     ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.229 ns                 ;
+; 1.308 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.232 ns                 ;
+; 1.329 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.253 ns                 ;
+; 1.331 ns                                ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.255 ns                 ;
+; 1.340 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.264 ns                 ;
+; 1.349 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.273 ns                 ;
+; 1.357 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.281 ns                 ;
+; 1.407 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.331 ns                 ;
+; 1.410 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.334 ns                 ;
+; 1.410 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.334 ns                 ;
+; 1.410 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.334 ns                 ;
+; 1.410 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.334 ns                 ;
+; 1.410 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.334 ns                 ;
+; 1.411 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.335 ns                 ;
+; 1.411 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.335 ns                 ;
+; 1.411 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.335 ns                 ;
+; 1.411 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.335 ns                 ;
+; 1.411 ns                                ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1    ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1    ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.335 ns                 ;
+; 1.413 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.337 ns                 ;
+; 1.413 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.337 ns                 ;
+; 1.414 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.338 ns                 ;
+; 1.414 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.338 ns                 ;
+; 1.417 ns                                ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.341 ns                 ;
+; 1.426 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.072 ns                  ; 1.354 ns                 ;
+; 1.446 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.370 ns                 ;
+; 1.467 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.391 ns                 ;
+; 1.468 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.392 ns                 ;
+; 1.470 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.394 ns                 ;
+; 1.470 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.394 ns                 ;
+; 1.470 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.394 ns                 ;
+; 1.470 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.394 ns                 ;
+; 1.470 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.394 ns                 ;
+; 1.471 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.395 ns                 ;
+; 1.471 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.395 ns                 ;
+; 1.471 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.395 ns                 ;
+; 1.471 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.395 ns                 ;
+; 1.473 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.397 ns                 ;
+; 1.473 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.397 ns                 ;
+; 1.474 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.398 ns                 ;
+; 1.474 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.398 ns                 ;
+; 1.505 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.429 ns                 ;
+; 1.513 ns                                ; vga:inst|dly_counter[0]                                     ; vga:inst|dly_counter[0]                                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.437 ns                 ;
+; 1.515 ns                                ; vga:inst|dly_counter[0]                                     ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.439 ns                 ;
+; 1.519 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.086 ns                  ; 1.433 ns                 ;
+; 1.523 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.086 ns                  ; 1.437 ns                 ;
+; 1.526 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.450 ns                 ;
+; 1.526 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.450 ns                 ;
+; 1.526 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.450 ns                 ;
+; 1.527 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.451 ns                 ;
+; 1.527 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.451 ns                 ;
+; 1.527 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.451 ns                 ;
+; 1.527 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.451 ns                 ;
+; 1.528 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.452 ns                 ;
+; 1.529 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.453 ns                 ;
+; 1.530 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.454 ns                 ;
+; 1.530 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.454 ns                 ;
+; 1.530 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.454 ns                 ;
+; 1.530 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.454 ns                 ;
+; 1.530 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.454 ns                 ;
+; 1.531 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.455 ns                 ;
+; 1.531 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.455 ns                 ;
+; 1.531 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.455 ns                 ;
+; 1.531 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.455 ns                 ;
+; 1.533 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.457 ns                 ;
+; 1.533 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.457 ns                 ;
+; 1.534 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.458 ns                 ;
+; 1.534 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.458 ns                 ;
+; 1.534 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.458 ns                 ;
+; 1.534 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.458 ns                 ;
+; 1.534 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.458 ns                 ;
+; 1.535 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.459 ns                 ;
+; 1.535 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.459 ns                 ;
+; 1.535 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.459 ns                 ;
+; 1.548 ns                                ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8    ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9    ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.472 ns                 ;
+; 1.558 ns                                ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig            ; vga:inst|vga_control:vga_control_unit|b                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.482 ns                 ;
+; 1.561 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.485 ns                 ;
+; 1.568 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.492 ns                 ;
+; 1.572 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.496 ns                 ;
+; 1.576 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.500 ns                 ;
+; 1.582 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6           ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.082 ns                  ; 1.500 ns                 ;
+; 1.586 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.510 ns                 ;
+; 1.586 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.510 ns                 ;
+; 1.586 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6           ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.122 ns                  ; 1.464 ns                 ;
+; 1.586 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.510 ns                 ;
+; 1.588 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.512 ns                 ;
+; 1.590 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.514 ns                 ;
+; 1.591 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.515 ns                 ;
+; 1.591 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.515 ns                 ;
+; 1.591 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.515 ns                 ;
+; 1.591 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.515 ns                 ;
+; 1.592 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.516 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.594 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.518 ns                 ;
+; 1.600 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.524 ns                 ;
+; 1.600 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.524 ns                 ;
+; 1.615 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.539 ns                 ;
+; 1.618 ns                                ; vga:inst|dly_counter[0]                                     ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.070 ns                  ; 1.548 ns                 ;
+; 1.619 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.543 ns                 ;
+; 1.623 ns                                ; vga:inst|dly_counter[0]                                     ; vga:inst|dly_counter[1]                                     ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.070 ns                  ; 1.553 ns                 ;
+; 1.651 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.575 ns                 ;
+; 1.654 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.578 ns                 ;
+; 1.655 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.579 ns                 ;
+; 1.658 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.582 ns                 ;
+; 1.660 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.584 ns                 ;
+; 1.663 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.587 ns                 ;
+; 1.670 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.594 ns                 ;
+; 1.675 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.599 ns                 ;
+; 1.676 ns                                ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6      ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.600 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.679 ns                                ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.603 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; 1.690 ns                                ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; vpll:inst1|altpll:altpll_component|_clk0 ; vpll:inst1|altpll:altpll_component|_clk0 ; 0.000 ns                   ; -0.076 ns                  ; 1.614 ns                 ;
+; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu)         ;                                                             ;                                          ;                                          ;                            ;                            ;                          ;
++-----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+------------------------------------------+------------------------------------------+----------------------------+----------------------------+--------------------------+
+
+
++------------------------------------------------------------------------------------------------------------------+
+; tsu                                                                                                              ;
++-------+--------------+------------+-------+----------------------------------------------------------+-----------+
+; Slack ; Required tsu ; Actual tsu ; From  ; To                                                       ; To Clock  ;
++-------+--------------+------------+-------+----------------------------------------------------------+-----------+
+; N/A   ; None         ; 10.814 ns  ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig         ; board_clk ;
+; N/A   ; None         ; 8.831 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1   ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7      ; board_clk ;
+; N/A   ; None         ; 8.825 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6      ; board_clk ;
+; N/A   ; None         ; 8.787 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ;
+; N/A   ; None         ; 8.787 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ;
+; N/A   ; None         ; 8.779 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7   ; board_clk ;
+; N/A   ; None         ; 8.779 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3   ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ;
+; N/A   ; None         ; 8.751 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ;
+; N/A   ; None         ; 8.628 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1        ; board_clk ;
+; N/A   ; None         ; 8.628 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4        ; board_clk ;
+; N/A   ; None         ; 8.611 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4        ; board_clk ;
+; N/A   ; None         ; 8.611 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2        ; board_clk ;
+; N/A   ; None         ; 8.611 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5        ; board_clk ;
+; N/A   ; None         ; 8.587 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig         ; board_clk ;
+; N/A   ; None         ; 8.531 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8   ; board_clk ;
+; N/A   ; None         ; 8.531 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6   ; board_clk ;
+; N/A   ; None         ; 8.531 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4   ; board_clk ;
+; N/A   ; None         ; 8.531 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2   ; board_clk ;
+; N/A   ; None         ; 8.531 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0   ; board_clk ;
+; N/A   ; None         ; 8.381 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ;
+; N/A   ; None         ; 8.381 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ;
+; N/A   ; None         ; 8.288 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5   ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1      ; board_clk ;
+; N/A   ; None         ; 8.135 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0      ; board_clk ;
+; N/A   ; None         ; 8.110 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0        ; board_clk ;
+; N/A   ; None         ; 8.110 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2        ; board_clk ;
+; N/A   ; None         ; 8.110 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5        ; board_clk ;
+; N/A   ; None         ; 7.918 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3        ; board_clk ;
+; N/A   ; None         ; 7.918 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3        ; board_clk ;
+; N/A   ; None         ; 7.885 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1        ; board_clk ;
+; N/A   ; None         ; 7.562 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0        ; board_clk ;
+; N/A   ; None         ; 7.051 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6        ; board_clk ;
+; N/A   ; None         ; 5.870 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6        ; board_clk ;
+; N/A   ; None         ; 5.863 ns   ; reset ; vga:inst|dly_counter[1]                                  ; board_clk ;
+; N/A   ; None         ; 5.543 ns   ; reset ; vga:inst|dly_counter[0]                                  ; board_clk ;
+; N/A   ; None         ; 5.542 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync               ; board_clk ;
+; N/A   ; None         ; 5.454 ns   ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync               ; board_clk ;
++-------+--------------+------------+-------+----------------------------------------------------------+-----------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------+
+; tco                                                                                                                                 ;
++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+
+; Slack ; Required tco ; Actual tco ; From                                                        ; To                   ; From Clock ;
++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+
+; N/A   ; None         ; 12.054 ns  ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[7]     ; board_clk  ;
+; N/A   ; None         ; 12.049 ns  ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[12]    ; board_clk  ;
+; N/A   ; None         ; 11.216 ns  ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[7]     ; board_clk  ;
+; N/A   ; None         ; 11.211 ns  ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[12]    ; board_clk  ;
+; N/A   ; None         ; 10.647 ns  ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[2]     ; board_clk  ;
+; N/A   ; None         ; 9.841 ns   ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[1]     ; board_clk  ;
+; N/A   ; None         ; 9.841 ns   ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[11]    ; board_clk  ;
+; N/A   ; None         ; 9.835 ns   ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[10]    ; board_clk  ;
+; N/A   ; None         ; 9.809 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[2]     ; board_clk  ;
+; N/A   ; None         ; 9.681 ns   ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[9]     ; board_clk  ;
+; N/A   ; None         ; 9.661 ns   ; vga:inst|dly_counter[0]                                     ; seven_seg_pin[8]     ; board_clk  ;
+; N/A   ; None         ; 9.650 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8      ; d_line_counter[8]    ; board_clk  ;
+; N/A   ; None         ; 9.149 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2      ; d_line_counter[2]    ; board_clk  ;
+; N/A   ; None         ; 9.141 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3           ; d_vsync_state[3]     ; board_clk  ;
+; N/A   ; None         ; 9.004 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; d_hsync_state[0]     ; board_clk  ;
+; N/A   ; None         ; 9.003 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[1]     ; board_clk  ;
+; N/A   ; None         ; 9.003 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[11]    ; board_clk  ;
+; N/A   ; None         ; 8.997 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[10]    ; board_clk  ;
+; N/A   ; None         ; 8.872 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3           ; d_hsync_state[3]     ; board_clk  ;
+; N/A   ; None         ; 8.843 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[9]     ; board_clk  ;
+; N/A   ; None         ; 8.824 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; d_hsync_state[1]     ; board_clk  ;
+; N/A   ; None         ; 8.823 ns   ; vga:inst|dly_counter[1]                                     ; seven_seg_pin[8]     ; board_clk  ;
+; N/A   ; None         ; 8.645 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4           ; d_vsync_state[4]     ; board_clk  ;
+; N/A   ; None         ; 8.605 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6           ; d_vsync_state[6]     ; board_clk  ;
+; N/A   ; None         ; 8.419 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5    ; d_column_counter[5]  ; board_clk  ;
+; N/A   ; None         ; 8.386 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7         ; d_hsync_counter[7]   ; board_clk  ;
+; N/A   ; None         ; 8.209 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0           ; d_set_hsync_counter  ; board_clk  ;
+; N/A   ; None         ; 8.208 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7      ; d_line_counter[7]    ; board_clk  ;
+; N/A   ; None         ; 8.189 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2           ; d_hsync_state[2]     ; board_clk  ;
+; N/A   ; None         ; 8.171 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1           ; d_set_column_counter ; board_clk  ;
+; N/A   ; None         ; 8.168 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7    ; d_column_counter[7]  ; board_clk  ;
+; N/A   ; None         ; 8.079 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9         ; d_hsync_counter[9]   ; board_clk  ;
+; N/A   ; None         ; 8.063 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1         ; d_hsync_counter[1]   ; board_clk  ;
+; N/A   ; None         ; 7.944 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0    ; d_column_counter[0]  ; board_clk  ;
+; N/A   ; None         ; 7.929 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5           ; d_vsync_state[5]     ; board_clk  ;
+; N/A   ; None         ; 7.920 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6           ; d_hsync_state[6]     ; board_clk  ;
+; N/A   ; None         ; 7.917 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8         ; d_hsync_counter[8]   ; board_clk  ;
+; N/A   ; None         ; 7.905 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9    ; d_column_counter[9]  ; board_clk  ;
+; N/A   ; None         ; 7.897 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6    ; d_column_counter[6]  ; board_clk  ;
+; N/A   ; None         ; 7.883 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7         ; d_vsync_counter[7]   ; board_clk  ;
+; N/A   ; None         ; 7.727 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4    ; d_column_counter[4]  ; board_clk  ;
+; N/A   ; None         ; 7.715 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2           ; d_vsync_state[2]     ; board_clk  ;
+; N/A   ; None         ; 7.697 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1    ; d_column_counter[1]  ; board_clk  ;
+; N/A   ; None         ; 7.694 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1           ; d_vsync_state[1]     ; board_clk  ;
+; N/A   ; None         ; 7.637 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4         ; d_vsync_counter[4]   ; board_clk  ;
+; N/A   ; None         ; 7.551 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; d_set_vsync_counter  ; board_clk  ;
+; N/A   ; None         ; 7.535 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2    ; d_column_counter[2]  ; board_clk  ;
+; N/A   ; None         ; 7.472 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3    ; d_column_counter[3]  ; board_clk  ;
+; N/A   ; None         ; 7.427 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0           ; d_vsync_state[0]     ; board_clk  ;
+; N/A   ; None         ; 7.395 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6           ; d_set_hsync_counter  ; board_clk  ;
+; N/A   ; None         ; 7.309 ns   ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8    ; d_column_counter[8]  ; board_clk  ;
+; N/A   ; None         ; 7.228 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5         ; d_hsync_counter[5]   ; board_clk  ;
+; N/A   ; None         ; 7.218 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9         ; d_vsync_counter[9]   ; board_clk  ;
+; N/A   ; None         ; 7.211 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2         ; d_hsync_counter[2]   ; board_clk  ;
+; N/A   ; None         ; 7.174 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0         ; d_hsync_counter[0]   ; board_clk  ;
+; N/A   ; None         ; 7.170 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4         ; d_hsync_counter[4]   ; board_clk  ;
+; N/A   ; None         ; 7.150 ns   ; vga:inst|vga_control:vga_control_unit|b                     ; b0_pin               ; board_clk  ;
+; N/A   ; None         ; 7.112 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0         ; d_vsync_counter[0]   ; board_clk  ;
+; N/A   ; None         ; 7.112 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1         ; d_vsync_counter[1]   ; board_clk  ;
+; N/A   ; None         ; 7.096 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3         ; d_vsync_counter[3]   ; board_clk  ;
+; N/A   ; None         ; 7.083 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6         ; d_vsync_counter[6]   ; board_clk  ;
+; N/A   ; None         ; 7.049 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_4  ; d_toggle_counter[4]  ; board_clk  ;
+; N/A   ; None         ; 7.040 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5           ; d_hsync_state[5]     ; board_clk  ;
+; N/A   ; None         ; 6.972 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5      ; d_line_counter[5]    ; board_clk  ;
+; N/A   ; None         ; 6.969 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1      ; d_line_counter[1]    ; board_clk  ;
+; N/A   ; None         ; 6.946 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8         ; d_vsync_counter[8]   ; board_clk  ;
+; N/A   ; None         ; 6.941 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3      ; d_line_counter[3]    ; board_clk  ;
+; N/A   ; None         ; 6.924 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6           ; d_set_vsync_counter  ; board_clk  ;
+; N/A   ; None         ; 6.920 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0      ; d_line_counter[0]    ; board_clk  ;
+; N/A   ; None         ; 6.882 ns   ; vga:inst|vga_control:vga_control_unit|b                     ; b1_pin               ; board_clk  ;
+; N/A   ; None         ; 6.843 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4           ; d_hsync_state[4]     ; board_clk  ;
+; N/A   ; None         ; 6.787 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6         ; d_hsync_counter[6]   ; board_clk  ;
+; N/A   ; None         ; 6.782 ns   ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3         ; d_hsync_counter[3]   ; board_clk  ;
+; N/A   ; None         ; 6.767 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2         ; d_vsync_counter[2]   ; board_clk  ;
+; N/A   ; None         ; 6.758 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5         ; d_vsync_counter[5]   ; board_clk  ;
+; N/A   ; None         ; 6.746 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6      ; d_line_counter[6]    ; board_clk  ;
+; N/A   ; None         ; 6.737 ns   ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4      ; d_line_counter[4]    ; board_clk  ;
+; N/A   ; None         ; 6.559 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_7  ; d_toggle_counter[7]  ; board_clk  ;
+; N/A   ; None         ; 6.540 ns   ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; d_hsync              ; board_clk  ;
+; N/A   ; None         ; 6.405 ns   ; vga:inst|vga_control:vga_control_unit|toggle_sig            ; d_toggle             ; board_clk  ;
+; N/A   ; None         ; 6.385 ns   ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1           ; d_set_line_counter   ; board_clk  ;
+; N/A   ; None         ; 6.383 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_19 ; d_toggle_counter[19] ; board_clk  ;
+; N/A   ; None         ; 6.249 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_12 ; d_toggle_counter[12] ; board_clk  ;
+; N/A   ; None         ; 6.194 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_3  ; d_toggle_counter[3]  ; board_clk  ;
+; N/A   ; None         ; 6.166 ns   ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; d_vsync              ; board_clk  ;
+; N/A   ; None         ; 6.140 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_16 ; d_toggle_counter[16] ; board_clk  ;
+; N/A   ; None         ; 6.123 ns   ; vga:inst|vga_control:vga_control_unit|b                     ; d_b                  ; board_clk  ;
+; N/A   ; None         ; 6.119 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_17 ; d_toggle_counter[17] ; board_clk  ;
+; N/A   ; None         ; 6.103 ns   ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig            ; d_h_enable           ; board_clk  ;
+; N/A   ; None         ; 6.062 ns   ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig            ; d_v_enable           ; board_clk  ;
+; N/A   ; None         ; 6.054 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0  ; d_toggle_counter[0]  ; board_clk  ;
+; N/A   ; None         ; 6.031 ns   ; vga:inst|vga_driver:vga_driver_unit|h_sync                  ; hsync_pin            ; board_clk  ;
+; N/A   ; None         ; 6.016 ns   ; vga:inst|vga_driver:vga_driver_unit|v_sync                  ; vsync_pin            ; board_clk  ;
+; N/A   ; None         ; 5.992 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_14 ; d_toggle_counter[14] ; board_clk  ;
+; N/A   ; None         ; 5.892 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_15 ; d_toggle_counter[15] ; board_clk  ;
+; N/A   ; None         ; 5.883 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_11 ; d_toggle_counter[11] ; board_clk  ;
+; N/A   ; None         ; 5.849 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_6  ; d_toggle_counter[6]  ; board_clk  ;
+; N/A   ; None         ; 5.768 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_10 ; d_toggle_counter[10] ; board_clk  ;
+; N/A   ; None         ; 5.674 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_18 ; d_toggle_counter[18] ; board_clk  ;
+; N/A   ; None         ; 5.632 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_1  ; d_toggle_counter[1]  ; board_clk  ;
+; N/A   ; None         ; 5.373 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_9  ; d_toggle_counter[9]  ; board_clk  ;
+; N/A   ; None         ; 5.369 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_13 ; d_toggle_counter[13] ; board_clk  ;
+; N/A   ; None         ; 5.344 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_8  ; d_toggle_counter[8]  ; board_clk  ;
+; N/A   ; None         ; 5.105 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_2  ; d_toggle_counter[2]  ; board_clk  ;
+; N/A   ; None         ; 5.090 ns   ; vga:inst|vga_control:vga_control_unit|toggle_counter_sig_5  ; d_toggle_counter[5]  ; board_clk  ;
+; N/A   ; None         ; 3.704 ns   ; vpll:inst1|altpll:altpll_component|_clk0                    ; d_state_clk          ; board_clk  ;
++-------+--------------+------------+-------------------------------------------------------------+----------------------+------------+
+
+
++-------------------------------------------------------------------------+
+; tpd                                                                     ;
++-------+-------------------+-----------------+-------+-------------------+
+; Slack ; Required P2P Time ; Actual P2P Time ; From  ; To                ;
++-------+-------------------+-----------------+-------+-------------------+
+; N/A   ; None              ; 16.190 ns       ; reset ; seven_seg_pin[7]  ;
+; N/A   ; None              ; 16.185 ns       ; reset ; seven_seg_pin[12] ;
+; N/A   ; None              ; 14.783 ns       ; reset ; seven_seg_pin[2]  ;
+; N/A   ; None              ; 13.977 ns       ; reset ; seven_seg_pin[1]  ;
+; N/A   ; None              ; 13.977 ns       ; reset ; seven_seg_pin[11] ;
+; N/A   ; None              ; 13.971 ns       ; reset ; seven_seg_pin[10] ;
+; N/A   ; None              ; 13.817 ns       ; reset ; seven_seg_pin[9]  ;
+; N/A   ; None              ; 13.797 ns       ; reset ; seven_seg_pin[8]  ;
++-------+-------------------+-----------------+-------+-------------------+
+
+
++------------------------------------------------------------------------------------------------------------------------+
+; th                                                                                                                     ;
++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+
+; Minimum Slack ; Required th ; Actual th ; From  ; To                                                       ; To Clock  ;
++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+
+; N/A           ; None        ; -5.344 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_sync               ; board_clk ;
+; N/A           ; None        ; -5.432 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_sync               ; board_clk ;
+; N/A           ; None        ; -5.433 ns ; reset ; vga:inst|dly_counter[0]                                  ; board_clk ;
+; N/A           ; None        ; -5.753 ns ; reset ; vga:inst|dly_counter[1]                                  ; board_clk ;
+; N/A           ; None        ; -5.760 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_6        ; board_clk ;
+; N/A           ; None        ; -6.285 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_2      ; board_clk ;
+; N/A           ; None        ; -6.286 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_1      ; board_clk ;
+; N/A           ; None        ; -6.288 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_3      ; board_clk ;
+; N/A           ; None        ; -6.288 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_0      ; board_clk ;
+; N/A           ; None        ; -6.291 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_4      ; board_clk ;
+; N/A           ; None        ; -6.293 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_9      ; board_clk ;
+; N/A           ; None        ; -6.295 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_8      ; board_clk ;
+; N/A           ; None        ; -6.295 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_7      ; board_clk ;
+; N/A           ; None        ; -6.296 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_6      ; board_clk ;
+; N/A           ; None        ; -6.297 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_counter_5      ; board_clk ;
+; N/A           ; None        ; -6.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_7      ; board_clk ;
+; N/A           ; None        ; -6.642 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_5      ; board_clk ;
+; N/A           ; None        ; -6.642 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_6      ; board_clk ;
+; N/A           ; None        ; -6.644 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_8      ; board_clk ;
+; N/A           ; None        ; -6.646 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_9      ; board_clk ;
+; N/A           ; None        ; -6.648 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_4      ; board_clk ;
+; N/A           ; None        ; -6.648 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_3      ; board_clk ;
+; N/A           ; None        ; -6.649 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_2      ; board_clk ;
+; N/A           ; None        ; -6.650 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_1      ; board_clk ;
+; N/A           ; None        ; -6.650 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_counter_0      ; board_clk ;
+; N/A           ; None        ; -6.941 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_6        ; board_clk ;
+; N/A           ; None        ; -7.215 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_0        ; board_clk ;
+; N/A           ; None        ; -7.389 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_0        ; board_clk ;
+; N/A           ; None        ; -7.389 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_2        ; board_clk ;
+; N/A           ; None        ; -7.389 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_5        ; board_clk ;
+; N/A           ; None        ; -7.400 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_3        ; board_clk ;
+; N/A           ; None        ; -7.413 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_3        ; board_clk ;
+; N/A           ; None        ; -7.775 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_1        ; board_clk ;
+; N/A           ; None        ; -7.778 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|v_enable_sig         ; board_clk ;
+; N/A           ; None        ; -7.781 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_1        ; board_clk ;
+; N/A           ; None        ; -7.781 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|hsync_state_4        ; board_clk ;
+; N/A           ; None        ; -8.178 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_5   ; board_clk ;
+; N/A           ; None        ; -8.271 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_8 ; board_clk ;
+; N/A           ; None        ; -8.271 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_7 ; board_clk ;
+; N/A           ; None        ; -8.323 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_4        ; board_clk ;
+; N/A           ; None        ; -8.323 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_2        ; board_clk ;
+; N/A           ; None        ; -8.323 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|vsync_state_5        ; board_clk ;
+; N/A           ; None        ; -8.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_8   ; board_clk ;
+; N/A           ; None        ; -8.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_6   ; board_clk ;
+; N/A           ; None        ; -8.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_4   ; board_clk ;
+; N/A           ; None        ; -8.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_2   ; board_clk ;
+; N/A           ; None        ; -8.421 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_0   ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_6 ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_5 ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_4 ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_3 ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_2 ; board_clk ;
+; N/A           ; None        ; -8.641 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_0 ; board_clk ;
+; N/A           ; None        ; -8.669 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_7   ; board_clk ;
+; N/A           ; None        ; -8.669 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_3   ; board_clk ;
+; N/A           ; None        ; -8.677 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_9 ; board_clk ;
+; N/A           ; None        ; -8.677 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|column_counter_sig_1 ; board_clk ;
+; N/A           ; None        ; -8.721 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|line_counter_sig_1   ; board_clk ;
+; N/A           ; None        ; -9.595 ns ; reset ; vga:inst|vga_driver:vga_driver_unit|h_enable_sig         ; board_clk ;
++---------------+-------------+-----------+-------+----------------------------------------------------------+-----------+
+
+
++--------------------------+
+; Timing Analyzer Messages ;
++--------------------------+
+Info: *******************************************************************
+Info: Running Quartus II Classic Timing Analyzer
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:37:38 2009
+Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga_pll -c vga_pll --timing_analysis_only
+Info: Parallel compilation is enabled and will use 2 of the 2 processors detected
+Warning: Clock latency analysis for PLL offsets is supported for the current device family, but is not enabled
+Info: Found timing assignments -- calculating delays
+Info: Slack time is 29.952 ns for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_driver:vga_driver_unit|vsync_counter_9" and destination register "vga:inst|vga_driver:vga_driver_unit|vsync_state_5"
+    Info: Fmax is 146.52 MHz (period= 6.825 ns)
+    Info: + Largest register to register requirement is 36.581 ns
+        Info: + Setup relationship between source and destination is 36.777 ns
+            Info: + Latch edge is 35.747 ns
+                Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50
+                Info: Multicycle Setup factor for Destination register is 1
+            Info: - Launch edge is -1.030 ns
+                Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50
+                Info: Multicycle Setup factor for Source register is 1
+        Info: + Largest clock skew is -0.010 ns
+            Info: + Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.058 ns
+                Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+                Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_state_5'
+                Info: Total cell delay = 0.560 ns ( 27.21 % )
+                Info: Total interconnect delay = 1.498 ns ( 72.79 % )
+            Info: - Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.068 ns
+                Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+                Info: 2: + IC(1.508 ns) + CELL(0.560 ns) = 2.068 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9'
+                Info: Total cell delay = 0.560 ns ( 27.08 % )
+                Info: Total interconnect delay = 1.508 ns ( 72.92 % )
+        Info: - Micro clock to output delay of source is 0.176 ns
+        Info: - Micro setup delay of destination is 0.010 ns
+    Info: - Longest register to register delay is 6.629 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X25_Y43_N9; Fanout = 9; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_counter_9'
+        Info: 2: + IC(1.176 ns) + CELL(0.459 ns) = 1.635 ns; Loc. = LC_X25_Y42_N4; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_3'
+        Info: 3: + IC(0.827 ns) + CELL(0.332 ns) = 2.794 ns; Loc. = LC_X24_Y42_N3; Fanout = 2; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un13_vsync_counter_4'
+        Info: 4: + IC(0.373 ns) + CELL(0.213 ns) = 3.380 ns; Loc. = LC_X24_Y42_N5; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2'
+        Info: 5: + IC(0.350 ns) + CELL(0.332 ns) = 4.062 ns; Loc. = LC_X24_Y42_N9; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0'
+        Info: 6: + IC(0.571 ns) + CELL(0.213 ns) = 4.846 ns; Loc. = LC_X24_Y42_N7; Fanout = 5; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa'
+        Info: 7: + IC(1.057 ns) + CELL(0.726 ns) = 6.629 ns; Loc. = LC_X24_Y41_N8; Fanout = 4; REG Node = 'vga:inst|vga_driver:vga_driver_unit|vsync_state_5'
+        Info: Total cell delay = 2.275 ns ( 34.32 % )
+        Info: Total interconnect delay = 4.354 ns ( 65.68 % )
+Info: No valid register-to-register data paths exist for clock "board_clk"
+Info: Minimum slack time is 736 ps for clock "vpll:inst1|altpll:altpll_component|_clk0" between source register "vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0" and destination register "vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0"
+    Info: + Shortest register to register delay is 0.660 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0'
+        Info: 2: + IC(0.425 ns) + CELL(0.235 ns) = 0.660 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0'
+        Info: Total cell delay = 0.235 ns ( 35.61 % )
+        Info: Total interconnect delay = 0.425 ns ( 64.39 % )
+    Info: - Smallest register to register requirement is -0.076 ns
+        Info: + Hold relationship between source and destination is 0.000 ns
+            Info: + Latch edge is -1.030 ns
+                Info: Clock period of Destination clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50
+                Info: Multicycle Setup factor for Destination register is 1
+                Info: Multicycle Hold factor for Destination register is 1
+            Info: - Launch edge is -1.030 ns
+                Info: Clock period of Source clock "vpll:inst1|altpll:altpll_component|_clk0" is 36.777 ns with  offset of -1.030 ns and duty cycle of 50
+                Info: Multicycle Setup factor for Source register is 1
+                Info: Multicycle Hold factor for Source register is 1
+        Info: + Smallest clock skew is 0.000 ns
+            Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.107 ns
+                Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+                Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0'
+                Info: Total cell delay = 0.560 ns ( 26.58 % )
+                Info: Total interconnect delay = 1.547 ns ( 73.42 % )
+            Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.107 ns
+                Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+                Info: 2: + IC(1.547 ns) + CELL(0.560 ns) = 2.107 ns; Loc. = LC_X50_Y46_N6; Fanout = 7; REG Node = 'vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0'
+                Info: Total cell delay = 0.560 ns ( 26.58 % )
+                Info: Total interconnect delay = 1.547 ns ( 73.42 % )
+        Info: - Micro clock to output delay of source is 0.176 ns
+        Info: + Micro hold delay of destination is 0.100 ns
+Info: tsu for register "vga:inst|vga_driver:vga_driver_unit|h_enable_sig" (data pin = "reset", clock pin = "board_clk") is 10.814 ns
+    Info: + Longest pin to register delay is 11.861 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'
+        Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x'
+        Info: 3: + IC(1.933 ns) + CELL(0.087 ns) = 8.757 ns; Loc. = LC_X24_Y41_N1; Fanout = 1; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4'
+        Info: 4: + IC(2.378 ns) + CELL(0.726 ns) = 11.861 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig'
+        Info: Total cell delay = 2.286 ns ( 19.27 % )
+        Info: Total interconnect delay = 9.575 ns ( 80.73 % )
+    Info: + Micro setup delay of destination is 0.010 ns
+    Info: - Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns
+    Info: - Shortest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.087 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.527 ns) + CELL(0.560 ns) = 2.087 ns; Loc. = LC_X49_Y33_N0; Fanout = 2; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_enable_sig'
+        Info: Total cell delay = 0.560 ns ( 26.83 % )
+        Info: Total interconnect delay = 1.527 ns ( 73.17 % )
+Info: tco from clock "board_clk" to destination pin "seven_seg_pin[7]" through register "vga:inst|dly_counter[0]" is 12.054 ns
+    Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns
+    Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to source register is 2.058 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.498 ns) + CELL(0.560 ns) = 2.058 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]'
+        Info: Total cell delay = 0.560 ns ( 27.21 % )
+        Info: Total interconnect delay = 1.498 ns ( 72.79 % )
+    Info: + Micro clock to output delay of source is 0.176 ns
+    Info: + Longest register to pin delay is 10.850 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X24_Y41_N4; Fanout = 10; REG Node = 'vga:inst|dly_counter[0]'
+        Info: 2: + IC(1.184 ns) + CELL(0.213 ns) = 1.397 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x'
+        Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 10.850 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]'
+        Info: Total cell delay = 4.404 ns ( 40.59 % )
+        Info: Total interconnect delay = 6.446 ns ( 59.41 % )
+Info: Longest tpd from source pin "reset" to destination pin "seven_seg_pin[7]" is 16.190 ns
+    Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'
+    Info: 2: + IC(5.264 ns) + CELL(0.332 ns) = 6.737 ns; Loc. = LC_X25_Y42_N0; Fanout = 51; COMB Node = 'vga:inst|vga_driver:vga_driver_unit|un6_dly_counter_0_x'
+    Info: 3: + IC(5.262 ns) + CELL(4.191 ns) = 16.190 ns; Loc. = PIN_Y11; Fanout = 0; PIN Node = 'seven_seg_pin[7]'
+    Info: Total cell delay = 5.664 ns ( 34.98 % )
+    Info: Total interconnect delay = 10.526 ns ( 65.02 % )
+Info: th for register "vga:inst|vga_driver:vga_driver_unit|h_sync" (data pin = "reset", clock pin = "board_clk") is -5.344 ns
+    Info: + Offset between input clock "board_clk" and output clock "vpll:inst1|altpll:altpll_component|_clk0" is -1.030 ns
+    Info: + Longest clock path from clock "vpll:inst1|altpll:altpll_component|_clk0" to destination register is 2.064 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = PLL_1; Fanout = 82; CLK Node = 'vpll:inst1|altpll:altpll_component|_clk0'
+        Info: 2: + IC(1.504 ns) + CELL(0.560 ns) = 2.064 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_sync'
+        Info: Total cell delay = 0.560 ns ( 27.13 % )
+        Info: Total interconnect delay = 1.504 ns ( 72.87 % )
+    Info: + Micro hold delay of destination is 0.100 ns
+    Info: - Shortest pin to register delay is 6.478 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = PIN_A5; Fanout = 10; PIN Node = 'reset'
+        Info: 2: + IC(4.973 ns) + CELL(0.364 ns) = 6.478 ns; Loc. = LC_X23_Y42_N6; Fanout = 3; REG Node = 'vga:inst|vga_driver:vga_driver_unit|h_sync'
+        Info: Total cell delay = 1.505 ns ( 23.23 % )
+        Info: Total interconnect delay = 4.973 ns ( 76.77 % )
+Info: All timing requirements were met for slow timing model timing analysis. See Report window for more details.
+Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning
+    Info: Peak virtual memory: 141 megabytes
+    Info: Processing ended: Tue Nov  3 17:37:39 2009
+    Info: Elapsed time: 00:00:01
+    Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.tan.summary b/bsp4/Designflow/ppr/download/vga_pll.tan.summary
new file mode 100644 (file)
index 0000000..5eb382c
--- /dev/null
@@ -0,0 +1,76 @@
+--------------------------------------------------------------------------------------
+Timing Analyzer Summary
+--------------------------------------------------------------------------------------
+
+Type           : Worst-case tsu
+Slack          : N/A
+Required Time  : None
+Actual Time    : 10.814 ns
+From           : reset
+To             : vga:inst|vga_driver:vga_driver_unit|h_enable_sig
+From Clock     : --
+To Clock       : board_clk
+Failed Paths   : 0
+
+Type           : Worst-case tco
+Slack          : N/A
+Required Time  : None
+Actual Time    : 12.054 ns
+From           : vga:inst|dly_counter[0]
+To             : seven_seg_pin[7]
+From Clock     : board_clk
+To Clock       : --
+Failed Paths   : 0
+
+Type           : Worst-case tpd
+Slack          : N/A
+Required Time  : None
+Actual Time    : 16.190 ns
+From           : reset
+To             : seven_seg_pin[7]
+From Clock     : --
+To Clock       : --
+Failed Paths   : 0
+
+Type           : Worst-case th
+Slack          : N/A
+Required Time  : None
+Actual Time    : -5.344 ns
+From           : reset
+To             : vga:inst|vga_driver:vga_driver_unit|h_sync
+From Clock     : --
+To Clock       : board_clk
+Failed Paths   : 0
+
+Type           : Clock Setup: 'vpll:inst1|altpll:altpll_component|_clk0'
+Slack          : 29.952 ns
+Required Time  : 27.19 MHz ( period = 36.777 ns )
+Actual Time    : 146.52 MHz ( period = 6.825 ns )
+From           : vga:inst|vga_driver:vga_driver_unit|vsync_counter_9
+To             : vga:inst|vga_driver:vga_driver_unit|vsync_state_5
+From Clock     : vpll:inst1|altpll:altpll_component|_clk0
+To Clock       : vpll:inst1|altpll:altpll_component|_clk0
+Failed Paths   : 0
+
+Type           : Clock Hold: 'vpll:inst1|altpll:altpll_component|_clk0'
+Slack          : 0.736 ns
+Required Time  : 27.19 MHz ( period = 36.777 ns )
+Actual Time    : N/A
+From           : vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0
+To             : vga:inst|vga_control:vga_control_unit|toggle_counter_sig_0
+From Clock     : vpll:inst1|altpll:altpll_component|_clk0
+To Clock       : vpll:inst1|altpll:altpll_component|_clk0
+Failed Paths   : 0
+
+Type           : Total number of failed paths
+Slack          : 
+Required Time  : 
+Actual Time    : 
+From           : 
+To             : 
+From Clock     : 
+To Clock       : 
+Failed Paths   : 0
+
+--------------------------------------------------------------------------------------
+
diff --git a/bsp4/Designflow/ppr/download/vga_pll.tcl b/bsp4/Designflow/ppr/download/vga_pll.tcl
new file mode 100755 (executable)
index 0000000..c260434
--- /dev/null
@@ -0,0 +1,184 @@
+# Copyright (C) 1991-2006 Altera Corporation\r
+# Your use of Altera Corporation's design tools, logic functions \r
+# and other software and tools, and its AMPP partner logic \r
+# functions, and any output files any of the foregoing \r
+# (including device programming or simulation files), and any \r
+# associated documentation or information are expressly subject \r
+# to the terms and conditions of the Altera Program License \r
+# Subscription Agreement, Altera MegaCore Function License \r
+# Agreement, or other applicable license agreement, including, \r
+# without limitation, that your use is for the sole purpose of \r
+# programming logic devices manufactured by Altera and sold by \r
+# Altera or its authorized distributors.  Please refer to the \r
+# applicable agreement for further details.\r
+\r
+# Quartus II: Generate Tcl File for Project\r
+# File: vga_pll.tcl\r
+# Generated on: Fri Sep 29 09:31:24 2006\r
+\r
+# Load Quartus II Tcl Project package\r
+package require ::quartus::project\r
+package require ::quartus::flow\r
+\r
+set need_to_close_project 0\r
+set make_assignments 1\r
+\r
+# Check that the right project is open\r
+if {[is_project_open]} {\r
+       if {[string compare $quartus(project) "vga_pll"]} {\r
+               puts "Project vga_pll is not open"\r
+               set make_assignments 0\r
+       }\r
+} else {\r
+       # Only open if not already open\r
+       if {[project_exists vga_pll]} {\r
+               project_open -cmp vga_pll vga_pll\r
+       } else {\r
+               project_new -cmp vga_pll vga_pll\r
+       }\r
+       set need_to_close_project 1\r
+}\r
+\r
+# Make assignments\r
+if {$make_assignments} {\r
+       catch { set_global_assignment -name FAMILY Stratix } result\r
+       catch { set_global_assignment -name DEVICE EP1S25F672C6 } result\r
+       catch { set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 } result\r
+       catch { set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10  SEPTEMBER 29, 2006" } result\r
+       catch { set_global_assignment -name LAST_QUARTUS_VERSION 6.0 } result\r
+       catch { set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" } result\r
+       catch { set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis } result\r
+       catch { set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis } result\r
+       catch { set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" } result\r
+       catch { set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation } result\r
+       catch { set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 } result\r
+       catch { set_global_assignment -name BSF_FILE ../../src/vpll.bsf } result\r
+       catch { set_global_assignment -name VHDL_FILE ../../src/vpll.vhd } result\r
+       catch { set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf } result\r
+       catch { set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm } result\r
+\r
+       set_location_assignment PIN_E24 -to b0_pin\r
+       set_location_assignment PIN_T6 -to b1_pin\r
+       set_location_assignment PIN_N3 -to board_clk\r
+       set_location_assignment PIN_E23 -to g0_pin\r
+       set_location_assignment PIN_T5 -to g1_pin\r
+       set_location_assignment PIN_T24 -to g2_pin\r
+       set_location_assignment PIN_F1 -to hsync_pin\r
+       set_location_assignment PIN_E22 -to r0_pin\r
+       set_location_assignment PIN_T4 -to r1_pin\r
+       set_location_assignment PIN_T7 -to r2_pin\r
+       set_location_assignment PIN_A5 -to reset\r
+       set_location_assignment PIN_F2 -to vsync_pin\r
+       set_location_assignment PIN_Y5 -to d_hsync_state[0]\r
+       set_location_assignment PIN_F19 -to d_hsync_state[1]\r
+       set_location_assignment PIN_F17 -to d_hsync_state[2]\r
+       set_location_assignment PIN_Y2 -to d_hsync_state[3]\r
+       set_location_assignment PIN_F10 -to d_hsync_state[4]\r
+       set_location_assignment PIN_F9 -to d_hsync_state[5]\r
+       set_location_assignment PIN_F6 -to d_hsync_state[6]\r
+       set_location_assignment PIN_H4 -to d_hsync_counter[0]\r
+       set_location_assignment PIN_G25 -to d_hsync_counter[7]\r
+       set_location_assignment PIN_G22 -to d_hsync_counter[8]\r
+       set_location_assignment PIN_G18 -to d_hsync_counter[9]\r
+       set_location_assignment PIN_F5 -to d_vsync_state[0]\r
+       set_location_assignment PIN_F4 -to d_vsync_state[1]\r
+       set_location_assignment PIN_F3 -to d_vsync_state[2]\r
+       set_location_assignment PIN_M19 -to d_vsync_state[3]\r
+       set_location_assignment PIN_M18 -to d_vsync_state[4]\r
+       set_location_assignment PIN_M7 -to d_vsync_state[5]\r
+       set_location_assignment PIN_M4 -to d_vsync_state[6]\r
+       set_location_assignment PIN_G9 -to d_vsync_counter[0]\r
+       set_location_assignment PIN_G6 -to d_vsync_counter[7]\r
+       set_location_assignment PIN_G4 -to d_vsync_counter[8]\r
+       set_location_assignment PIN_G2 -to d_vsync_counter[9]\r
+       set_location_assignment PIN_K6 -to d_line_counter[0]\r
+       set_location_assignment PIN_K4 -to d_line_counter[1]\r
+       set_location_assignment PIN_J22 -to d_line_counter[2]\r
+       set_location_assignment PIN_M9 -to d_line_counter[3]\r
+       set_location_assignment PIN_M8 -to d_line_counter[4]\r
+       set_location_assignment PIN_M6 -to d_line_counter[5]\r
+       set_location_assignment PIN_M5 -to d_line_counter[6]\r
+       set_location_assignment PIN_L24 -to d_line_counter[7]\r
+       set_location_assignment PIN_L25 -to d_line_counter[8]\r
+       set_location_assignment PIN_L23 -to d_column_counter[0]\r
+       set_location_assignment PIN_L22 -to d_column_counter[1]\r
+       set_location_assignment PIN_L21 -to d_column_counter[2]\r
+       set_location_assignment PIN_L20 -to d_column_counter[3]\r
+       set_location_assignment PIN_L6 -to d_column_counter[4]\r
+       set_location_assignment PIN_L4 -to d_column_counter[5]\r
+       set_location_assignment PIN_L2 -to d_column_counter[6]\r
+       set_location_assignment PIN_K23 -to d_column_counter[7]\r
+       set_location_assignment PIN_K19 -to d_column_counter[8]\r
+       set_location_assignment PIN_K5 -to d_column_counter[9]\r
+       set_location_assignment PIN_L7 -to d_hsync\r
+       set_location_assignment PIN_L5 -to d_vsync\r
+       set_location_assignment PIN_F26 -to d_set_hsync_counter\r
+       set_location_assignment PIN_F24 -to d_set_vsync_counter\r
+       set_location_assignment PIN_F21 -to d_set_line_counter\r
+       set_location_assignment PIN_Y23 -to d_set_column_counter\r
+       set_location_assignment PIN_L3 -to d_r\r
+       set_location_assignment PIN_K24 -to d_g\r
+       set_location_assignment PIN_K20 -to d_b\r
+       set_location_assignment PIN_H18 -to d_v_enable\r
+       set_location_assignment PIN_J21 -to d_h_enable\r
+       set_location_assignment PIN_R8 -to seven_seg_pin[0]\r
+       set_location_assignment PIN_R9 -to seven_seg_pin[1]\r
+       set_location_assignment PIN_R19 -to seven_seg_pin[2]\r
+       set_location_assignment PIN_R20 -to seven_seg_pin[3]\r
+       set_location_assignment PIN_R21 -to seven_seg_pin[4]\r
+       set_location_assignment PIN_R22 -to seven_seg_pin[5]\r
+       set_location_assignment PIN_R23 -to seven_seg_pin[6]\r
+       set_location_assignment PIN_Y11 -to seven_seg_pin[7]\r
+       set_location_assignment PIN_N7 -to seven_seg_pin[8]\r
+       set_location_assignment PIN_N8 -to seven_seg_pin[9]\r
+       set_location_assignment PIN_R4 -to seven_seg_pin[10]\r
+       set_location_assignment PIN_R6 -to seven_seg_pin[11]\r
+       set_location_assignment PIN_AA11 -to seven_seg_pin[12]\r
+       set_location_assignment PIN_T2 -to seven_seg_pin[13]\r
+       set_location_assignment PIN_K3 -to d_state_clk\r
+        set_location_assignment PIN_H3 -to d_toggle\r
+        set_location_assignment PIN_H26 -to d_toggle_counter[0]\r
+        set_location_assignment PIN_G24 -to d_toggle_counter[15]\r
+        set_location_assignment PIN_G23 -to d_toggle_counter[16]\r
+        set_location_assignment PIN_G21 -to d_toggle_counter[17]\r
+        set_location_assignment PIN_G20 -to d_toggle_counter[18]\r
+        set_location_assignment PIN_G5 -to d_toggle_counter[19]\r
+        set_location_assignment PIN_G3 -to d_toggle_counter[20]\r
+        set_location_assignment PIN_G1 -to d_toggle_counter[21]\r
+        set_location_assignment PIN_F25 -to d_toggle_counter[22]\r
+        set_location_assignment PIN_F23 -to d_toggle_counter[23]\r
+        set_location_assignment PIN_T19 -to d_toggle_counter[24]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin\r
+\r
+\r
+       # Commit assignments\r
+       export_assignments\r
+\r
+execute_flow -compile\r
+\r
+       # Close project\r
+       if {$need_to_close_project} {\r
+               project_close\r
+       }\r
+}\r
diff --git a/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf b/bsp4/Designflow/ppr/download/vga_pll_assignment_defaults.qdf
new file mode 100644 (file)
index 0000000..d16e6fc
--- /dev/null
@@ -0,0 +1,626 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2009 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Altera Program License 
+# Subscription Agreement, Altera MegaCore Function License 
+# Agreement, or other applicable license agreement, including, 
+# without limitation, that your use is for the sole purpose of 
+# programming logic devices manufactured by Altera and sold by 
+# Altera or its authorized distributors.  Please refer to the 
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II
+# Version 9.0 Build 132 02/25/2009 SJ Full Version
+# Date created = 17:36:34  November 03, 2009
+#
+# -------------------------------------------------------------------------- #
+#
+# Note:
+#
+# 1) Do not modify this file. This file was generated
+#    automatically by the Quartus II software and is used
+#    to preserve global assignments across Quartus II versions.
+#
+# -------------------------------------------------------------------------- #
+
+set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On
+set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off
+set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off
+set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db
+set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off
+set_global_assignment -name SMART_RECOMPILE Off
+set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off
+set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off
+set_global_assignment -name HC_OUTPUT_DIR hc_output
+set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off
+set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off
+set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On
+set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off
+set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings"
+set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On
+set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle"
+set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On
+set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On
+set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On
+set_global_assignment -name DO_COMBINED_ANALYSIS Off
+set_global_assignment -name IGNORE_CLOCK_SETTINGS Off
+set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On
+set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS Off
+set_global_assignment -name ENABLE_CLOCK_LATENCY Off
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family ACEX1K
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000B
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy II"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KA
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix IV"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Cyclone III"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "HardCopy Stratix"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KE
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000AE
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Cyclone
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II GX"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10K
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "MAX II"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family APEX20KC
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria II GX"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix GX"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX7000S
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX6000
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "APEX II"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family FLEX10KE
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Cyclone II"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Stratix III"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER On -family "Arria GX"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family MAX3000A
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family "Stratix II"
+set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER Off -family Stratix
+set_global_assignment -name NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT 10
+set_global_assignment -name NUMBER_OF_DESTINATION_TO_REPORT 10
+set_global_assignment -name NUMBER_OF_PATHS_TO_REPORT 200
+set_global_assignment -name DO_MIN_ANALYSIS Off
+set_global_assignment -name DO_MIN_TIMING Off
+set_global_assignment -name REPORT_IO_PATHS_SEPARATELY Off
+set_global_assignment -name FLOW_ENABLE_TIMING_CONSTRAINT_CHECK Off
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family ACEX1K
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000B
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "HardCopy II"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KA
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone III"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "HardCopy Stratix"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KE
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000AE
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Cyclone
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II GX"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10K
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family APEX20KC
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "Stratix GX"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX7000S
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX6000
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "APEX II"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family FLEX10KE
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone II"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix III"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria GX"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family MAX3000A
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix II"
+set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family Stratix
+set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family ACEX1K
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000B
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy II"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KA
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone III"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "HardCopy Stratix"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KE
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000AE
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Cyclone
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II GX"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10K
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family APEX20KC
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix GX"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX7000S
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX6000
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "APEX II"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family FLEX10KE
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Cyclone II"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix III"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Arria GX"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family MAX3000A
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "Stratix II"
+set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family Stratix
+set_global_assignment -name MUX_RESTRUCTURE Auto
+set_global_assignment -name ENABLE_IP_DEBUG Off
+set_global_assignment -name SAVE_DISK_SPACE On
+set_global_assignment -name DISABLE_OCP_HW_EVAL Off
+set_global_assignment -name DEVICE_FILTER_PACKAGE Any
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any
+set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
+set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001
+set_global_assignment -name VHDL_INPUT_VERSION VHDL93
+set_global_assignment -name FAMILY -value Stratix
+set_global_assignment -name TRUE_WYSIWYG_FLOW Off
+set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off
+set_global_assignment -name STATE_MACHINE_PROCESSING Auto
+set_global_assignment -name SAFE_STATE_MACHINE Off
+set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On
+set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On
+set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off
+set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000
+set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250
+set_global_assignment -name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS On
+set_global_assignment -name PARALLEL_SYNTHESIS Off
+set_global_assignment -name DSP_BLOCK_BALANCING Auto
+set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)"
+set_global_assignment -name NOT_GATE_PUSH_BACK On
+set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On
+set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off
+set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On
+set_global_assignment -name IGNORE_CARRY_BUFFERS Off
+set_global_assignment -name IGNORE_CASCADE_BUFFERS Off
+set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off
+set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off
+set_global_assignment -name IGNORE_LCELL_BUFFERS Off
+set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO
+set_global_assignment -name IGNORE_SOFT_BUFFERS On
+set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off
+set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off
+set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On
+set_global_assignment -name AUTO_GLOBAL_OE_MAX On
+set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On
+set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off
+set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut
+set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced
+set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area
+set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area
+set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area
+set_global_assignment -name ALLOW_XOR_GATE_USAGE On
+set_global_assignment -name AUTO_LCELL_INSERTION On
+set_global_assignment -name CARRY_CHAIN_LENGTH 48
+set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32
+set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32
+set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48
+set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70
+set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70
+set_global_assignment -name CASCADE_CHAIN_LENGTH 2
+set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16
+set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4
+set_global_assignment -name AUTO_CARRY_CHAINS On
+set_global_assignment -name AUTO_CASCADE_CHAINS On
+set_global_assignment -name AUTO_PARALLEL_EXPANDERS On
+set_global_assignment -name AUTO_OPEN_DRAIN_PINS On
+set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off
+set_global_assignment -name AUTO_ROM_RECOGNITION On
+set_global_assignment -name AUTO_RAM_RECOGNITION On
+set_global_assignment -name AUTO_DSP_RECOGNITION On
+set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto
+set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On
+set_global_assignment -name STRICT_RAM_RECOGNITION Off
+set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On
+set_global_assignment -name FORCE_SYNCH_CLEAR Off
+set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On
+set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off
+set_global_assignment -name AUTO_RESOURCE_SHARING Off
+set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off
+set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off
+set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off
+set_global_assignment -name MAX7000_FANIN_PER_CELL 100
+set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On
+set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)"
+set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)"
+set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)"
+set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off
+set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off
+set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS Off
+set_global_assignment -name SHOW_PARAMETER_SETTINGS_TABLES_IN_SYNTHESIS_REPORT On
+set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off
+set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2
+set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation"
+set_global_assignment -name HDL_MESSAGE_LEVEL Level2
+set_global_assignment -name USE_HIGH_SPEED_ADDER Auto
+set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 100
+set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100
+set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On
+set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off
+set_global_assignment -name BLOCK_DESIGN_NAMING Auto
+set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off
+set_global_assignment -name SYNTHESIS_EFFORT Auto
+set_global_assignment -name ALLOW_ACLR_FOR_SHIFT_REGISTER_RECOGNITION On
+set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off
+set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium
+set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal
+set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0
+set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
+set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0
+set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off
+set_global_assignment -name DEVICE AUTO
+set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off
+set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off
+set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On
+set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin"
+set_global_assignment -name STRATIXIII_UPDATE_MODE Standard
+set_global_assignment -name STRATIX_UPDATE_MODE Standard
+set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial"
+set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial"
+set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial"
+set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial"
+set_global_assignment -name USER_START_UP_CLOCK Off
+set_global_assignment -name ENABLE_VREFA_PIN Off
+set_global_assignment -name ENABLE_VREFB_PIN Off
+set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off
+set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off
+set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off
+set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground"
+set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off
+set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off
+set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated"
+set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated"
+set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated"
+set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO"
+set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin"
+set_global_assignment -name CRC_ERROR_CHECKING Off
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix GX"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Cyclone II"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy II"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix IV"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Cyclone III"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Stratix III"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "HardCopy Stratix"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria GX"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II GX"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Cyclone
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "Stratix II"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family Stratix
+set_global_assignment -name OPTIMIZE_HOLD_TIMING -value "IO PATHS AND MINIMUM TPD PATHS" -family "Arria II GX"
+set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off
+set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On
+set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto
+set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care
+set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING "Force All Tiles with Failing Timing Paths to High Speed"
+set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0
+set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On
+set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation"
+set_global_assignment -name OPTIMIZE_SSN Off -family "Cyclone III"
+set_global_assignment -name OPTIMIZE_SSN Off -family "Stratix III"
+set_global_assignment -name OPTIMIZE_TIMING "Normal compilation"
+set_global_assignment -name ECO_OPTIMIZE_TIMING Off
+set_global_assignment -name ECO_REGENERATE_REPORT Off
+set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING On
+set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off
+set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically
+set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically
+set_global_assignment -name SEED 1
+set_global_assignment -name SLOW_SLEW_RATE Off
+set_global_assignment -name PCI_IO Off
+set_global_assignment -name TURBO_BIT On
+set_global_assignment -name WEAK_PULL_UP_RESISTOR Off
+set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off
+set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off
+set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On
+set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO
+set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO
+set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto
+set_global_assignment -name AUTO_PACKED_REGISTERS Off
+set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO
+set_global_assignment -name NORMAL_LCELL_INSERT On
+set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On
+set_global_assignment -name AUTO_DELAY_CHAINS On
+set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off
+set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off
+set_global_assignment -name AUTO_MERGE_PLLS On
+set_global_assignment -name IGNORE_MODE_FOR_MERGE Off
+set_global_assignment -name AUTO_TURBO_BIT ON
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off
+set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On
+set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off
+set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off
+set_global_assignment -name FITTER_EFFORT "Auto Fit"
+set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal
+set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO
+set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO
+set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off
+set_global_assignment -name AUTO_GLOBAL_CLOCK On
+set_global_assignment -name AUTO_GLOBAL_OE On
+set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On
+set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic
+set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off
+set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off
+set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off
+set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off
+set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off
+set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
+set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
+set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
+set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off
+set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
+set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off
+set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off
+set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off
+set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off
+set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up"
+set_global_assignment -name STOP_AFTER_CONGESTION_MAP Off
+set_global_assignment -name SAVE_INTERMEDIATE_FITTING_RESULTS Off
+set_global_assignment -name ENABLE_HOLD_BACK_OFF On
+set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto
+set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off
+set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off
+set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION -value OFF
+set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On
+set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off
+set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)"
+set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)"
+set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)"
+set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)"
+set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz
+set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On
+set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<None>"
+set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "<None>"
+set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "<None>"
+set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "<None>"
+set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "<None>"
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<None>"
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<None>"
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<None>"
+set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On
+set_global_assignment -name COMPRESSION_MODE Off
+set_global_assignment -name CLOCK_SOURCE Internal
+set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz"
+set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1
+set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
+set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off
+set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
+set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF
+set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F
+set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off
+set_global_assignment -name USE_CHECKSUM_AS_USERCODE Off
+set_global_assignment -name SECURITY_BIT Off
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family ACEX1K
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000B
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy II"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KA
+set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV"
+set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Cyclone III"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "HardCopy Stratix"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KE
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000AE
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Cyclone
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II GX"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10K
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family APEX20KC
+set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix GX"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX7000S
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX6000
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "APEX II"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family FLEX10KE
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Cyclone II"
+set_global_assignment -name USE_CONFIGURATION_DEVICE -value ON -family "Stratix III"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Arria GX"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family MAX3000A
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "Stratix II"
+set_global_assignment -name USE_CONFIGURATION_DEVICE On -family Stratix
+set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto
+set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto
+set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto
+set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto
+set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto
+set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto
+set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto
+set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto
+set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
+set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off
+set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On
+set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off
+set_global_assignment -name GENERATE_TTF_FILE Off
+set_global_assignment -name GENERATE_RBF_FILE Off
+set_global_assignment -name GENERATE_HEX_FILE Off
+set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0
+set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal"
+set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off
+set_global_assignment -name AUTO_RESTART_CONFIGURATION On
+set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off
+set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off
+set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On
+set_global_assignment -name ENABLE_OCT_DONE Off
+set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT Off
+set_global_assignment -name START_TIME 0ns
+set_global_assignment -name SIMULATION_MODE TIMING
+set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off
+set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On
+set_global_assignment -name SETUP_HOLD_DETECTION Off
+set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off
+set_global_assignment -name CHECK_OUTPUTS Off
+set_global_assignment -name SIMULATION_COVERAGE On
+set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On
+set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On
+set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On
+set_global_assignment -name GLITCH_DETECTION Off
+set_global_assignment -name GLITCH_INTERVAL 1ns
+set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off
+set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On
+set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off
+set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On
+set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE
+set_global_assignment -name SIMULATION_NETLIST_VIEWER Off
+set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT
+set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT
+set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off
+set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO
+set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO
+set_global_assignment -name DRC_TOP_FANOUT 50
+set_global_assignment -name DRC_FANOUT_EXCEEDING 30
+set_global_assignment -name DRC_GATED_CLOCK_FEED 30
+set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY
+set_global_assignment -name ENABLE_DRC_SETTINGS Off
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25
+set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10
+set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30
+set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2
+set_global_assignment -name MERGE_HEX_FILE Off
+set_global_assignment -name GENERATE_SVF_FILE Off
+set_global_assignment -name GENERATE_ISC_FILE Off
+set_global_assignment -name GENERATE_JAM_FILE Off
+set_global_assignment -name GENERATE_JBC_FILE Off
+set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On
+set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off
+set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off
+set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off
+set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off
+set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On
+set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off
+set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state"
+set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off
+set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT Off
+set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5%
+set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5%
+set_global_assignment -name POWER_USE_PVA On
+set_global_assignment -name POWER_USE_INPUT_FILE "No File"
+set_global_assignment -name POWER_USE_INPUT_FILES Off
+set_global_assignment -name POWER_VCD_FILTER_GLITCHES On
+set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY -value ON
+set_global_assignment -name POWER_REPORT_POWER_DISSIPATION -value ON
+set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL
+set_global_assignment -name POWER_AUTO_COMPUTE_TJ On
+set_global_assignment -name POWER_TJ_VALUE 25
+set_global_assignment -name POWER_USE_TA_VALUE 25
+set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off
+set_global_assignment -name POWER_BOARD_TEMPERATURE 25
+set_global_assignment -name INCREMENTAL_COMPILATION -value OFF
+set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off
+set_global_assignment -name INCREMENTAL_COMPILATION_EXPORT_NETLIST_TYPE POST_FIT
+set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End"
+set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On
+set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On
+set_global_assignment -name RTLV_GROUP_RELATED_NODES On
+set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off
+set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off
+set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On
+set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On
+set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On
+set_global_assignment -name EQC_BBOX_MERGE On
+set_global_assignment -name EQC_LVDS_MERGE On
+set_global_assignment -name EQC_RAM_UNMERGING On
+set_global_assignment -name EQC_DFF_SS_EMULATION On
+set_global_assignment -name EQC_RAM_REGISTER_UNPACK On
+set_global_assignment -name EQC_MAC_REGISTER_UNPACK On
+set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On
+set_global_assignment -name EQC_STRUCTURE_MATCHING On
+set_global_assignment -name EQC_AUTO_BREAK_CONE On
+set_global_assignment -name EQC_POWER_UP_COMPARE Off
+set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On
+set_global_assignment -name EQC_AUTO_INVERSION On
+set_global_assignment -name EQC_AUTO_TERMINATE On
+set_global_assignment -name EQC_SUB_CONE_REPORT Off
+set_global_assignment -name EQC_RENAMING_RULES On
+set_global_assignment -name EQC_PARAMETER_CHECK On
+set_global_assignment -name EQC_AUTO_PORTSWAP On
+set_global_assignment -name EQC_DETECT_DONT_CARES On
+set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off
+set_global_assignment -name DUTY_CYCLE 50 -section_id ?
+set_global_assignment -name INVERT_BASE_CLOCK Off -section_id ?
+set_global_assignment -name MULTIPLY_BASE_CLOCK_PERIOD_BY 1 -section_id ?
+set_global_assignment -name DIVIDE_BASE_CLOCK_PERIOD_BY 1 -section_id ?
+set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ?
+set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ?
+set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ?
+set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ?
+set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ?
+set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ?
+set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ?
+set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ?
+set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ?
+set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ?
+set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "<None>" -section_id ?
+set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ?
+set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ?
+set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ?
+set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ?
+set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ?
+set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ?
+set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ?
+set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ?
+set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ?
+set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ?
+set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY Off -section_id ?
+set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ?
+set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ?
+set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ?
+set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ?
+set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ?
+set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ?
+set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ?
+set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ?
+set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ?
+set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ?
+set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ?
+set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ?
+set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ?
+set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
+set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
+set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ?
+set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ?
+set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Cyclone III"
+set_global_assignment -name OPTIMIZE_SSN Off -entity ? -family "Stratix III"
+set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ?
+set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ?
+set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ?
+set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ?
+set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ?
+set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ?
+set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ?
+set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS REPLACE_CONFLICTING -section_id ? -entity ?
+set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ?
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb
new file mode 100644 (file)
index 0000000..792b046
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb
new file mode 100644 (file)
index 0000000..a020dca
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(0).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb
new file mode 100644 (file)
index 0000000..778dd0d
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb
new file mode 100644 (file)
index 0000000..5bc73aa
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(1).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb b/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb
new file mode 100644 (file)
index 0000000..2fd01bf
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb b/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb
new file mode 100644 (file)
index 0000000..24fc0ef
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.(2).cnf.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.asm.qmsg b/bsp4/Designflow/ppr/sim/db/vga.asm.qmsg
new file mode 100644 (file)
index 0000000..ce5a7be
--- /dev/null
@@ -0,0 +1,5 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II " "Info: Running Quartus II Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:31:13 2009 " "Info: Processing started: Tue Nov  3 17:31:13 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga " "Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Info: Assembler is generating device programming files" {  } {  } 0 0 "Assembler is generating device programming files" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II " "Info: Quartus II Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "269 " "Info: Peak virtual memory: 269 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:31:32 2009 " "Info: Processing ended: Tue Nov  3 17:31:32 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Info: Elapsed time: 00:00:19" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Info: Total CPU time (on all processors): 00:00:18" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cbx.xml b/bsp4/Designflow/ppr/sim/db/vga.cbx.xml
new file mode 100644 (file)
index 0000000..cc0ffb7
--- /dev/null
@@ -0,0 +1,5 @@
+<?xml version="1.0" ?>
+<LOG_ROOT>
+       <PROJECT NAME="vga">
+       </PROJECT>
+</LOG_ROOT>
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.bpm b/bsp4/Designflow/ppr/sim/db/vga.cmp.bpm
new file mode 100644 (file)
index 0000000..e1d1e71
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.bpm differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.cdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.cdb
new file mode 100644 (file)
index 0000000..f48937b
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp b/bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp
new file mode 100644 (file)
index 0000000..e05efff
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.ecobp differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.hdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.hdb
new file mode 100644 (file)
index 0000000..b4b79b2
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.kpt b/bsp4/Designflow/ppr/sim/db/vga.cmp.kpt
new file mode 100644 (file)
index 0000000..883e1d2
--- /dev/null
@@ -0,0 +1,10 @@
+<kpt_db name="vga.cmp" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+  </key_points_set>
+  <transformations_set hier_sep="|">
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.logdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.rdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.rdb
new file mode 100644 (file)
index 0000000..7d0dcfd
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.rdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp.tdb b/bsp4/Designflow/ppr/sim/db/vga.cmp.tdb
new file mode 100644 (file)
index 0000000..413a580
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp.tdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb b/bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb
new file mode 100644 (file)
index 0000000..1e7d76d
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.cmp0.ddb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt b/bsp4/Designflow/ppr/sim/db/vga.cmp_merge.kpt
new file mode 100644 (file)
index 0000000..e6722db
--- /dev/null
@@ -0,0 +1,10 @@
+<kpt_db name="vga.cmp_merge" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+  </key_points_set>
+  <transformations_set hier_sep="|">
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/sim/db/vga.db_info b/bsp4/Designflow/ppr/sim/db/vga.db_info
new file mode 100644 (file)
index 0000000..f681679
--- /dev/null
@@ -0,0 +1,3 @@
+Quartus_Version = Version 9.0 Build 132 02/25/2009 SJ Full Version
+Version_Index = 167805952
+Creation_Time = Tue Nov  3 17:30:04 2009
diff --git a/bsp4/Designflow/ppr/sim/db/vga.eco.cdb b/bsp4/Designflow/ppr/sim/db/vga.eco.cdb
new file mode 100644 (file)
index 0000000..8c94386
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.eco.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.eda.qmsg b/bsp4/Designflow/ppr/sim/db/vga.eda.qmsg
new file mode 100644 (file)
index 0000000..959c9ef
--- /dev/null
@@ -0,0 +1,5 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II " "Info: Running Quartus II EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:31:39 2009 " "Info: Processing started: Tue Nov  3 17:31:39 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga " "Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IWSC_DONE_HDL_SDO_GENERATION" "vga.vho vga_vhd.sdo /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/ simulation " "Info: Generated files \"vga.vho\" and \"vga_vhd.sdo\" in directory \"/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/\" for EDA simulation tool" {  } {  } 0 0 "Generated files \"%1!s!\" and \"%2!s!\" in directory \"%3!s!\" for EDA %4!s! tool" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II " "Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "163 " "Info: Peak virtual memory: 163 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:31:41 2009 " "Info: Processing ended: Tue Nov  3 17:31:41 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Info: Elapsed time: 00:00:02" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/sim/db/vga.fit.qmsg b/bsp4/Designflow/ppr/sim/db/vga.fit.qmsg
new file mode 100644 (file)
index 0000000..9744b2b
--- /dev/null
@@ -0,0 +1,48 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II " "Info: Running Quartus II Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:30:37 2009 " "Info: Processing started: Tue Nov  3 17:30:37 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga " "Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" {  } {  } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1}
+{ "Info" "IMPP_MPP_USER_DEVICE" "vga EP1S25F672C6 " "Info: Selected device EP1S25F672C6 for design \"vga\"" {  } {  } 0 0 "Selected device %2!s! for design \"%1!s!\"" 0 0 "" 0 -1}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" {  } {  } 0 0 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S10F672C6 " "Info: Device EP1S10F672C6 is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S20F672C6 " "Info: Device EP1S20F672C6 is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE " "Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible" {  } {  } 2 0 "Device %1!s! is compatible" 0 0 "" 0 -1}  } {  } 2 0 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "" 0 -1}
+{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "1 " "Info: Fitter converted 1 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~DATA0~ F16 " "Info: Pin ~DATA0~ is reserved at location F16" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { ~DATA0~ } } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~DATA0~ } "NODE_NAME" } }  } 0 0 "Pin %1!s! is reserved at location %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "117 117 " "Warning: No exact pin location assignment(s) for 117 pins of 117 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "r0_pin " "Info: Pin r0_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r0_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6125 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "r1_pin " "Info: Pin r1_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r1_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6112 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "r2_pin " "Info: Pin r2_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r2_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6099 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "g0_pin " "Info: Pin g0_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g0_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6086 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "g1_pin " "Info: Pin g1_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g1_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6073 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "g2_pin " "Info: Pin g2_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g2_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6060 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b0_pin " "Info: Pin b0_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { b0_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6047 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { b0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "b1_pin " "Info: Pin b1_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { b1_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6034 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { b1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "hsync_pin " "Info: Pin hsync_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { hsync_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6021 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { hsync_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "vsync_pin " "Info: Pin vsync_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { vsync_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6008 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vsync_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[0\] " "Info: Pin seven_seg_pin\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5995 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[1\] " "Info: Pin seven_seg_pin\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5982 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[2\] " "Info: Pin seven_seg_pin\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5969 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[3\] " "Info: Pin seven_seg_pin\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5956 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[4\] " "Info: Pin seven_seg_pin\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5943 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[5\] " "Info: Pin seven_seg_pin\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5930 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[6\] " "Info: Pin seven_seg_pin\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5917 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[7\] " "Info: Pin seven_seg_pin\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5904 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[8\] " "Info: Pin seven_seg_pin\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5891 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[9\] " "Info: Pin seven_seg_pin\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5878 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[10\] " "Info: Pin seven_seg_pin\[10\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[10] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5865 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[10] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[11\] " "Info: Pin seven_seg_pin\[11\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[11] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5852 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[11] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[12\] " "Info: Pin seven_seg_pin\[12\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[12] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5839 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[12] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "seven_seg_pin\[13\] " "Info: Pin seven_seg_pin\[13\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[13] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5826 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[13] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync " "Info: Pin d_hsync not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5813 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync " "Info: Pin d_vsync not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5800 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[0\] " "Info: Pin d_column_counter\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5787 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[1\] " "Info: Pin d_column_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5774 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[2\] " "Info: Pin d_column_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5761 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[3\] " "Info: Pin d_column_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5748 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[4\] " "Info: Pin d_column_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5735 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[5\] " "Info: Pin d_column_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5722 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[6\] " "Info: Pin d_column_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5709 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[7\] " "Info: Pin d_column_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5696 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[8\] " "Info: Pin d_column_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5683 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_column_counter\[9\] " "Info: Pin d_column_counter\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_column_counter[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5670 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_column_counter[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[0\] " "Info: Pin d_line_counter\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5657 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[1\] " "Info: Pin d_line_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5644 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[2\] " "Info: Pin d_line_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5631 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[3\] " "Info: Pin d_line_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5618 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[4\] " "Info: Pin d_line_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5605 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[5\] " "Info: Pin d_line_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5592 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[6\] " "Info: Pin d_line_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5579 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[7\] " "Info: Pin d_line_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5566 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_line_counter\[8\] " "Info: Pin d_line_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_line_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5553 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_line_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_set_column_counter " "Info: Pin d_set_column_counter not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_set_column_counter } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5540 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_set_column_counter } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_set_line_counter " "Info: Pin d_set_line_counter not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_set_line_counter } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5527 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_set_line_counter } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[0\] " "Info: Pin d_hsync_counter\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5514 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[1\] " "Info: Pin d_hsync_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5501 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[2\] " "Info: Pin d_hsync_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5488 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[3\] " "Info: Pin d_hsync_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5475 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[4\] " "Info: Pin d_hsync_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5462 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[5\] " "Info: Pin d_hsync_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5449 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[6\] " "Info: Pin d_hsync_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5436 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[7\] " "Info: Pin d_hsync_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5423 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[8\] " "Info: Pin d_hsync_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5410 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_counter\[9\] " "Info: Pin d_hsync_counter\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_counter[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5397 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_counter[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[0\] " "Info: Pin d_vsync_counter\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5384 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[1\] " "Info: Pin d_vsync_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5371 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[2\] " "Info: Pin d_vsync_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5358 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[3\] " "Info: Pin d_vsync_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5345 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[4\] " "Info: Pin d_vsync_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5332 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[5\] " "Info: Pin d_vsync_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5319 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[6\] " "Info: Pin d_vsync_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5306 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[7\] " "Info: Pin d_vsync_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5293 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[8\] " "Info: Pin d_vsync_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5280 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_counter\[9\] " "Info: Pin d_vsync_counter\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_counter[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5267 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_counter[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_set_hsync_counter " "Info: Pin d_set_hsync_counter not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_set_hsync_counter } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5254 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_set_hsync_counter } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_set_vsync_counter " "Info: Pin d_set_vsync_counter not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_set_vsync_counter } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5241 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_set_vsync_counter } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_h_enable " "Info: Pin d_h_enable not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_h_enable } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5228 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_h_enable } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_v_enable " "Info: Pin d_v_enable not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_v_enable } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5215 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_v_enable } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_r " "Info: Pin d_r not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_r } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5202 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_r } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_g " "Info: Pin d_g not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_g } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5189 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_g } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_b " "Info: Pin d_b not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_b } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5176 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_b } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[6\] " "Info: Pin d_hsync_state\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5163 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[5\] " "Info: Pin d_hsync_state\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5150 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[4\] " "Info: Pin d_hsync_state\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5137 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[3\] " "Info: Pin d_hsync_state\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5124 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[2\] " "Info: Pin d_hsync_state\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5111 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[1\] " "Info: Pin d_hsync_state\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5098 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_hsync_state\[0\] " "Info: Pin d_hsync_state\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_hsync_state[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5085 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_hsync_state[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[6\] " "Info: Pin d_vsync_state\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5072 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[5\] " "Info: Pin d_vsync_state\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5059 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[4\] " "Info: Pin d_vsync_state\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5046 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[3\] " "Info: Pin d_vsync_state\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5033 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[2\] " "Info: Pin d_vsync_state\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5020 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[1\] " "Info: Pin d_vsync_state\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5007 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_vsync_state\[0\] " "Info: Pin d_vsync_state\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_vsync_state[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4994 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_vsync_state[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_state_clk " "Info: Pin d_state_clk not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_state_clk } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4981 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_state_clk } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle " "Info: Pin d_toggle not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4968 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[0\] " "Info: Pin d_toggle_counter\[0\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4955 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[1\] " "Info: Pin d_toggle_counter\[1\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[1] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4942 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[1] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[2\] " "Info: Pin d_toggle_counter\[2\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[2] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4929 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[2] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[3\] " "Info: Pin d_toggle_counter\[3\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4916 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[4\] " "Info: Pin d_toggle_counter\[4\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4903 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[5\] " "Info: Pin d_toggle_counter\[5\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4890 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[6\] " "Info: Pin d_toggle_counter\[6\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4877 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[7\] " "Info: Pin d_toggle_counter\[7\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[7] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4864 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[7] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[8\] " "Info: Pin d_toggle_counter\[8\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[8] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4851 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[8] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[9\] " "Info: Pin d_toggle_counter\[9\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[9] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4838 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[9] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[10\] " "Info: Pin d_toggle_counter\[10\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[10] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4825 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[10] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[11\] " "Info: Pin d_toggle_counter\[11\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[11] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4812 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[11] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[12\] " "Info: Pin d_toggle_counter\[12\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[12] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4799 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[12] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[13\] " "Info: Pin d_toggle_counter\[13\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[13] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4786 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[13] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[14\] " "Info: Pin d_toggle_counter\[14\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[14] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4773 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[14] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[15\] " "Info: Pin d_toggle_counter\[15\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[15] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4760 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[15] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[16\] " "Info: Pin d_toggle_counter\[16\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[16] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4747 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[16] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[17\] " "Info: Pin d_toggle_counter\[17\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[17] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4734 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[17] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[18\] " "Info: Pin d_toggle_counter\[18\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[18] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4721 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[18] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[19\] " "Info: Pin d_toggle_counter\[19\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[19] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4708 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[19] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[20\] " "Info: Pin d_toggle_counter\[20\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[20] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4695 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[20] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[21\] " "Info: Pin d_toggle_counter\[21\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[21] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4682 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[21] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[22\] " "Info: Pin d_toggle_counter\[22\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[22] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4669 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[22] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[23\] " "Info: Pin d_toggle_counter\[23\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[23] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4656 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[23] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "d_toggle_counter\[24\] " "Info: Pin d_toggle_counter\[24\] not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[24] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4643 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[24] } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clk_pin " "Info: Pin clk_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { clk_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4630 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "reset_pin " "Info: Pin reset_pin not assigned to an exact location on the device" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { reset_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4616 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! not assigned to an exact location on the device" 0 0 "" 0 -1}  } {  } 0 0 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "" 0 -1}
+{ "Info" "ITDC_FITTER_TIMING_ENGINE" "Classic " "Info: Fitter is using the Classic Timing Analyzer" {  } {  } 0 0 "Fitter is using the %1!s! Timing Analyzer" 0 0 "" 0 -1}
+{ "Info" "ITAN_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." {  } {  } 0 0 "Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time." 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Extra Info: Performing register packing on registers with non-logic cell location assignments" {  } {  } 1 0 "Performing register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Extra Info: Completed register packing on registers with non-logic cell location assignments" {  } {  } 1 0 "Completed register packing on registers with non-logic cell location assignments" 1 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Info: Completed User Assigned Global Signals Promotion Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "clk_pin Global clock in PIN R3 " "Info: Automatically promoted some destinations of signal \"clk_pin\" to use Global clock in PIN R3" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "d_state_clk_out " "Info: Destination \"d_state_clk_out\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4506 21 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "vga_driver:vga_driver_unit\|un6_dly_counter_0_x Global clock " "Info: Automatically promoted some destinations of signal \"vga_driver:vga_driver_unit\|un6_dly_counter_0_x\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_12_ " "Info: Destination \"seven_seg_pin_out_12_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_11_ " "Info: Destination \"seven_seg_pin_out_11_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_10_ " "Info: Destination \"seven_seg_pin_out_10_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_9_ " "Info: Destination \"seven_seg_pin_out_9_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_8_ " "Info: Destination \"seven_seg_pin_out_8_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_7_ " "Info: Destination \"seven_seg_pin_out_7_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_2_ " "Info: Destination \"seven_seg_pin_out_2_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "seven_seg_pin_out_1_ " "Info: Destination \"seven_seg_pin_out_1_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga_driver:vga_driver_unit\|hsync_state_1_ " "Info: Destination \"vga_driver:vga_driver_unit\|hsync_state_1_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 115 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "vga_driver:vga_driver_unit\|vsync_state_1_ " "Info: Destination \"vga_driver:vga_driver_unit\|vsync_state_1_\" may be non-global or may not use global clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 109 23 0 } }  } 0 0 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "" 0 -1} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_LIMITED_TO_SUB" "10 " "Info: Limited to 10 non-global destinations" {  } {  } 0 0 "Limited to %1!d! non-global destinations" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Info: Completed Auto Global Promotion Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Info: Starting register packing" {  } {  } 0 0 "Starting register packing" 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Extra Info: Started Fast Input/Output/OE register processing" {  } {  } 1 0 "Started Fast Input/Output/OE register processing" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Extra Info: Finished Fast Input/Output/OE register processing" {  } {  } 1 0 "Finished Fast Input/Output/OE register processing" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Extra Info: Start inferring scan chains for DSP blocks" {  } {  } 1 0 "Start inferring scan chains for DSP blocks" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Extra Info: Inferring scan chains for DSP blocks is complete" {  } {  } 1 0 "Inferring scan chains for DSP blocks is complete" 1 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" {  } {  } 0 0 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_START_LUT_IO_MAC_RAM_PACKING" "" "Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density" {  } {  } 1 0 "Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density" 1 0 "" 0 -1}
+{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_IO_MAC_RAM_PACKING" "" "Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks" {  } {  } 1 0 "Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks" 1 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Info: Finished register packing" {  } {  } 0 0 "Finished register packing" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "116 unused 3.3V 1 115 0 " "Info: Number of I/O pins in group: 116 (unused VREF, 3.3V VCCIO, 1 input, 115 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "Info: I/O standards used: 3.3-V LVTTL." {  } {  } 0 0 "I/O standards used: %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "" 0 -1}  } {  } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "Info: I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Info: Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 60 " "Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  60 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 59 " "Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  59 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 54 " "Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  54 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 1 55 " "Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  55 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 59 " "Info: I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  59 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 0 61 " "Info: I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  61 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 57 " "Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  57 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 54 " "Info: I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  54 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "9 does not use undetermined 0 6 " "Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "11 does not use undetermined 0 6 " "Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available" {  } {  } 0 0 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used --  %5!d! pins available" 0 0 "" 0 -1}  } {  } 0 0 "Statistics of %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "I/O bank details %1!s! I/O pin placement" 0 0 "" 0 -1}
+{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:03 " "Info: Fitter preparation operations ending: elapsed time is 00:00:03" {  } {  } 0 0 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Info: Fitter placement preparation operations beginning" {  } {  } 0 0 "Fitter placement preparation operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Info: Fitter placement preparation operations ending: elapsed time is 00:00:00" {  } {  } 0 0 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Info: Fitter placement operations beginning" {  } {  } 0 0 "Fitter placement operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Info: Fitter placement was successful" {  } {  } 0 0 "Fitter placement was successful" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:03 " "Info: Fitter placement operations ending: elapsed time is 00:00:03" {  } {  } 0 0 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_SLACK_TPD_RESULT" "register vga_driver:vga_driver_unit\|hsync_state_0 register vga_driver:vga_driver_unit\|line_counter_sig_2 -4.369 ns " "Info: Slack time is -4.369 ns between source register \"vga_driver:vga_driver_unit\|hsync_state_0\" and destination register \"vga_driver:vga_driver_unit\|line_counter_sig_2\"" { { "Info" "ITDB_FULL_P2P_REQUIREMENT_RESULT" "0.814 ns + Largest register register " "Info: + Largest register to register requirement is 0.814 ns" {  } {  } 0 0 "%2!c! %3!s! %4!s! to %5!s! requirement is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.707 ns   Shortest register " "Info:   Shortest clock path from clock \"clk_pin\" to destination register is 3.707 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns clk_pin 1 CLK Unassigned 82 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.006 ns) + CELL(0.560 ns) 3.707 ns vga_driver:vga_driver_unit\|line_counter_sig_2 2 REG Unassigned 9 " "Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.566 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.701 ns ( 45.89 % ) " "Info: Total cell delay = 1.701 ns ( 45.89 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "2.006 ns ( 54.11 % ) " "Info: Total interconnect delay = 2.006 ns ( 54.11 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.707 ns   Longest register " "Info:   Longest clock path from clock \"clk_pin\" to destination register is 3.707 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns clk_pin 1 CLK Unassigned 82 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.006 ns) + CELL(0.560 ns) 3.707 ns vga_driver:vga_driver_unit\|line_counter_sig_2 2 REG Unassigned 9 " "Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.566 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.701 ns ( 45.89 % ) " "Info: Total cell delay = 1.701 ns ( 45.89 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "2.006 ns ( 54.11 % ) " "Info: Total interconnect delay = 2.006 ns ( 54.11 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.707 ns   Shortest register " "Info:   Shortest clock path from clock \"clk_pin\" to source register is 3.707 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns clk_pin 1 CLK Unassigned 82 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.006 ns) + CELL(0.560 ns) 3.707 ns vga_driver:vga_driver_unit\|hsync_state_0 2 REG Unassigned 4 " "Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.566 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.701 ns ( 45.89 % ) " "Info: Total cell delay = 1.701 ns ( 45.89 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "2.006 ns ( 54.11 % ) " "Info: Total interconnect delay = 2.006 ns ( 54.11 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.707 ns   Longest register " "Info:   Longest clock path from clock \"clk_pin\" to source register is 3.707 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(1.141 ns) 1.141 ns clk_pin 1 CLK Unassigned 82 " "Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(2.006 ns) + CELL(0.560 ns) 3.707 ns vga_driver:vga_driver_unit\|hsync_state_0 2 REG Unassigned 4 " "Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.566 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.701 ns ( 45.89 % ) " "Info: Total cell delay = 1.701 ns ( 45.89 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "2.006 ns ( 54.11 % ) " "Info: Total interconnect delay = 2.006 ns ( 54.11 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns   " "Info:   Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns   " "Info:   Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.183 ns - Longest register register " "Info: - Longest register to register delay is 5.183 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|hsync_state_0 1 REG Unassigned 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.030 ns) + CELL(0.332 ns) 1.362 ns vga_driver:vga_driver_unit\|d_set_hsync_counter 2 COMB Unassigned 10 " "Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = Unassigned; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit\|d_set_hsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.362 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 156 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.750 ns) + CELL(0.451 ns) 3.563 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9 3 COMB Unassigned 2 " "Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.201 ns" { vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 227 38 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.449 ns) 4.012 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\] 4 COMB Unassigned 1 " "Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.449 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 226 41 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.682 ns) + CELL(0.489 ns) 5.183 ns vga_driver:vga_driver_unit\|line_counter_sig_2 5 REG Unassigned 9 " "Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.171 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.721 ns ( 33.20 % ) " "Info: Total cell delay = 1.721 ns ( 33.20 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "3.462 ns ( 66.80 % ) " "Info: Total interconnect delay = 3.462 ns ( 66.80 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.183 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.183 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } }  } 0 0 "Slack time is %5!s! between source %1!s! \"%2!s!\" and destination %3!s! \"%4!s!\"" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_ESTIMATED_DATA_PATH_RESULT" "5.183 ns register register " "Info: Estimated most critical path is register to register delay of 5.183 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|hsync_state_0 1 REG LAB_X18_Y22 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X18_Y22; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.030 ns) + CELL(0.332 ns) 1.362 ns vga_driver:vga_driver_unit\|d_set_hsync_counter 2 COMB LAB_X18_Y26 10 " "Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = LAB_X18_Y26; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit\|d_set_hsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.362 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 156 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.750 ns) + CELL(0.451 ns) 3.563 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9 3 COMB LAB_X35_Y18 2 " "Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = LAB_X35_Y18; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.201 ns" { vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 227 38 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.449 ns) 4.012 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\] 4 COMB LAB_X35_Y18 1 " "Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = LAB_X35_Y18; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.449 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 226 41 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.682 ns) + CELL(0.489 ns) 5.183 ns vga_driver:vga_driver_unit\|line_counter_sig_2 5 REG LAB_X33_Y18 9 " "Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = LAB_X33_Y18; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.171 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.721 ns ( 33.20 % ) " "Info: Total cell delay = 1.721 ns ( 33.20 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "3.462 ns ( 66.80 % ) " "Info: Total interconnect delay = 3.462 ns ( 66.80 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.183 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } }  } 0 0 "Estimated most critical path is %2!s! to %3!s! delay of %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Info: Fitter routing operations beginning" {  } {  } 0 0 "Fitter routing operations beginning" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Info: Average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "1 X11_Y12 X21_Y23 " "Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X11_Y12 to location X21_Y23" {  } {  } 0 0 "Peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "" 0 -1}  } {  } 0 0 "Average interconnect usage is %1!d!%% of the available device resources" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Info: Fitter routing operations ending: elapsed time is 00:00:01" {  } {  } 0 0 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "" 0 -1}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Info: Optimizations that may affect the design's routability were skipped" {  } {  } 0 0 "Optimizations that may affect the design's routability were skipped" 0 0 "" 0 -1} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Info: Optimizations that may affect the design's timing were skipped" {  } {  } 0 0 "Optimizations that may affect the design's timing were skipped" 0 0 "" 0 -1}  } {  } 0 0 "The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time." 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Fixed Delay Chain Operation " "Info: Completed Fixed Delay Chain Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Info" "IDAT_DAT_STARTED" "" "Info: Started post-fitting delay annotation" {  } {  } 0 0 "Started post-fitting delay annotation" 0 0 "" 0 -1}
+{ "Info" "IDAT_DAT_COMPLETED" "" "Info: Delay annotation completed successfully" {  } {  } 0 0 "Delay annotation completed successfully" 0 0 "" 0 -1}
+{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Delay Chain Operation " "Info: Completed Auto Delay Chain Operation" {  } {  } 0 0 "Completed %1!s!" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN" "19 " "Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results" { { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r0_pin GND " "Info: Pin r0_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r0_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6125 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r1_pin GND " "Info: Pin r1_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r1_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6112 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "r2_pin GND " "Info: Pin r2_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { r2_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6099 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { r2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g0_pin GND " "Info: Pin g0_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g0_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6086 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g0_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g1_pin GND " "Info: Pin g1_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g1_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6073 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g1_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "g2_pin GND " "Info: Pin g2_pin has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { g2_pin } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6060 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { g2_pin } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[0\] GND " "Info: Pin seven_seg_pin\[0\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[0] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5995 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[0] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[3\] GND " "Info: Pin seven_seg_pin\[3\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[3] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5956 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[3] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[4\] GND " "Info: Pin seven_seg_pin\[4\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[4] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5943 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[4] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[5\] GND " "Info: Pin seven_seg_pin\[5\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[5] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5930 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[5] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[6\] GND " "Info: Pin seven_seg_pin\[6\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[6] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5917 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[6] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "seven_seg_pin\[13\] GND " "Info: Pin seven_seg_pin\[13\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { seven_seg_pin[13] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5826 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { seven_seg_pin[13] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_r GND " "Info: Pin d_r has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_r } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5202 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_r } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_g GND " "Info: Pin d_g has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_g } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 5189 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_g } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[20\] GND " "Info: Pin d_toggle_counter\[20\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[20] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4695 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[20] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[21\] GND " "Info: Pin d_toggle_counter\[21\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[21] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4682 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[21] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[22\] GND " "Info: Pin d_toggle_counter\[22\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[22] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4669 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[22] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[23\] GND " "Info: Pin d_toggle_counter\[23\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[23] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4656 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[23] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1} { "Info" "IFIOMGR_BIDIR_OR_OUTPUT_WITH_TRIVIAL_DATAIN_SUB" "d_toggle_counter\[24\] GND " "Info: Pin d_toggle_counter\[24\] has GND driving its datain port" {  } { { "/opt/quartus/quartus/linux/pin_planner.ppl" "" { PinPlanner "/opt/quartus/quartus/linux/pin_planner.ppl" { d_toggle_counter[24] } } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4643 3 0 } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { d_toggle_counter[24] } "NODE_NAME" } }  } 0 0 "Pin %1!s! has %2!s! driving its datain port" 0 0 "" 0 -1}  } {  } 0 0 "Following %1!d! pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results" 0 0 "" 0 -1}
+{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." {  } {  } 0 0 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "" 0 -1}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg " "Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg" {  } {  } 0 0 "Generated suppressed messages file %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II " "Info: Quartus II Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "320 " "Info: Peak virtual memory: 320 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:31:10 2009 " "Info: Processing ended: Tue Nov  3 17:31:10 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:33 " "Info: Elapsed time: 00:00:33" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:30 " "Info: Total CPU time (on all processors): 00:00:30" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/sim/db/vga.hier_info b/bsp4/Designflow/ppr/sim/db/vga.hier_info
new file mode 100644 (file)
index 0000000..afa86be
--- /dev/null
@@ -0,0 +1,387 @@
+|vga
+clk_pin => clk_pin_in.PADIO
+reset_pin => reset_pin_in.PADIO
+r0_pin <= r0_pin_out.PADIO
+r1_pin <= r1_pin_out.PADIO
+r2_pin <= r2_pin_out.PADIO
+g0_pin <= g0_pin_out.PADIO
+g1_pin <= g1_pin_out.PADIO
+g2_pin <= g2_pin_out.PADIO
+b0_pin <= b0_pin_out.PADIO
+b1_pin <= b1_pin_out.PADIO
+hsync_pin <= hsync_pin_out.PADIO
+vsync_pin <= vsync_pin_out.PADIO
+seven_seg_pin[0] <= seven_seg_pin_tri_0_.PADIO
+seven_seg_pin[1] <= seven_seg_pin_out_1_.PADIO
+seven_seg_pin[2] <= seven_seg_pin_out_2_.PADIO
+seven_seg_pin[3] <= seven_seg_pin_tri_3_.PADIO
+seven_seg_pin[4] <= seven_seg_pin_tri_4_.PADIO
+seven_seg_pin[5] <= seven_seg_pin_tri_5_.PADIO
+seven_seg_pin[6] <= seven_seg_pin_tri_6_.PADIO
+seven_seg_pin[7] <= seven_seg_pin_out_7_.PADIO
+seven_seg_pin[8] <= seven_seg_pin_out_8_.PADIO
+seven_seg_pin[9] <= seven_seg_pin_out_9_.PADIO
+seven_seg_pin[10] <= seven_seg_pin_out_10_.PADIO
+seven_seg_pin[11] <= seven_seg_pin_out_11_.PADIO
+seven_seg_pin[12] <= seven_seg_pin_out_12_.PADIO
+seven_seg_pin[13] <= seven_seg_pin_tri_13_.PADIO
+d_hsync <= d_hsync_out.PADIO
+d_vsync <= d_vsync_out.PADIO
+d_column_counter[0] <= d_column_counter_out_0_.PADIO
+d_column_counter[1] <= d_column_counter_out_1_.PADIO
+d_column_counter[2] <= d_column_counter_out_2_.PADIO
+d_column_counter[3] <= d_column_counter_out_3_.PADIO
+d_column_counter[4] <= d_column_counter_out_4_.PADIO
+d_column_counter[5] <= d_column_counter_out_5_.PADIO
+d_column_counter[6] <= d_column_counter_out_6_.PADIO
+d_column_counter[7] <= d_column_counter_out_7_.PADIO
+d_column_counter[8] <= d_column_counter_out_8_.PADIO
+d_column_counter[9] <= d_column_counter_out_9_.PADIO
+d_line_counter[0] <= d_line_counter_out_0_.PADIO
+d_line_counter[1] <= d_line_counter_out_1_.PADIO
+d_line_counter[2] <= d_line_counter_out_2_.PADIO
+d_line_counter[3] <= d_line_counter_out_3_.PADIO
+d_line_counter[4] <= d_line_counter_out_4_.PADIO
+d_line_counter[5] <= d_line_counter_out_5_.PADIO
+d_line_counter[6] <= d_line_counter_out_6_.PADIO
+d_line_counter[7] <= d_line_counter_out_7_.PADIO
+d_line_counter[8] <= d_line_counter_out_8_.PADIO
+d_set_column_counter <= d_set_column_counter_out.PADIO
+d_set_line_counter <= d_set_line_counter_out.PADIO
+d_hsync_counter[0] <= d_hsync_counter_out_0_.PADIO
+d_hsync_counter[1] <= d_hsync_counter_out_1_.PADIO
+d_hsync_counter[2] <= d_hsync_counter_out_2_.PADIO
+d_hsync_counter[3] <= d_hsync_counter_out_3_.PADIO
+d_hsync_counter[4] <= d_hsync_counter_out_4_.PADIO
+d_hsync_counter[5] <= d_hsync_counter_out_5_.PADIO
+d_hsync_counter[6] <= d_hsync_counter_out_6_.PADIO
+d_hsync_counter[7] <= d_hsync_counter_out_7_.PADIO
+d_hsync_counter[8] <= d_hsync_counter_out_8_.PADIO
+d_hsync_counter[9] <= d_hsync_counter_out_9_.PADIO
+d_vsync_counter[0] <= d_vsync_counter_out_0_.PADIO
+d_vsync_counter[1] <= d_vsync_counter_out_1_.PADIO
+d_vsync_counter[2] <= d_vsync_counter_out_2_.PADIO
+d_vsync_counter[3] <= d_vsync_counter_out_3_.PADIO
+d_vsync_counter[4] <= d_vsync_counter_out_4_.PADIO
+d_vsync_counter[5] <= d_vsync_counter_out_5_.PADIO
+d_vsync_counter[6] <= d_vsync_counter_out_6_.PADIO
+d_vsync_counter[7] <= d_vsync_counter_out_7_.PADIO
+d_vsync_counter[8] <= d_vsync_counter_out_8_.PADIO
+d_vsync_counter[9] <= d_vsync_counter_out_9_.PADIO
+d_set_hsync_counter <= d_set_hsync_counter_out.PADIO
+d_set_vsync_counter <= d_set_vsync_counter_out.PADIO
+d_h_enable <= d_h_enable_out.PADIO
+d_v_enable <= d_v_enable_out.PADIO
+d_r <= d_r_out.PADIO
+d_g <= d_g_out.PADIO
+d_b <= d_b_out.PADIO
+d_hsync_state[6] <= d_hsync_state_out_6_.PADIO
+d_hsync_state[5] <= d_hsync_state_out_5_.PADIO
+d_hsync_state[4] <= d_hsync_state_out_4_.PADIO
+d_hsync_state[3] <= d_hsync_state_out_3_.PADIO
+d_hsync_state[2] <= d_hsync_state_out_2_.PADIO
+d_hsync_state[1] <= d_hsync_state_out_1_.PADIO
+d_hsync_state[0] <= d_hsync_state_out_0_.PADIO
+d_vsync_state[6] <= d_vsync_state_out_6_.PADIO
+d_vsync_state[5] <= d_vsync_state_out_5_.PADIO
+d_vsync_state[4] <= d_vsync_state_out_4_.PADIO
+d_vsync_state[3] <= d_vsync_state_out_3_.PADIO
+d_vsync_state[2] <= d_vsync_state_out_2_.PADIO
+d_vsync_state[1] <= d_vsync_state_out_1_.PADIO
+d_vsync_state[0] <= d_vsync_state_out_0_.PADIO
+d_state_clk <= d_state_clk_out.PADIO
+d_toggle <= d_toggle_out.PADIO
+d_toggle_counter[0] <= d_toggle_counter_out_0_.PADIO
+d_toggle_counter[1] <= d_toggle_counter_out_1_.PADIO
+d_toggle_counter[2] <= d_toggle_counter_out_2_.PADIO
+d_toggle_counter[3] <= d_toggle_counter_out_3_.PADIO
+d_toggle_counter[4] <= d_toggle_counter_out_4_.PADIO
+d_toggle_counter[5] <= d_toggle_counter_out_5_.PADIO
+d_toggle_counter[6] <= d_toggle_counter_out_6_.PADIO
+d_toggle_counter[7] <= d_toggle_counter_out_7_.PADIO
+d_toggle_counter[8] <= d_toggle_counter_out_8_.PADIO
+d_toggle_counter[9] <= d_toggle_counter_out_9_.PADIO
+d_toggle_counter[10] <= d_toggle_counter_out_10_.PADIO
+d_toggle_counter[11] <= d_toggle_counter_out_11_.PADIO
+d_toggle_counter[12] <= d_toggle_counter_out_12_.PADIO
+d_toggle_counter[13] <= d_toggle_counter_out_13_.PADIO
+d_toggle_counter[14] <= d_toggle_counter_out_14_.PADIO
+d_toggle_counter[15] <= d_toggle_counter_out_15_.PADIO
+d_toggle_counter[16] <= d_toggle_counter_out_16_.PADIO
+d_toggle_counter[17] <= d_toggle_counter_out_17_.PADIO
+d_toggle_counter[18] <= d_toggle_counter_out_18_.PADIO
+d_toggle_counter[19] <= d_toggle_counter_out_19_.PADIO
+d_toggle_counter[20] <= d_toggle_counter_out_20_.PADIO
+d_toggle_counter[21] <= d_toggle_counter_out_21_.PADIO
+d_toggle_counter[22] <= d_toggle_counter_out_22_.PADIO
+d_toggle_counter[23] <= d_toggle_counter_out_23_.PADIO
+d_toggle_counter[24] <= d_toggle_counter_out_24_.PADIO
+
+
+|vga|vga_driver:vga_driver_unit
+line_counter_sig_0 <= line_counter_sig_0_.REGOUT
+line_counter_sig_1 <= line_counter_sig_1_.REGOUT
+line_counter_sig_2 <= line_counter_sig_2_.REGOUT
+line_counter_sig_3 <= line_counter_sig_3_.REGOUT
+line_counter_sig_4 <= line_counter_sig_4_.REGOUT
+line_counter_sig_5 <= line_counter_sig_5_.REGOUT
+line_counter_sig_6 <= line_counter_sig_6_.REGOUT
+line_counter_sig_7 <= line_counter_sig_7_.REGOUT
+line_counter_sig_8 <= line_counter_sig_8_.REGOUT
+dly_counter_1 => vsync_state_6_.DATAC
+dly_counter_1 => h_sync_Z.DATAC
+dly_counter_1 => v_sync_Z.DATAC
+dly_counter_1 => hsync_counter_next_1_sqmuxa_cZ.DATAC
+dly_counter_1 => column_counter_next_0_sqmuxa_1_1_cZ.DATAC
+dly_counter_1 => line_counter_next_0_sqmuxa_1_1_cZ.DATAC
+dly_counter_1 => vsync_counter_next_1_sqmuxa_cZ.DATAC
+dly_counter_0 => vsync_state_6_.DATAB
+dly_counter_0 => h_sync_Z.DATAB
+dly_counter_0 => v_sync_Z.DATAB
+dly_counter_0 => hsync_counter_next_1_sqmuxa_cZ.DATAB
+dly_counter_0 => column_counter_next_0_sqmuxa_1_1_cZ.DATAB
+dly_counter_0 => line_counter_next_0_sqmuxa_1_1_cZ.DATAB
+dly_counter_0 => vsync_counter_next_1_sqmuxa_cZ.DATAB
+vsync_state_2 <= vsync_state_2_.REGOUT
+vsync_state_5 <= vsync_state_5_.REGOUT
+vsync_state_3 <= vsync_state_3_.REGOUT
+vsync_state_6 <= vsync_state_6_.REGOUT
+vsync_state_4 <= vsync_state_4_.REGOUT
+vsync_state_1 <= vsync_state_1_.REGOUT
+vsync_state_0 <= vsync_state_0_.REGOUT
+hsync_state_2 <= hsync_state_2_.REGOUT
+hsync_state_4 <= hsync_state_4_.REGOUT
+hsync_state_0 <= hsync_state_0_.REGOUT
+hsync_state_5 <= hsync_state_5_.REGOUT
+hsync_state_1 <= hsync_state_1_.REGOUT
+hsync_state_3 <= hsync_state_3_.REGOUT
+hsync_state_6 <= hsync_state_6_.REGOUT
+column_counter_sig_0 <= column_counter_sig_0_.REGOUT
+column_counter_sig_1 <= column_counter_sig_1_.REGOUT
+column_counter_sig_2 <= column_counter_sig_2_.REGOUT
+column_counter_sig_3 <= column_counter_sig_3_.REGOUT
+column_counter_sig_4 <= column_counter_sig_4_.REGOUT
+column_counter_sig_5 <= column_counter_sig_5_.REGOUT
+column_counter_sig_6 <= column_counter_sig_6_.REGOUT
+column_counter_sig_7 <= column_counter_sig_7_.REGOUT
+column_counter_sig_8 <= column_counter_sig_8_.REGOUT
+column_counter_sig_9 <= column_counter_sig_9_.REGOUT
+vsync_counter_9 <= vsync_counter_9_.REGOUT
+vsync_counter_8 <= vsync_counter_8_.REGOUT
+vsync_counter_7 <= vsync_counter_7_.REGOUT
+vsync_counter_6 <= vsync_counter_6_.REGOUT
+vsync_counter_5 <= vsync_counter_5_.REGOUT
+vsync_counter_4 <= vsync_counter_4_.REGOUT
+vsync_counter_3 <= vsync_counter_3_.REGOUT
+vsync_counter_2 <= vsync_counter_2_.REGOUT
+vsync_counter_1 <= vsync_counter_1_.REGOUT
+vsync_counter_0 <= vsync_counter_0_.REGOUT
+hsync_counter_9 <= hsync_counter_9_.REGOUT
+hsync_counter_8 <= hsync_counter_8_.REGOUT
+hsync_counter_7 <= hsync_counter_7_.REGOUT
+hsync_counter_6 <= hsync_counter_6_.REGOUT
+hsync_counter_5 <= hsync_counter_5_.REGOUT
+hsync_counter_4 <= hsync_counter_4_.REGOUT
+hsync_counter_3 <= hsync_counter_3_.REGOUT
+hsync_counter_2 <= hsync_counter_2_.REGOUT
+hsync_counter_1 <= hsync_counter_1_.REGOUT
+hsync_counter_0 <= hsync_counter_0_.REGOUT
+d_set_vsync_counter <= d_set_vsync_counter_cZ.COMBOUT
+un10_column_counter_siglt6_1 <= COLUMN_COUNT_next_un10_column_counter_siglt6_1.COMBOUT
+v_sync <= v_sync_Z.REGOUT
+h_sync <= h_sync_Z.REGOUT
+h_enable_sig <= h_enable_sig_Z.REGOUT
+v_enable_sig <= v_enable_sig_Z.REGOUT
+reset_pin_c => vsync_state_6_.DATAA
+reset_pin_c => h_sync_Z.DATAA
+reset_pin_c => v_sync_Z.DATAA
+reset_pin_c => hsync_counter_next_1_sqmuxa_cZ.DATAA
+reset_pin_c => column_counter_next_0_sqmuxa_1_1_cZ.DATAA
+reset_pin_c => line_counter_next_0_sqmuxa_1_1_cZ.DATAA
+reset_pin_c => vsync_counter_next_1_sqmuxa_cZ.DATAA
+un6_dly_counter_0_x <= vsync_state_6_.COMBOUT
+d_set_hsync_counter <= d_set_hsync_counter_cZ.COMBOUT
+clk_pin_c => hsync_counter_0_.CLK
+clk_pin_c => hsync_counter_1_.CLK
+clk_pin_c => hsync_counter_2_.CLK
+clk_pin_c => hsync_counter_3_.CLK
+clk_pin_c => hsync_counter_4_.CLK
+clk_pin_c => hsync_counter_5_.CLK
+clk_pin_c => hsync_counter_6_.CLK
+clk_pin_c => hsync_counter_7_.CLK
+clk_pin_c => hsync_counter_8_.CLK
+clk_pin_c => hsync_counter_9_.CLK
+clk_pin_c => vsync_counter_0_.CLK
+clk_pin_c => vsync_counter_1_.CLK
+clk_pin_c => vsync_counter_2_.CLK
+clk_pin_c => vsync_counter_3_.CLK
+clk_pin_c => vsync_counter_4_.CLK
+clk_pin_c => vsync_counter_5_.CLK
+clk_pin_c => vsync_counter_6_.CLK
+clk_pin_c => vsync_counter_7_.CLK
+clk_pin_c => vsync_counter_8_.CLK
+clk_pin_c => vsync_counter_9_.CLK
+clk_pin_c => column_counter_sig_9_.CLK
+clk_pin_c => column_counter_sig_8_.CLK
+clk_pin_c => column_counter_sig_7_.CLK
+clk_pin_c => column_counter_sig_6_.CLK
+clk_pin_c => column_counter_sig_5_.CLK
+clk_pin_c => column_counter_sig_4_.CLK
+clk_pin_c => column_counter_sig_3_.CLK
+clk_pin_c => column_counter_sig_2_.CLK
+clk_pin_c => column_counter_sig_1_.CLK
+clk_pin_c => column_counter_sig_0_.CLK
+clk_pin_c => hsync_state_6_.CLK
+clk_pin_c => vsync_state_0_.CLK
+clk_pin_c => vsync_state_1_.CLK
+clk_pin_c => vsync_state_6_.CLK
+clk_pin_c => line_counter_sig_8_.CLK
+clk_pin_c => line_counter_sig_7_.CLK
+clk_pin_c => line_counter_sig_6_.CLK
+clk_pin_c => line_counter_sig_5_.CLK
+clk_pin_c => line_counter_sig_4_.CLK
+clk_pin_c => line_counter_sig_3_.CLK
+clk_pin_c => line_counter_sig_2_.CLK
+clk_pin_c => line_counter_sig_1_.CLK
+clk_pin_c => line_counter_sig_0_.CLK
+clk_pin_c => v_enable_sig_Z.CLK
+clk_pin_c => h_enable_sig_Z.CLK
+clk_pin_c => h_sync_Z.CLK
+clk_pin_c => v_sync_Z.CLK
+clk_pin_c => vsync_state_5_.CLK
+clk_pin_c => vsync_state_4_.CLK
+clk_pin_c => vsync_state_3_.CLK
+clk_pin_c => vsync_state_2_.CLK
+clk_pin_c => hsync_state_5_.CLK
+clk_pin_c => hsync_state_4_.CLK
+clk_pin_c => hsync_state_3_.CLK
+clk_pin_c => hsync_state_2_.CLK
+clk_pin_c => hsync_state_1_.CLK
+clk_pin_c => hsync_state_0_.CLK
+
+
+|vga|vga_control:vga_control_unit
+column_counter_sig_5 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAA
+column_counter_sig_0 => DRAW_SQUARE_next_un5_v_enablelto3.DATAC
+column_counter_sig_1 => DRAW_SQUARE_next_un5_v_enablelto3.DATAA
+column_counter_sig_3 => DRAW_SQUARE_next_un9_v_enablelto6.DATAC
+column_counter_sig_3 => DRAW_SQUARE_next_un5_v_enablelto3.DATAD
+column_counter_sig_4 => DRAW_SQUARE_next_un9_v_enablelto6.DATAB
+column_counter_sig_4 => DRAW_SQUARE_next_un5_v_enablelto5_0.DATAB
+column_counter_sig_2 => DRAW_SQUARE_next_un9_v_enablelto6.DATAA
+column_counter_sig_2 => DRAW_SQUARE_next_un5_v_enablelto3.DATAB
+column_counter_sig_9 => DRAW_SQUARE_next_un9_v_enablelto9.DATAC
+column_counter_sig_9 => b_next_0_g0_3_cZ.DATAD
+column_counter_sig_8 => DRAW_SQUARE_next_un9_v_enablelto9.DATAB
+column_counter_sig_8 => b_next_0_g0_3_cZ.DATAC
+column_counter_sig_7 => DRAW_SQUARE_next_un5_v_enablelto7.DATAB
+column_counter_sig_7 => DRAW_SQUARE_next_un9_v_enablelto9.DATAA
+column_counter_sig_6 => DRAW_SQUARE_next_un5_v_enablelto7.DATAA
+line_counter_sig_0 => DRAW_SQUARE_next_un17_v_enablelt2.DATAC
+line_counter_sig_1 => DRAW_SQUARE_next_un17_v_enablelt2.DATAA
+line_counter_sig_2 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAA
+line_counter_sig_2 => DRAW_SQUARE_next_un17_v_enablelt2.DATAB
+line_counter_sig_8 => DRAW_SQUARE_next_un13_v_enablelto8.DATAA
+line_counter_sig_8 => b_next_0_g0_3_cZ.DATAA
+line_counter_sig_3 => DRAW_SQUARE_next_un17_v_enablelto5.DATAC
+line_counter_sig_3 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAC
+line_counter_sig_5 => DRAW_SQUARE_next_un17_v_enablelto5.DATAB
+line_counter_sig_5 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAD
+line_counter_sig_4 => DRAW_SQUARE_next_un17_v_enablelto5.DATAA
+line_counter_sig_4 => DRAW_SQUARE_next_un13_v_enablelto8_a.DATAB
+line_counter_sig_7 => DRAW_SQUARE_next_un17_v_enablelto7.DATAB
+line_counter_sig_7 => DRAW_SQUARE_next_un13_v_enablelto8.DATAB
+line_counter_sig_6 => DRAW_SQUARE_next_un17_v_enablelto7.DATAA
+line_counter_sig_6 => DRAW_SQUARE_next_un13_v_enablelto8.DATAC
+toggle_counter_sig_0 <= toggle_counter_sig_0_.REGOUT
+toggle_counter_sig_1 <= toggle_counter_sig_1_.REGOUT
+toggle_counter_sig_2 <= toggle_counter_sig_2_.REGOUT
+toggle_counter_sig_3 <= toggle_counter_sig_3_.REGOUT
+toggle_counter_sig_4 <= toggle_counter_sig_4_.REGOUT
+toggle_counter_sig_5 <= toggle_counter_sig_5_.REGOUT
+toggle_counter_sig_6 <= toggle_counter_sig_6_.REGOUT
+toggle_counter_sig_7 <= toggle_counter_sig_7_.REGOUT
+toggle_counter_sig_8 <= toggle_counter_sig_8_.REGOUT
+toggle_counter_sig_9 <= toggle_counter_sig_9_.REGOUT
+toggle_counter_sig_10 <= toggle_counter_sig_10_.REGOUT
+toggle_counter_sig_11 <= toggle_counter_sig_11_.REGOUT
+toggle_counter_sig_12 <= toggle_counter_sig_12_.REGOUT
+toggle_counter_sig_13 <= toggle_counter_sig_13_.REGOUT
+toggle_counter_sig_14 <= toggle_counter_sig_14_.REGOUT
+toggle_counter_sig_15 <= toggle_counter_sig_15_.REGOUT
+toggle_counter_sig_16 <= toggle_counter_sig_16_.REGOUT
+toggle_counter_sig_17 <= toggle_counter_sig_17_.REGOUT
+toggle_counter_sig_18 <= toggle_counter_sig_18_.REGOUT
+toggle_counter_sig_19 <= toggle_counter_sig_19_.REGOUT
+toggle_counter_sig_20 <= toggle_counter_sig_20_.REGOUT
+toggle_counter_sig_21 <= toggle_counter_sig_21_.REGOUT
+toggle_counter_sig_22 <= toggle_counter_sig_22_.REGOUT
+toggle_counter_sig_23 <= toggle_counter_sig_23_.REGOUT
+toggle_counter_sig_24 <= toggle_counter_sig_24_.REGOUT
+v_enable_sig => b_next_0_g0_3_cZ.DATAB
+un10_column_counter_siglt6_1 => DRAW_SQUARE_next_un9_v_enablelto6.DATAD
+h_enable_sig => b_next_0_g0_5_cZ.DATAA
+g <= g_Z.REGOUT
+r <= r_Z.REGOUT
+b <= b_Z.REGOUT
+toggle_sig <= toggle_sig_Z.REGOUT
+un6_dly_counter_0_x => toggle_counter_sig_24_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_23_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_22_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_21_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_20_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_19_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_18_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_17_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_16_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_15_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_14_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_13_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_12_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_11_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_10_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_9_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_8_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_7_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_6_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_5_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_4_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_3_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_2_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_1_.ACLR
+un6_dly_counter_0_x => toggle_counter_sig_0_.ACLR
+un6_dly_counter_0_x => toggle_sig_Z.ACLR
+un6_dly_counter_0_x => b_Z.ACLR
+un6_dly_counter_0_x => r_Z.ACLR
+un6_dly_counter_0_x => g_Z.ACLR
+clk_pin_c => toggle_counter_sig_24_.CLK
+clk_pin_c => toggle_counter_sig_23_.CLK
+clk_pin_c => toggle_counter_sig_22_.CLK
+clk_pin_c => toggle_counter_sig_21_.CLK
+clk_pin_c => toggle_counter_sig_20_.CLK
+clk_pin_c => toggle_counter_sig_19_.CLK
+clk_pin_c => toggle_counter_sig_18_.CLK
+clk_pin_c => toggle_counter_sig_17_.CLK
+clk_pin_c => toggle_counter_sig_16_.CLK
+clk_pin_c => toggle_counter_sig_15_.CLK
+clk_pin_c => toggle_counter_sig_14_.CLK
+clk_pin_c => toggle_counter_sig_13_.CLK
+clk_pin_c => toggle_counter_sig_12_.CLK
+clk_pin_c => toggle_counter_sig_11_.CLK
+clk_pin_c => toggle_counter_sig_10_.CLK
+clk_pin_c => toggle_counter_sig_9_.CLK
+clk_pin_c => toggle_counter_sig_8_.CLK
+clk_pin_c => toggle_counter_sig_7_.CLK
+clk_pin_c => toggle_counter_sig_6_.CLK
+clk_pin_c => toggle_counter_sig_5_.CLK
+clk_pin_c => toggle_counter_sig_4_.CLK
+clk_pin_c => toggle_counter_sig_3_.CLK
+clk_pin_c => toggle_counter_sig_2_.CLK
+clk_pin_c => toggle_counter_sig_1_.CLK
+clk_pin_c => toggle_counter_sig_0_.CLK
+clk_pin_c => toggle_sig_Z.CLK
+clk_pin_c => b_Z.CLK
+clk_pin_c => r_Z.CLK
+clk_pin_c => g_Z.CLK
+
+
diff --git a/bsp4/Designflow/ppr/sim/db/vga.hif b/bsp4/Designflow/ppr/sim/db/vga.hif
new file mode 100644 (file)
index 0000000..00d7cb6
--- /dev/null
@@ -0,0 +1,79 @@
+Version 9.0 Build 132 02/25/2009 SJ Full Version
+45
+3235
+OFF
+OFF
+OFF
+ON
+ON
+ON
+FV_OFF
+Level2
+0
+0
+VRSM_ON
+VHSM_ON
+synplcty.lmf
+-- Start Library Paths --
+-- End Library Paths --
+-- Start VHDL Libraries --
+-- End VHDL Libraries --
+# entity
+vga
+# storage
+db|vga.(0).cnf
+db|vga.(0).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+|
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vga_driver
+# storage
+db|vga.(1).cnf
+db|vga.(1).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+vga_driver:vga_driver_unit
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# entity
+vga_control
+# storage
+db|vga.(2).cnf
+db|vga.(2).cnf
+# case_sensitive
+# source_file
+..|..|syn|rev_1|vga.vqm
+e33e0798c86c3ba06af14062cce4d
+28
+# hierarchies {
+vga_control:vga_control_unit
+}
+# lmf
+|opt|quartus|quartus|lmf|synplcty.lmf
+3057712873b497a38b70a3917f30cc38
+# macro_sequence
+
+# end
+# complete
+\r
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/sim/db/vga.lpc.html b/bsp4/Designflow/ppr/sim/db/vga.lpc.html
new file mode 100644 (file)
index 0000000..be9bd42
--- /dev/null
@@ -0,0 +1,50 @@
+<TABLE BORDER="1" cellspacing="1" cellpadding="2">
+<TR valign="middle" bgcolor="#C0C0C0">
+<TH>Hierarchy</TH>
+<TH>Input</TH>
+<TH>Constant Input</TH>
+<TH>Unused Input</TH>
+<TH>Floating Input</TH>
+<TH>Output</TH>
+<TH>Constant Output</TH>
+<TH>Unused Output</TH>
+<TH>Floating Output</TH>
+<TH>Bidir</TH>
+<TH>Constant Bidir</TH>
+<TH>Unused Bidir</TH>
+<TH>Input only Bidir</TH>
+<TH>Output only Bidir</TH>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">vga_control_unit</TD>
+<TD ALIGN="LEFT">24</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">29</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+<TR valign="middle">
+<TD ALIGN="LEFT">vga_driver_unit</TD>
+<TD ALIGN="LEFT">4</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">61</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+<TD ALIGN="LEFT">0</TD>
+</TR>
+</TABLE>
diff --git a/bsp4/Designflow/ppr/sim/db/vga.lpc.rdb b/bsp4/Designflow/ppr/sim/db/vga.lpc.rdb
new file mode 100644 (file)
index 0000000..6c0c677
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.lpc.rdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.lpc.txt b/bsp4/Designflow/ppr/sim/db/vga.lpc.txt
new file mode 100644 (file)
index 0000000..a8a2b5e
--- /dev/null
@@ -0,0 +1,8 @@
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Legal Partition Candidates                                                                                                                                                                                            ;
++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; Hierarchy        ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; vga_control_unit ; 24    ; 0              ; 0            ; 0              ; 29     ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
+; vga_driver_unit  ; 4     ; 0              ; 0            ; 0              ; 61     ; 0               ; 0             ; 0               ; 0     ; 0              ; 0            ; 0                ; 0                 ;
++------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.bpm b/bsp4/Designflow/ppr/sim/db/vga.map.bpm
new file mode 100644 (file)
index 0000000..c6ffac5
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map.bpm differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.cdb b/bsp4/Designflow/ppr/sim/db/vga.map.cdb
new file mode 100644 (file)
index 0000000..0c67c6f
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.ecobp b/bsp4/Designflow/ppr/sim/db/vga.map.ecobp
new file mode 100644 (file)
index 0000000..e05efff
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map.ecobp differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.hdb b/bsp4/Designflow/ppr/sim/db/vga.map.hdb
new file mode 100644 (file)
index 0000000..4c1e168
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.kpt b/bsp4/Designflow/ppr/sim/db/vga.map.kpt
new file mode 100644 (file)
index 0000000..e14f099
--- /dev/null
@@ -0,0 +1,1686 @@
+<kpt_db name="vga.map" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="/">
+    <key_point id="1" type="register">
+      <name>vga_driver_unit/column_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="2" type="register">
+      <name>vga_driver_unit/hsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="3" type="register">
+      <name>vga_driver_unit/hsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="4" type="register">
+      <name>vga_control_unit/toggle_counter_sig_24_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="5" type="register">
+      <name>vga_driver_unit/vsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="6" type="register">
+      <name>vga_control_unit/g_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="7" type="register">
+      <name>vga_control_unit/toggle_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="8" type="register">
+      <name>vga_driver_unit/hsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="9" type="register">
+      <name>vga_driver_unit/hsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="10" type="register">
+      <name>vga_driver_unit/v_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="11" type="register">
+      <name>vga_driver_unit/column_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="12" type="register">
+      <name>vga_driver_unit/column_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="13" type="register">
+      <name>vga_control_unit/toggle_counter_sig_14_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="14" type="register">
+      <name>vga_control_unit/toggle_counter_sig_13_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="15" type="register">
+      <name>vga_control_unit/toggle_counter_sig_21_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="16" type="register">
+      <name>vga_control_unit/toggle_counter_sig_16_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="17" type="register">
+      <name>vga_driver_unit/hsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="18" type="register">
+      <name>vga_driver_unit/vsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="19" type="register">
+      <name>vga_driver_unit/hsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="20" type="register">
+      <name>vga_driver_unit/vsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="21" type="register">
+      <name>vga_driver_unit/vsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="22" type="register">
+      <name>vga_driver_unit/vsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="23" type="register">
+      <name>vga_driver_unit/line_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="24" type="register">
+      <name>vga_control_unit/toggle_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="25" type="register">
+      <name>vga_driver_unit/column_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="26" type="register">
+      <name>vga_driver_unit/hsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="27" type="register">
+      <name>vga_driver_unit/hsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="28" type="register">
+      <name>vga_driver_unit/h_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="29" type="register">
+      <name>vga_driver_unit/vsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="30" type="register">
+      <name>vga_control_unit/toggle_counter_sig_23_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="31" type="register">
+      <name>vga_driver_unit/hsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="32" type="register">
+      <name>vga_driver_unit/column_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="33" type="register">
+      <name>vga_driver_unit/hsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="34" type="register">
+      <name>vga_control_unit/toggle_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="35" type="register">
+      <name>vga_driver_unit/hsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="36" type="register">
+      <name>vga_driver_unit/hsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="37" type="register">
+      <name>vga_driver_unit/line_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="38" type="register">
+      <name>vga_driver_unit/hsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="39" type="register">
+      <name>vga_control_unit/toggle_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="40" type="register">
+      <name>vga_control_unit/toggle_counter_sig_15_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="41" type="register">
+      <name>vga_control_unit/toggle_counter_sig_12_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="42" type="register">
+      <name>vga_control_unit/toggle_counter_sig_19_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="43" type="register">
+      <name>vga_driver_unit/column_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="44" type="register">
+      <name>vga_driver_unit/hsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="45" type="register">
+      <name>vga_control_unit/toggle_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="46" type="register">
+      <name>vga_driver_unit/line_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="47" type="register">
+      <name>vga_driver_unit/vsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="48" type="register">
+      <name>vga_driver_unit/hsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="49" type="register">
+      <name>dly_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="50" type="register">
+      <name>vga_control_unit/toggle_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="51" type="register">
+      <name>vga_driver_unit/vsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="52" type="register">
+      <name>vga_driver_unit/vsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="53" type="register">
+      <name>vga_driver_unit/column_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="54" type="register">
+      <name>vga_control_unit/toggle_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="55" type="register">
+      <name>vga_driver_unit/line_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="56" type="register">
+      <name>vga_driver_unit/vsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="57" type="register">
+      <name>vga_driver_unit/h_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="58" type="register">
+      <name>vga_driver_unit/vsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="59" type="register">
+      <name>vga_control_unit/toggle_counter_sig_11_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="60" type="register">
+      <name>dly_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="61" type="register">
+      <name>vga_driver_unit/vsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="62" type="register">
+      <name>vga_control_unit/r_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="63" type="register">
+      <name>vga_driver_unit/vsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="64" type="register">
+      <name>vga_driver_unit/column_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="65" type="register">
+      <name>vga_driver_unit/v_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="66" type="register">
+      <name>vga_control_unit/toggle_counter_sig_20_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="67" type="register">
+      <name>vga_driver_unit/line_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="68" type="register">
+      <name>vga_control_unit/toggle_counter_sig_18_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="69" type="register">
+      <name>vga_control_unit/toggle_counter_sig_17_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="70" type="register">
+      <name>vga_control_unit/toggle_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="71" type="register">
+      <name>vga_driver_unit/vsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="72" type="register">
+      <name>vga_driver_unit/column_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="73" type="register">
+      <name>vga_control_unit/toggle_counter_sig_22_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="74" type="register">
+      <name>vga_driver_unit/vsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="75" type="register">
+      <name>vga_control_unit/toggle_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="76" type="register">
+      <name>vga_control_unit/toggle_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="77" type="register">
+      <name>vga_control_unit/b_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="78" type="register">
+      <name>vga_control_unit/toggle_counter_sig_10_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="79" type="register">
+      <name>vga_control_unit/toggle_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="80" type="register">
+      <name>vga_driver_unit/line_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="81" type="register">
+      <name>vga_driver_unit/line_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="82" type="register">
+      <name>vga_driver_unit/hsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="83" type="register">
+      <name>vga_driver_unit/line_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="84" type="register">
+      <name>vga_driver_unit/vsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="85" type="register">
+      <name>vga_driver_unit/column_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="86" type="register">
+      <name>vga_driver_unit/hsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="87" type="register">
+      <name>vga_driver_unit/vsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="88" type="register">
+      <name>vga_driver_unit/line_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+    <key_point id="89" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_7</name>
+    </key_point>
+    <key_point id="90" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_10</name>
+    </key_point>
+    <key_point id="91" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_11</name>
+    </key_point>
+    <key_point id="92" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_0</name>
+    </key_point>
+    <key_point id="93" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_0</name>
+    </key_point>
+    <key_point id="94" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_1</name>
+    </key_point>
+    <key_point id="95" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_14</name>
+    </key_point>
+    <key_point id="96" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_1</name>
+    </key_point>
+    <key_point id="97" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_15</name>
+    </key_point>
+    <key_point id="98" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_2</name>
+    </key_point>
+    <key_point id="99" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_12</name>
+    </key_point>
+    <key_point id="100" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_4</name>
+    </key_point>
+    <key_point id="101" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_5</name>
+    </key_point>
+    <key_point id="102" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_13</name>
+    </key_point>
+    <key_point id="103" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_2</name>
+    </key_point>
+    <key_point id="104" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_3</name>
+    </key_point>
+    <key_point id="105" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_6</name>
+    </key_point>
+    <key_point id="106" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_5</name>
+    </key_point>
+    <key_point id="107" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_4</name>
+    </key_point>
+    <key_point id="108" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_4</name>
+    </key_point>
+    <key_point id="109" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_3</name>
+    </key_point>
+    <key_point id="110" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_3</name>
+    </key_point>
+    <key_point id="111" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_2</name>
+    </key_point>
+    <key_point id="112" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_2</name>
+    </key_point>
+    <key_point id="113" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_1</name>
+    </key_point>
+    <key_point id="114" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_1</name>
+    </key_point>
+    <key_point id="115" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_8</name>
+    </key_point>
+    <key_point id="116" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_0</name>
+    </key_point>
+    <key_point id="117" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_0</name>
+    </key_point>
+    <key_point id="118" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_7</name>
+    </key_point>
+    <key_point id="119" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_9</name>
+    </key_point>
+    <key_point id="120" type="register">
+      <name>vga_driver:vga_driver_unit|v_enable_sig</name>
+    </key_point>
+    <key_point id="121" type="register">
+      <name>vga_driver:vga_driver_unit|h_sync</name>
+    </key_point>
+    <key_point id="122" type="register">
+      <name>vga_control:vga_control_unit|toggle_sig</name>
+    </key_point>
+    <key_point id="123" type="register">
+      <name>vga_control:vga_control_unit|b</name>
+    </key_point>
+    <key_point id="124" type="register">
+      <name>vga_driver:vga_driver_unit|h_enable_sig</name>
+    </key_point>
+    <key_point id="125" type="register">
+      <name>dly_counter[1]</name>
+    </key_point>
+    <key_point id="126" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_9</name>
+    </key_point>
+    <key_point id="127" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_8</name>
+    </key_point>
+    <key_point id="128" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_7</name>
+    </key_point>
+    <key_point id="129" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_6</name>
+    </key_point>
+    <key_point id="130" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_5</name>
+    </key_point>
+    <key_point id="131" type="register">
+      <name>vga_driver:vga_driver_unit|v_sync</name>
+    </key_point>
+    <key_point id="132" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_18</name>
+    </key_point>
+    <key_point id="133" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_19</name>
+    </key_point>
+    <key_point id="134" type="register">
+      <name>dly_counter[0]</name>
+    </key_point>
+    <key_point id="135" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_16</name>
+    </key_point>
+    <key_point id="136" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_17</name>
+    </key_point>
+    <key_point id="137" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_0</name>
+    </key_point>
+    <key_point id="138" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_1</name>
+    </key_point>
+    <key_point id="139" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_2</name>
+    </key_point>
+    <key_point id="140" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_4</name>
+    </key_point>
+    <key_point id="141" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_3</name>
+    </key_point>
+    <key_point id="142" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_3</name>
+    </key_point>
+    <key_point id="143" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_4</name>
+    </key_point>
+    <key_point id="144" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_6</name>
+    </key_point>
+    <key_point id="145" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_2</name>
+    </key_point>
+    <key_point id="146" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_5</name>
+    </key_point>
+    <key_point id="147" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_5</name>
+    </key_point>
+    <key_point id="148" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_3</name>
+    </key_point>
+    <key_point id="149" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_0</name>
+    </key_point>
+    <key_point id="150" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_6</name>
+    </key_point>
+    <key_point id="151" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_0</name>
+    </key_point>
+    <key_point id="152" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_7</name>
+    </key_point>
+    <key_point id="153" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_1</name>
+    </key_point>
+    <key_point id="154" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_2</name>
+    </key_point>
+    <key_point id="155" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_6</name>
+    </key_point>
+    <key_point id="156" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_8</name>
+    </key_point>
+    <key_point id="157" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_1</name>
+    </key_point>
+    <key_point id="158" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_9</name>
+    </key_point>
+    <key_point id="159" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_4</name>
+    </key_point>
+    <key_point id="160" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_5</name>
+    </key_point>
+    <key_point id="161" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_7</name>
+    </key_point>
+    <key_point id="162" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_8</name>
+    </key_point>
+    <key_point id="163" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_9</name>
+    </key_point>
+    <key_point id="164" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_3</name>
+    </key_point>
+    <key_point id="165" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_4</name>
+    </key_point>
+    <key_point id="166" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_5</name>
+    </key_point>
+    <key_point id="167" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_6</name>
+    </key_point>
+    <key_point id="168" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_8</name>
+    </key_point>
+    <key_point id="169" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_6</name>
+    </key_point>
+  </key_points_set>
+  <transformations_set hier_sep="|">
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="75" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="165" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="58" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="146" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="53" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="128" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="84" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="139" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="60" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="134" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="14" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="102" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="18" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="152" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="20" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="143" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="74" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="113" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="34" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="166" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="27" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="115" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="86" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="154" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="63" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="158" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="52" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="150" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="13" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="95" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="85" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="130" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="9" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="149" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="45" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="167" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="21" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="156" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="71" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="111" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="12" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="110" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="57" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="121" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="40" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="97" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="8" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="148" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="64" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="126" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="48" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="140" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="72" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="114" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="5" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="106" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="1" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="108" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="7" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="161" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="49" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="125" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="37" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="169" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="69" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="136" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="33" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="145" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="19" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="151" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="3" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="160" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="16" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="135" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="25" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="112" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="32" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="127" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="88" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="100" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="2" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="147" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="50" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="162" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="11" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="117" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="17" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="153" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="65" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="131" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="80" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="103" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="43" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="129" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="67" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="101" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="38" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="118" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="82" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="155" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="42" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="133" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="31" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="159" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="55" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="92" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="68" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="132" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="24" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="163" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="56" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="109" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="81" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="104" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="47" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="105" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="46" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="94" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="79" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="93" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="54" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="122" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="78" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="90" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="26" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="144" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="44" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="157" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="10" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="120" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="35" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="119" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="76" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="96" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="36" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="142" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="59" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="91" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="83" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="168" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="28" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="124" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="29" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="116" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="61" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="138" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="39" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="164" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="23" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="89" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="70" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="98" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="41" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="99" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="87" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="107" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="22" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="141" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="77" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="123" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="51" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="137" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.logdb b/bsp4/Designflow/ppr/sim/db/vga.map.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map.qmsg b/bsp4/Designflow/ppr/sim/db/vga.map.qmsg
new file mode 100644 (file)
index 0000000..7961635
--- /dev/null
@@ -0,0 +1,10 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II " "Info: Running Quartus II Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:30:30 2009 " "Info: Processing started: Tue Nov  3 17:30:30 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off vga -c vga " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "../../syn/rev_1/vga.vqm 3 3 " "Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm" { { "Info" "ISGN_ENTITY_NAME" "1 vga_driver " "Info: Found entity 1: vga_driver" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 25 18 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "2 vga_control " "Info: Found entity 2: vga_control" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 3147 19 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} { "Info" "ISGN_ENTITY_NAME" "3 vga " "Info: Found entity 3: vga" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4440 11 0 } }  } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1}  } {  } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_TOP" "vga " "Info: Elaborating entity \"vga\" for the top level hierarchy" {  } {  } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_driver vga_driver:vga_driver_unit " "Info: Elaborating entity \"vga_driver\" for hierarchy \"vga_driver:vga_driver_unit\"" {  } { { "../../syn/rev_1/vga.vqm" "vga_driver_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6195 3 0 } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "vga_control vga_control:vga_control_unit " "Info: Elaborating entity \"vga_control\" for hierarchy \"vga_control:vga_control_unit\"" {  } { { "../../syn/rev_1/vga.vqm" "vga_control_unit" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 6251 3 0 } }  } 0 0 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "" 0 -1}
+{ "Info" "ISCL_SCL_WANNA_REM_USR_WIRE" "" "Info: Found the following redundant logic cells in design" { { "Info" "ISCL_SCL_CELL_NAME" "vga_control:vga_control_unit\|toggle_sig_0_0_0_g1 " "Info (17048): Logic cell \"vga_control:vga_control_unit\|toggle_sig_0_0_0_g1\"" {  } { { "../../syn/rev_1/vga.vqm" "toggle_sig_0_0_0_g1_cZ" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4013 3 0 } }  } 0 17048 "Logic cell \"%1!s!\"" 0 0 "" 0 -1}  } {  } 0 0 "Found the following redundant logic cells in design" 0 0 "" 0 -1}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "292 " "Info: Implemented 292 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Info: Implemented 2 input pins" {  } {  } 0 0 "Implemented %1!d! input pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_OPINS" "115 " "Info: Implemented 115 output pins" {  } {  } 0 0 "Implemented %1!d! output pins" 0 0 "" 0 -1} { "Info" "ICUT_CUT_TM_LCELLS" "175 " "Info: Implemented 175 logic cells" {  } {  } 0 0 "Implemented %1!d! logic cells" 0 0 "" 0 -1}  } {  } 0 0 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 0 s Quartus II " "Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "185 " "Info: Peak virtual memory: 185 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:30:34 2009 " "Info: Processing ended: Tue Nov  3 17:30:34 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Info: Elapsed time: 00:00:04" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Info: Total CPU time (on all processors): 00:00:02" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb b/bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb
new file mode 100644 (file)
index 0000000..ec858e7
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map_bb.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb b/bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb
new file mode 100644 (file)
index 0000000..c61b334
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.map_bb.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.map_bb.logdb b/bsp4/Designflow/ppr/sim/db/vga.map_bb.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb b/bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb
new file mode 100644 (file)
index 0000000..413b4d0
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.pre_map.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb b/bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb
new file mode 100644 (file)
index 0000000..0a23d29
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.pre_map.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb b/bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb
new file mode 100644 (file)
index 0000000..bc68610
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.rtlv.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb b/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb
new file mode 100644 (file)
index 0000000..a734b6a
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb b/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb
new file mode 100644 (file)
index 0000000..a1ca696
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.rtlv_sg_swap.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb b/bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb
new file mode 100644 (file)
index 0000000..8fbc39a
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.sgdiff.cdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb b/bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb
new file mode 100644 (file)
index 0000000..d946082
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.sgdiff.hdb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci
new file mode 100644 (file)
index 0000000..1ea7ec9
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry.sci differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci
new file mode 100644 (file)
index 0000000..7117510
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.sld_design_entry_dsc.sci differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.syn_hier_info b/bsp4/Designflow/ppr/sim/db/vga.syn_hier_info
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/ppr/sim/db/vga.tan.qmsg b/bsp4/Designflow/ppr/sim/db/vga.tan.qmsg
new file mode 100644 (file)
index 0000000..a1c1469
--- /dev/null
@@ -0,0 +1,11 @@
+{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" {  } {  } 3 0 "*******************************************************************" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Classic Timing Analyzer Quartus II " "Info: Running Quartus II Classic Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 132 02/25/2009 SJ Full Version " "Info: Version 9.0 Build 132 02/25/2009 SJ Full Version" {  } {  } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Tue Nov  3 17:31:35 2009 " "Info: Processing started: Tue Nov  3 17:31:35 2009" {  } {  } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1}  } {  } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only " "Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only" {  } {  } 0 0 "Command: %1!s!" 0 0 "" 0 -1}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Info: Parallel compilation is enabled and will use 2 of the 2 processors detected" {  } {  } 0 0 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "" 0 -1}
+{ "Warning" "WTAN_NO_CLOCKS" "" "Warning: Found pins functioning as undefined clocks and/or memory enables" { { "Info" "ITAN_NODE_MAP_TO_CLK" "clk_pin " "Info: Assuming node \"clk_pin\" is an undefined clock" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } } { "/opt/quartus/quartus/linux/Assignment Editor.qase" "" { Assignment "/opt/quartus/quartus/linux/Assignment Editor.qase" 1 { { 0 "clk_pin" } } } }  } 0 0 "Assuming node \"%1!s!\" is an undefined clock" 0 0 "" 0 -1}  } {  } 0 0 "Found pins functioning as undefined clocks and/or memory enables" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_CLOCK_REG_RESULT" "clk_pin register vga_driver:vga_driver_unit\|hsync_state_0 register vga_driver:vga_driver_unit\|line_counter_sig_2 182.42 MHz 5.482 ns Internal " "Info: Clock \"clk_pin\" has Internal fmax of 182.42 MHz between source register \"vga_driver:vga_driver_unit\|hsync_state_0\" and destination register \"vga_driver:vga_driver_unit\|line_counter_sig_2\" (period= 5.482 ns)" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "5.270 ns + Longest register register " "Info: + Longest register to register delay is 5.270 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|hsync_state_0 1 REG LC_X18_Y22_N2 4 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.275 ns) + CELL(0.087 ns) 1.362 ns vga_driver:vga_driver_unit\|d_set_hsync_counter 2 COMB LC_X18_Y26_N6 10 " "Info: 2: + IC(1.275 ns) + CELL(0.087 ns) = 1.362 ns; Loc. = LC_X18_Y26_N6; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit\|d_set_hsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.362 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 156 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.959 ns) + CELL(0.451 ns) 3.772 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9 3 COMB LC_X35_Y18_N5 2 " "Info: 3: + IC(1.959 ns) + CELL(0.451 ns) = 3.772 ns; Loc. = LC_X35_Y18_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_cout\[1\]~COUT1_9'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.410 ns" { vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 227 38 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.449 ns) 4.221 ns vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\] 4 COMB LC_X35_Y18_N6 1 " "Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.221 ns; Loc. = LC_X35_Y18_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit\|un1_line_counter_sig_combout\[3\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "0.449 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 226 41 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(0.814 ns) + CELL(0.235 ns) 5.270 ns vga_driver:vga_driver_unit\|line_counter_sig_2 5 REG LC_X33_Y18_N5 9 " "Info: 5: + IC(0.814 ns) + CELL(0.235 ns) = 5.270 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.049 ns" { vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.222 ns ( 23.19 % ) " "Info: Total cell delay = 1.222 ns ( 23.19 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.048 ns ( 76.81 % ) " "Info: Total interconnect delay = 4.048 ns ( 76.81 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 {} vga_driver:vga_driver_unit|d_set_hsync_counter {} vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 {} vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 1.275ns 1.959ns 0.000ns 0.814ns } { 0.000ns 0.087ns 0.451ns 0.449ns 0.235ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_SKEW_RESULT" "-0.026 ns - Smallest " "Info: - Smallest clock skew is -0.026 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.314 ns + Shortest register " "Info: + Shortest clock path from clock \"clk_pin\" to destination register is 3.314 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.886 ns) + CELL(0.560 ns) 3.314 ns vga_driver:vga_driver_unit\|line_counter_sig_2 2 REG LC_X33_Y18_N5 9 " "Info: 2: + IC(1.886 ns) + CELL(0.560 ns) = 3.314 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit\|line_counter_sig_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.446 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 43.09 % ) " "Info: Total cell delay = 1.428 ns ( 43.09 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.886 ns ( 56.91 % ) " "Info: Total interconnect delay = 1.886 ns ( 56.91 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.340 ns - Longest register " "Info: - Longest clock path from clock \"clk_pin\" to source register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|hsync_state_0 2 REG LC_X18_Y22_N2 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%2!c! %3!s! clock skew is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 113 23 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 95 28 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 vga_driver:vga_driver_unit|d_set_hsync_counter vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "5.270 ns" { vga_driver:vga_driver_unit|hsync_state_0 {} vga_driver:vga_driver_unit|d_set_hsync_counter {} vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9 {} vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3] {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 1.275ns 1.959ns 0.000ns 0.814ns } { 0.000ns 0.087ns 0.451ns 0.449ns 0.235ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.314 ns" { clk_pin vga_driver:vga_driver_unit|line_counter_sig_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.314 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|line_counter_sig_2 {} } { 0.000ns 0.000ns 1.886ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "Clock \"%1!s!\" has %8!s! fmax of %6!s! between source %2!s! \"%3!s!\" and destination %4!s! \"%5!s!\" (period= %7!s!)" 0 0 "" 0 -1}
+{ "Info" "ITDB_TSU_RESULT" "vga_driver:vga_driver_unit\|hsync_state_2 reset_pin clk_pin 7.334 ns register " "Info: tsu for register \"vga_driver:vga_driver_unit\|hsync_state_2\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is 7.334 ns" { { "Info" "ITDB_FULL_DATA_PATH_RESULT" "10.664 ns + Longest pin register " "Info: + Longest pin to register delay is 10.664 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.087 ns) 6.483 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X17_Y22_N4 51 " "Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.615 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.806 ns) + CELL(0.459 ns) 8.748 ns vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0 3 COMB LC_X17_Y14_N7 6 " "Info: 3: + IC(1.806 ns) + CELL(0.459 ns) = 8.748 ns; Loc. = LC_X17_Y14_N7; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit\|hsync_state_3_0_0_0__g0_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.265 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 249 33 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.190 ns) + CELL(0.726 ns) 10.664 ns vga_driver:vga_driver_unit\|hsync_state_2 4 REG LC_X18_Y22_N1 4 " "Info: 4: + IC(1.190 ns) + CELL(0.726 ns) = 10.664 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.916 ns" { vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.140 ns ( 20.07 % ) " "Info: Total cell delay = 2.140 ns ( 20.07 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "8.524 ns ( 79.93 % ) " "Info: Total interconnect delay = 8.524 ns ( 79.93 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.664 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.664 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 5.528ns 1.806ns 1.190ns } { 0.000ns 0.868ns 0.087ns 0.459ns 0.726ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TSU_DELAY" "0.010 ns + " "Info: + Micro setup delay of destination is 0.010 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } }  } 0 0 "%2!c! Micro setup delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.340 ns - Shortest register " "Info: - Shortest clock path from clock \"clk_pin\" to destination register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|hsync_state_2 2 REG LC_X18_Y22_N1 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|hsync_state_2'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 111 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "10.664 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "10.664 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 5.528ns 1.806ns 1.190ns } { 0.000ns 0.868ns 0.087ns 0.459ns 0.726ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|hsync_state_2 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|hsync_state_2 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "tsu for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_TCO_RESULT" "clk_pin d_set_vsync_counter vga_driver:vga_driver_unit\|vsync_state_0 10.905 ns register " "Info: tco from clock \"clk_pin\" to destination pin \"d_set_vsync_counter\" through register \"vga_driver:vga_driver_unit\|vsync_state_0\" is 10.905 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin source 3.340 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to source register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|vsync_state_0 2 REG LC_X17_Y22_N5 5 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TCO_DELAY" "0.176 ns + " "Info: + Micro clock to output delay of source is 0.176 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } }  } 0 0 "%2!c! Micro clock to output delay of source is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "7.389 ns + Longest register pin " "Info: + Longest register to pin delay is 7.389 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.000 ns) 0.000 ns vga_driver:vga_driver_unit\|vsync_state_0 1 REG LC_X17_Y22_N5 5 " "Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_0'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 110 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.442 ns) + CELL(0.213 ns) 1.655 ns vga_driver:vga_driver_unit\|d_set_vsync_counter 2 COMB LC_X19_Y24_N5 2 " "Info: 2: + IC(1.442 ns) + CELL(0.213 ns) = 1.655 ns; Loc. = LC_X19_Y24_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit\|d_set_vsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "1.655 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 148 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.239 ns) + CELL(2.495 ns) 7.389 ns d_set_vsync_counter 3 PIN PIN_L23 0 " "Info: 3: + IC(3.239 ns) + CELL(2.495 ns) = 7.389 ns; Loc. = PIN_L23; Fanout = 0; PIN Node = 'd_set_vsync_counter'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.734 ns" { vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4498 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "2.708 ns ( 36.65 % ) " "Info: Total cell delay = 2.708 ns ( 36.65 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "4.681 ns ( 63.35 % ) " "Info: Total interconnect delay = 4.681 ns ( 63.35 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 1.442ns 3.239ns } { 0.000ns 0.213ns 2.495ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_0 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_0 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 vga_driver:vga_driver_unit|d_set_vsync_counter d_set_vsync_counter } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "7.389 ns" { vga_driver:vga_driver_unit|vsync_state_0 {} vga_driver:vga_driver_unit|d_set_vsync_counter {} d_set_vsync_counter {} } { 0.000ns 1.442ns 3.239ns } { 0.000ns 0.213ns 2.495ns } "" } }  } 0 0 "tco from clock \"%1!s!\" to destination pin \"%2!s!\" through %5!s! \"%3!s!\" is %4!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_FULL_TPD_RESULT" "reset_pin seven_seg_pin\[8\] 12.465 ns Longest " "Info: Longest tpd from source pin \"reset_pin\" to destination pin \"seven_seg_pin\[8\]\" is 12.465 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.087 ns) 6.483 ns vga_driver:vga_driver_unit\|un6_dly_counter_0_x 2 COMB LC_X17_Y22_N4 51 " "Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit\|un6_dly_counter_0_x'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.615 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 155 29 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(3.478 ns) + CELL(2.504 ns) 12.465 ns seven_seg_pin\[8\] 3 PIN PIN_B10 0 " "Info: 3: + IC(3.478 ns) + CELL(2.504 ns) = 12.465 ns; Loc. = PIN_B10; Fanout = 0; PIN Node = 'seven_seg_pin\[8\]'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.982 ns" { vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[8] } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4488 30 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "3.459 ns ( 27.75 % ) " "Info: Total cell delay = 3.459 ns ( 27.75 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "9.006 ns ( 72.25 % ) " "Info: Total interconnect delay = 9.006 ns ( 72.25 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "12.465 ns" { reset_pin vga_driver:vga_driver_unit|un6_dly_counter_0_x seven_seg_pin[8] } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "12.465 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|un6_dly_counter_0_x {} seven_seg_pin[8] {} } { 0.000ns 0.000ns 5.528ns 3.478ns } { 0.000ns 0.868ns 0.087ns 2.504ns } "" } }  } 0 0 "%4!s! tpd from source pin \"%1!s!\" to destination pin \"%2!s!\" is %3!s!" 0 0 "" 0 -1}
+{ "Info" "ITDB_TH_RESULT" "vga_driver:vga_driver_unit\|vsync_state_6 reset_pin clk_pin -3.191 ns register " "Info: th for register \"vga_driver:vga_driver_unit\|vsync_state_6\" (data pin = \"reset_pin\", clock pin = \"clk_pin\") is -3.191 ns" { { "Info" "ITDB_FULL_CLOCK_PATH_RESULT" "clk_pin destination 3.340 ns + Longest register " "Info: + Longest clock path from clock \"clk_pin\" to destination register is 3.340 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns clk_pin 1 CLK PIN_R3 82 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { clk_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4476 16 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(1.912 ns) + CELL(0.560 ns) 3.340 ns vga_driver:vga_driver_unit\|vsync_state_6 2 REG LC_X17_Y22_N4 4 " "Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_6'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "2.472 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.428 ns ( 42.75 % ) " "Info: Total cell delay = 1.428 ns ( 42.75 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "1.912 ns ( 57.25 % ) " "Info: Total interconnect delay = 1.912 ns ( 57.25 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } }  } 0 0 "%4!c! %5!s! clock path from clock \"%1!s!\" to %2!s! %6!s! is %3!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_TH_DELAY" "0.100 ns + " "Info: + Micro hold delay of destination is 0.100 ns" {  } { { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } }  } 0 0 "%2!c! Micro hold delay of destination is %1!s!" 0 0 "" 0 -1} { "Info" "ITDB_FULL_DATA_PATH_RESULT" "6.631 ns - Shortest pin register " "Info: - Shortest pin to register delay is 6.631 ns" { { "Info" "ITDB_NODE_DELAY" "IC(0.000 ns) + CELL(0.868 ns) 0.868 ns reset_pin 1 PIN PIN_P24 10 " "Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "" { reset_pin } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 4477 18 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_NODE_DELAY" "IC(5.528 ns) + CELL(0.235 ns) 6.631 ns vga_driver:vga_driver_unit\|vsync_state_6 2 REG LC_X17_Y22_N4 4 " "Info: 2: + IC(5.528 ns) + CELL(0.235 ns) = 6.631 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit\|vsync_state_6'" {  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "5.763 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "../../syn/rev_1/vga.vqm" "" { Text "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm" 107 23 0 } }  } 0 0 "%4!d!: + %1!s! = %2!s!; Loc. = %6!s!; Fanout = %7!d!; %5!s! Node = '%3!s!'" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_CELL_DELAY" "1.103 ns ( 16.63 % ) " "Info: Total cell delay = 1.103 ns ( 16.63 % )" {  } {  } 0 0 "Total cell delay = %1!s! %2!s!" 0 0 "" 0 -1} { "Info" "ITDB_TOTAL_IC_DELAY" "5.528 ns ( 83.37 % ) " "Info: Total interconnect delay = 5.528 ns ( 83.37 % )" {  } {  } 0 0 "Total interconnect delay = %1!s! %2!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.631 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.631 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 5.528ns } { 0.000ns 0.868ns 0.235ns } "" } }  } 0 0 "%2!c! %3!s! %4!s! to %5!s! delay is %1!s!" 0 0 "" 0 -1}  } { { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "3.340 ns" { clk_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "3.340 ns" { clk_pin {} clk_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 1.912ns } { 0.000ns 0.868ns 0.560ns } "" } } { "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/opt/quartus/quartus/linux/TimingClosureFloorplan.fld" "" "6.631 ns" { reset_pin vga_driver:vga_driver_unit|vsync_state_6 } "NODE_NAME" } } { "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "" { "TechnologyMapViewer" "/opt/quartus/quartus/linux/Technology_Viewer.qrui" "6.631 ns" { reset_pin {} reset_pin~out0 {} vga_driver:vga_driver_unit|vsync_state_6 {} } { 0.000ns 0.000ns 5.528ns } { 0.000ns 0.868ns 0.235ns } "" } }  } 0 0 "th for %5!s! \"%1!s!\" (data pin = \"%2!s!\", clock pin = \"%3!s!\") is %4!s!" 0 0 "" 0 -1}
+{ "Info" "IQEXE_ERROR_COUNT" "Classic Timing Analyzer 0 s 1  Quartus II " "Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "141 " "Info: Peak virtual memory: 141 megabytes" {  } {  } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Tue Nov  3 17:31:36 2009 " "Info: Processing ended: Tue Nov  3 17:31:36 2009" {  } {  } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" {  } {  } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" {  } {  } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1}  } {  } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1}
diff --git a/bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb b/bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb
new file mode 100644 (file)
index 0000000..7a45114
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/db/vga.tis_db_list.ddb differ
diff --git a/bsp4/Designflow/ppr/sim/db/vga.tmw_info b/bsp4/Designflow/ppr/sim/db/vga.tmw_info
new file mode 100644 (file)
index 0000000..4526cc2
--- /dev/null
@@ -0,0 +1,7 @@
+start_full_compilation:s:00:01:19
+start_analysis_synthesis:s:00:00:13-start_full_compilation
+start_analysis_elaboration:s-start_full_compilation
+start_fitter:s:00:00:36-start_full_compilation
+start_assembler:s:00:00:23-start_full_compilation
+start_timing_analyzer:s:00:00:03-start_full_compilation
+start_eda_netlist_writer:s:00:00:04-start_full_compilation
diff --git a/bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo b/bsp4/Designflow/ppr/sim/db/vga_global_asgn_op.abo
new file mode 100644 (file)
index 0000000..c571b4c
--- /dev/null
@@ -0,0 +1,14969 @@
+Version:
+       9.0 Build 132 02/25/2009 SJ Full Version
+
+Chip Device Options:
+       Device Name:    EP1S25F672C6
+       Device JTAG code:       ffffffff
+       Programming_mode:       Passive Serial
+       NWS_NRS_NCS:    UNRESERVED
+       RDYNBUSY:       UNRESERVED
+       DATA 7 to 1:    UNRESERVED
+       nCEO:   UNRESERVED
+       UNUSED PINS:    RESERVED_GND
+       Default IO Standard::   3.3-V LVTTL
+       User Start-up Clock:    0
+       Auto Restart on Error:  1
+       Release Clears Before Tristates:        0
+       Device Clear:   0
+       Test And Scan:  0
+       Device OE:      0
+       Enable Lock Output:     0
+       Enable Init Done:       0
+       Enable JTAG BST:        0
+       Enable Vref A:  0
+       Enable Vref B:  0
+
+
+
+****************************
+******Individual Atoms******
+****************************
+
+- ATOM ------------------------
+       ATOM_NAME: r0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 0
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: r1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 1
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: r2_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 2
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      r2_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: g0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 3
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: g1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 4
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: g2_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 5
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      g2_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: b0_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 6
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|b        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      b0_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: b1_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 7
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|b        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      b1_pin  LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: hsync_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 8
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|h_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      hsync_pin       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vsync_pin_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 9
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|v_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      vsync_pin       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 10
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 11
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 12
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 13
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 14
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 15
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 16
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 17
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[7]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 18
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[8]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 19
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[9]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 20
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[10]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 21
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[11]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_out_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 22
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[12]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: seven_seg_pin_tri_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 23
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       !(~STRATIX_FITTER_CREATED_GND~I)      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      seven_seg_pin[13]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 24
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|h_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 25
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|v_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 26
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[0]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 27
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[1]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 28
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[2]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 29
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[3]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 30
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[4]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 31
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[5]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 32
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[6]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 33
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[7]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 34
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[8]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_column_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 35
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|column_counter_sig_9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_column_counter[9]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 36
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[0]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 37
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[1]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 38
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[2]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 39
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[3]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 40
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[4]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 41
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[5]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 42
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[6]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 43
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[7]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_line_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 44
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_line_counter[8]       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_set_column_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 45
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_column_counter    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_set_line_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 46
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_line_counter      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 47
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[0]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 48
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[1]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 49
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[2]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 50
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[3]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 51
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[4]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 52
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[5]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 53
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[6]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 54
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[7]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 55
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[8]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 56
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_counter[9]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 57
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[0]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 58
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[1]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 59
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[2]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 60
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[3]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 61
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[4]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 62
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[5]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 63
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[6]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 64
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[7]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 65
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[8]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 66
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_counter[9]      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_set_hsync_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 67
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|d_set_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_hsync_counter     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_set_vsync_counter_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 68
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|d_set_vsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_set_vsync_counter     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_h_enable_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 69
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|h_enable_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_h_enable      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_v_enable_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 70
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|v_enable_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_v_enable      LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_r_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 71
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_r     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_g_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 72
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_g     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_b_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 73
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|b        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_b     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 74
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 75
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 76
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 77
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 78
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 79
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_hsync_state_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 80
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|hsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_hsync_state[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 81
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[6]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 82
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[5]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 83
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[4]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 84
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[3]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 85
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[2]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 86
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[1]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_vsync_state_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 87
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_driver:vga_driver_unit|vsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_vsync_state[0]        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_state_clk_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 88
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_state_clk     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_out -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 89
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle        LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 90
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[0]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 91
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[1]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 92
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[2]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 93
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[3]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 94
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[4]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 95
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[5]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 96
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[6]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 97
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_7     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[7]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 98
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_8     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[8]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 99
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_9     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[9]     LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 100
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_10    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[10]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 101
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_11    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[11]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 102
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_12    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[12]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 103
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_13    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[13]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_14_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 104
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_14    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[14]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_15_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 105
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_15    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[15]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_16_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 106
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_16    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[16]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_17_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 107
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_17    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[17]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_18_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 108
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_18    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[18]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_19_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 109
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       vga_control:vga_control_unit|toggle_counter_sig_19    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[19]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_20_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 110
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[20]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_21_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 111
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[21]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_22_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 112
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[22]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_23_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 113
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[23]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: d_toggle_counter_out_24_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 114
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: [DATAIN]       ~STRATIX_FITTER_CREATED_GND~I LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      d_toggle_counter[24]    LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = output
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|b_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 115
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|un13_v_enablelto8        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|un5_v_enablelto7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|un17_v_enablelto7        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|b_next_0_g0_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|b  LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|h_sync_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 116
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|h_sync       LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|v_sync_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 117
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|v_sync       LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 118
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un6_dly_counter_0_x  LIT INDEX 0 FANOUTS 51
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_6        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = reg_and_comb
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 119
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_0 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7777
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 120
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[1] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_1 LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 121
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[2] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_2 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 122
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[3] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_3 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 123
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[4] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_4 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 124
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[5] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_5 LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 125
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[6] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_6 LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 126
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[7] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_7 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 127
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[8] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_8 LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 128
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un2_column_counter_next_combout[9] LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_column_counter_siglto9        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1)        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|column_counter_sig_9 LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 129
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_0   LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = bbbb
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 130
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_1   LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 131
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_2   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 132
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_3   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 133
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_4   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 134
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_5   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 135
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_6   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 136
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_7   LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 137
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|un10_line_counter_siglto8  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|line_counter_sig_8   LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = dddd
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 138
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un11_hsync_counter_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un10_hsync_counter_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un11_hsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_1        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 139
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_vsync_counter_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un13_vsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_1        LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 140
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_0      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[0]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 55aa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 141
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[0]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_1      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[1]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 142
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[1]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_2      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[2]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 143
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[2]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_3      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[3]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 144
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[3]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_4      LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[4]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 145
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[4]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_5      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[5]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 146
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[5]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_6      LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[6]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 147
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[6]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_7      LIT INDEX 0 FANOUTS 7 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[7]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 148
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[7]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_8      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|hsync_counter_cout[8]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 149
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_2_i)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_hsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|hsync_counter_cout[8]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_counter_9      LIT INDEX 0 FANOUTS 6 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 150
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|d_set_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_0      LIT INDEX 0 FANOUTS 9 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[0]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 151
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[0]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_1      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[1]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 152
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[1]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_2      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[2]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 153
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[2]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_3      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[3]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 154
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[3]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_4      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[4]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 155
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[4]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_5      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[5]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 156
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[5]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_6      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[6]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 157
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[6]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_7      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[7]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 158
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[7]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_8      LIT INDEX 0 FANOUTS 5 REGED POS
+               2: [COUT]       vga_driver:vga_driver_unit|vsync_counter_cout[8]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a50a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 159
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: [SYNCH_DATA]   vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_driver:vga_driver_unit|G_16_i)  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: [SLOAD]        !(vga_driver:vga_driver_unit|un9_vsync_counterlt9)    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_driver:vga_driver_unit|vsync_counter_cout[8]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_counter_9      LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|d_set_hsync_counter_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 160
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|d_set_hsync_counter  LIT INDEX 0 FANOUTS 5
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|d_set_vsync_counter_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 161
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|d_set_vsync_counter  LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 162
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|h_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 163
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|v_enable_sig LIT INDEX 0 FANOUTS 2 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 164
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: [DATAD]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_6        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff00
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 165
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_5        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 166
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_hsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un10_hsync_counter_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_hsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_4        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 167
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_3        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaaa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 168
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_2        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 169
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|hsync_state_0        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 170
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_5        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 171
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un14_vsync_counter_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_4        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 172
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_3        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaaa
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 173
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un14_vsync_counter_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]          vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_2        LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 174
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_driver:vga_driver_unit|vsync_state_0        LIT INDEX 0 FANOUTS 5 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0cae
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: clk_pin_in -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 175
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [PADIO]      DISCONNECTED
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    clk_pin LIT INDEX 0 FANOUTS 82
+               1: NONE
+               2: NONE
+               3: [PADIO]      clk_pin LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_sig_Z -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 176
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_sig_0_0_0_g1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_sig LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 9999
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 177
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_0       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 5555
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 178
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_1       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 179
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|un2_toggle_counter_next_cout[0]  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_2       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 180
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_3       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 181
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[2]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_4       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 182
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[3]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_5       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 183
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_7     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[4]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_6       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 184
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_7     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[5]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_7       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 185
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_8     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_9     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[6]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_8       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[8] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 186
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_8     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_9     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[7]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_9       LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[9] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_10_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 187
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_10    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_11    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[8]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_10      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[10]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_11_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 188
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_10    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_11    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[9]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_11      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[11]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_12_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 189
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_12    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_13    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[10]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_12      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[12]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_13_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 190
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_12    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_13    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[11]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_13      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[13]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_14_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 191
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_14    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_15    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[12]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_14      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[14]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_15_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 192
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_14    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_15    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[13]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_15      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[15]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_16_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 193
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_16    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_17    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[14]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_16      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[16]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_17_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 194
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_16    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_17    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[15]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_17      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: [COUT]       vga_control:vga_control_unit|toggle_counter_sig_cout[17]        LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_18_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 195
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_18    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[16]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_18      LIT INDEX 0 FANOUTS 4 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a5a
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_counter_sig_19_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 196
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_18    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_19    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: [ACLR]       ~ !(vga_driver:vga_driver_unit|un6_dly_counter_0_x)     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: [SCLR]         !(vga_control:vga_control_unit|toggle_sig_0_0_0_g1)   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: [CIN]         vga_control:vga_control_unit|toggle_counter_sig_cout[17]      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     vga_control:vga_control_unit|toggle_counter_sig_19      LIT INDEX 0 FANOUTS 3 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = on
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c6c
+               cin_used                       = true
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 197
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un13_v_enablelto8_a      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un13_v_enablelto8  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 198
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|un5_v_enablelto5_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un5_v_enablelto3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un5_v_enablelto7   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8880
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 199
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|un17_v_enablelto5        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un17_v_enablelto7  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|b_next_0_g0_5_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 200
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|h_enable_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|b_next_0_g0_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un9_v_enablelto9 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|b_next_0_g0_5      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: reset_pin_in -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 201
+       Atom Type: stratix_io (WYSIWYG)
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [PADIO]      DISCONNECTED
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    reset_pin       LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: [PADIO]      reset_pin       LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
+- ATOM ------------------------
+       ATOM_NAME: dly_counter_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 202
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     dly_counter[0]  LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = a2a2
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: dly_counter_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 203
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: [CLK]          clk_pin       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: [ACLR]       ~ VCC   LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: [ENA]        DISCONNECTED
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: [REGOUT]     dly_counter[1]  LIT INDEX 0 FANOUTS 9 REGED POS
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = a8a8
+               output_mode                    = reg_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 204
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|h_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un1_hsync_state_3_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|h_sync_1_0_0_0_g1    LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ccd8
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 205
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|v_sync     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un1_vsync_state_2_0        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|v_sync_1_0_0_0_g1    LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ccd8
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 206
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_column_counter_siglt6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_column_counter_siglto9  LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1f0f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 207
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1     LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 208
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[1]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[1]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 209
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[0]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[2]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[2]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 210
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[1]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[3]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[3]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 211
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[2]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[4]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[4]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 212
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[3]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[5]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[5]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 213
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[4]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[6]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[6]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 214
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[5]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[7]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[7]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 215
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[6]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[8]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a5a5
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 216
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un2_column_counter_next_cout[7]    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_combout[9]   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c6c6
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 217
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|d_set_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[1]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 6688
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 218
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_line_counter_siglto5  LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_line_counter_siglto8    LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 219
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1       LIT INDEX 0 FANOUTS 9
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_2_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 220
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1]     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[2]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_3_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 221
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_4_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 222
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[2]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[4]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a508
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_5_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 223
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[3]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[5]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c608
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_6_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 224
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[4]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[6]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 5a7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_7_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 225
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[5]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[7]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7] LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = 6c7f
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_8_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 226
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[6]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[8]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = a5a5
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_9_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 227
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_7 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: [CIN]         vga_driver:vga_driver_unit|un1_line_counter_sig_cout[7]       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_combout[9]      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = cin
+               lut_mask                       = c6c6
+               cin_used                       = true
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 228
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un11_hsync_counter_2 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0808
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 229
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_hsync_counter_1 LIT INDEX 0 FANOUTS 4
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 230
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un11_hsync_counter_3 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0008
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 231
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0    LIT INDEX 0 FANOUTS 6
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f0f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 232
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un12_vsync_counter_7 LIT INDEX 0 FANOUTS 3
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 233
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un13_vsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un13_vsync_counter_4 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 234
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|d_set_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa  LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|G_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 235
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un9_hsync_counterlt9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|G_2_i        LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 236
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un9_hsync_counterlt9_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un13_hsync_counter_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un9_hsync_counterlt9 LIT INDEX 0 FANOUTS 11
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f7ff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 237
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        reset_pin     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        dly_counter[0]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        dly_counter[1]        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|d_set_vsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa  LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|G_16 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 238
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_0      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_6      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un9_vsync_counterlt9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|G_16_i       LIT INDEX 0 FANOUTS 10
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 239
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un9_vsync_counterlt9_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un9_vsync_counterlt9_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un9_vsync_counterlt9 LIT INDEX 0 FANOUTS 11
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fff7
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 240
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 241
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f1
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 242
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_hsync_counter_3 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0101
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 243
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_hsync_counter_4 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 244
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un12_hsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un12_hsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un12_hsync_counter   LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 245
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un13_hsync_counter_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un13_hsync_counter_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un13_hsync_counter   LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 246
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|un6_dly_counter_0_x        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa    LIT INDEX 0 FANOUTS 5
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = aaab
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 247
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|un12_vsync_counter_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_vsync_counter_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un14_vsync_counter_8 LIT INDEX 0 FANOUTS 4
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8888
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 248
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_vsync_counter_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un15_vsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|toggle_sig_0_0_0_g1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 249
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: [DATAD]        vga_control:vga_control_unit|un1_toggle_counter_siglto19      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|toggle_sig_0_0_0_g1        LIT INDEX 0 FANOUTS 21
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff00
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|un2_toggle_counter_next_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 250
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_0     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un2_toggle_counter_next_0_~COMBOUT LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga_control:vga_control_unit|un2_toggle_counter_next_cout[0]    LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 251
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un13_v_enablelto8_a        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 01ff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 252
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un5_v_enablelto5_0 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 253
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un5_v_enablelto3   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fe00
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 254
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un17_v_enablelt2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un17_v_enablelto5  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = feee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|b_next_0_g0_3_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 255
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_8 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|v_enable_sig       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|column_counter_sig_9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|b_next_0_g0_3      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0004
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 256
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_9       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un9_v_enablelto6 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un9_v_enablelto9   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_3_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 257
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_hsync_state_3_0  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_2_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 258
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_state_1      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_vsync_state_2_0  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = eeee
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 259
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un10_column_counter_siglt6_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_column_counter_siglt6_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_column_counter_siglt6   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fff7
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un2_column_counter_next_0_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 260
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_0       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un2_column_counter_next_0_~COMBOUT   LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un2_column_counter_next_cout[0]      LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 261
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_5 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_line_counter_siglt4_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_line_counter_siglto5    LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0f07
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 262
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|d_set_hsync_counter        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT    LIT INDEX 0 FANOUTS 0
+               1: NONE
+               2: [COUT]       vga_driver:vga_driver_unit|un1_line_counter_sig_a_cout[1]       LIT INDEX 0 FANOUTS 1
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = arithmetic
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff88
+               output_mode                    = none
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 263
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un10_hsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un10_hsync_counter_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_hsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_1  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2aaa
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 264
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un11_hsync_counter_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un10_hsync_counter_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un11_hsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|hsync_state_next_1_sqmuxa_2  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2aaa
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 265
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un13_hsync_counter LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un12_hsync_counter LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0ace
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 266
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un13_vsync_counter_3 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 267
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un9_hsync_counterlt9_3       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 268
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un13_hsync_counter_7 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 8000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 269
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un9_vsync_counterlt9_5       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 270
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un9_vsync_counterlt9_6       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 271
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un12_hsync_counter_3 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0020
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 272
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un12_hsync_counter_4 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0010
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 273
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|hsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|hsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|hsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|hsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un13_hsync_counter_2 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0080
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 274
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_5      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un14_vsync_counter_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_1  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = d0f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 275
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_state_3      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un14_vsync_counter_8       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_3  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 70f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 276
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_2      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_vsync_counter_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un15_vsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2        LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff2a
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 277
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_7    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_8    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_6    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un12_vsync_counter_6 LIT INDEX 0 FANOUTS 3
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 278
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_1    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un15_vsync_counter_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un15_vsync_counter_4 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 1010
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 279
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_11    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_12    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|un1_toggle_counter_siglto19_5    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un1_toggle_counter_siglto10      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto19        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = f1f0
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 280
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_1 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_2 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un17_v_enablelt2   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = fefe
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 281
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|un10_column_counter_siglt6_1       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un9_v_enablelto6   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff01
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 282
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_6       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_5       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_column_counter_siglt6_1 LIT INDEX 0 FANOUTS 2
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7777
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 283
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|column_counter_sig_2       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|column_counter_sig_3       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|column_counter_sig_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_column_counter_siglt6_2 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 284
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|line_counter_sig_0 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|line_counter_sig_4 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|line_counter_sig_3 LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un10_line_counter_siglt4_2   LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7f7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 285
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_state_4      LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|un12_vsync_counter_7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|un13_vsync_counter_4       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|vsync_state_next_1_sqmuxa_2  LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 2a2a
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_driver:vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 286
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_driver:vga_driver_unit|vsync_counter_9    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_driver:vga_driver_unit|vsync_counter_2    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_driver:vga_driver_unit|vsync_counter_3    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_driver:vga_driver_unit|vsync_counter_0    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_driver:vga_driver_unit|un15_vsync_counter_3 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0020
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 287
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_13    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_14    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|toggle_counter_sig_15    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un1_toggle_counter_siglto19_4    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto19_5      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = ff7f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 288
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_8     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_9     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|toggle_counter_sig_10    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un1_toggle_counter_siglto7       LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto10        LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 3f1f
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 289
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_16    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_17    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|toggle_counter_sig_18    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|toggle_counter_sig_19    LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto19_4      LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 7fff
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 290
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_2     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_3     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|toggle_counter_sig_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|un1_toggle_counter_siglto7_4     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto7 LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0100
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: vga_control:vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4 -- UNIQUE
+       Atom Hier Name: 
+       Atom Id: 291
+       Atom Type: stratix_lcell (WYSIWYG)
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: [DATAA]        vga_control:vga_control_unit|toggle_counter_sig_1     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               2: [DATAB]        vga_control:vga_control_unit|toggle_counter_sig_5     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               3: [DATAC]        vga_control:vga_control_unit|toggle_counter_sig_6     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               4: [DATAD]        vga_control:vga_control_unit|toggle_counter_sig_7     LIT INDEX 0     GLOBAL:DONT_CARE        DELAY_CHAIN:UNCONNECTED
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    vga_control:vga_control_unit|un1_toggle_counter_siglto7_4       LIT INDEX 0 FANOUTS 1
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0001
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: ~STRATIX_FITTER_CREATED_GND~I -- NON-UNIQUE
+       Atom Hier Name: 
+       Atom Id: 292
+       Atom Type: stratix_lcell
+
+       Assembler Lutmask : I very much like HEX numbers. 
+       power up = low
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+               11: NO ITERM
+               12: NO ITERM
+               13: NO ITERM
+               14: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: [COMBOUT]    ~STRATIX_FITTER_CREATED_GND~I   LIT INDEX 0 FANOUTS 19
+               1: NONE
+               2: NONE
+               3: NONE
+               4: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = normal
+               synch_mode                     = off
+               register_cascade_mode          = off
+               sum_lutc_input                 = datac
+               lut_mask                       = 0000
+               output_mode                    = comb_only
+
+
+- ATOM ------------------------
+       ATOM_NAME: ~DATA0~ -- NON-UNIQUE
+       Atom Hier Name: 
+       Atom Id: 293
+       Atom Type: stratix_io
+
+       INPUTS (Driven By):
+               0: NO ITERM
+               1: NO ITERM
+               2: NO ITERM
+               3: NO ITERM
+               4: NO ITERM
+               5: NO ITERM
+               6: NO ITERM
+               7: NO ITERM
+               8: NO ITERM
+               9: NO ITERM
+               10: NO ITERM
+       OUTPUTS (Int. Connections):
+               0: NONE
+               1: NONE
+               2: NONE
+               3: [PADIO]      ~DATA0~ LIT INDEX 0 FANOUTS 0
+               4: NONE
+               5: NONE
+               6: NONE
+               7: NONE
+
+       PARAMETER LIST:
+               operation_mode                 = input
+               ddio_mode                      = none
+               input_register_mode            = none
+               output_register_mode           = none
+               oe_register_mode               = none
+               input_async_reset              = none
+               output_async_reset             = none
+               oe_async_reset                 = none
+               input_sync_reset               = none
+               output_sync_reset              = none
+               oe_sync_reset                  = none
+               input_power_up                 = low
+               output_power_up                = low
+               oe_power_up                    = low
+
+       DELAY CHAINS:
+               PAD TO CORE 0:  OFF
+               PAD TO CORE 1:  OFF
+               TCO CHAIN:      OFF
+               TCOE CHAIN:     OFF
+               PAD TO INPUT REG:       OFF
+               CORE TO OUTPUT REG:     OFF
+               ZBT:    OFF
+               CE TO INPUT_REG:        OFF
+               CE TO OUTPUT_REG:       OFF
+               CE TO OE_REG:   OFF
+
+       IO STANDARD:                    3.3-V LVTTL
+       CURRENT STRENGTH:               DEFAULT
+       PCI DIODE:                      OFF
+       TERMINATION:                    OFF
+       DQS INFORMATION:                        
+               Not a DQS atom.
+               DQS system clock:       False
+       PLL COMPENSATED:                False
+
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/README b/bsp4/Designflow/ppr/sim/incremental_db/README
new file mode 100644 (file)
index 0000000..9f62dcd
--- /dev/null
@@ -0,0 +1,11 @@
+This folder contains data for incremental compilation.
+
+The compiled_partitions sub-folder contains previous compilation results for each partition.
+As long as this folder is preserved, incremental compilation results from earlier compiles
+can be re-used.  To perform a clean compilation from source files for all partitions, both
+the db and incremental_db folder should be removed.
+
+The imported_partitions sub-folder contains the last imported QXP for each imported partition.
+As long as this folder is preserved, imported partitions will be automatically re-imported
+when the db or incremental_db/compiled_partitions folders are removed.
+
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm
new file mode 100644 (file)
index 0000000..1fa6c22
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.atm differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp
new file mode 100644 (file)
index 0000000..b1c67d6
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.dfp differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx
new file mode 100644 (file)
index 0000000..9feefdd
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.hdbx differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.kpt
new file mode 100644 (file)
index 0000000..c1e72d7
--- /dev/null
@@ -0,0 +1,10 @@
+<kpt_db name="root_partition" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+  </key_points_set>
+  <transformations_set hier_sep="|">
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.logdb
new file mode 100644 (file)
index 0000000..626799f
--- /dev/null
@@ -0,0 +1 @@
+v1
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf
new file mode 100644 (file)
index 0000000..6a09258
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.cmp.rcf differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm
new file mode 100644 (file)
index 0000000..a60ef18
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.atm differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi
new file mode 100644 (file)
index 0000000..aa6d556
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.dpi differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx
new file mode 100644 (file)
index 0000000..d5b13c1
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.hdbx differ
diff --git a/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt b/bsp4/Designflow/ppr/sim/incremental_db/compiled_partitions/vga.root_partition.map.kpt
new file mode 100644 (file)
index 0000000..d0b749d
--- /dev/null
@@ -0,0 +1,1686 @@
+<kpt_db name="vga.map_bb" kpt_version="1.1">
+  <key_points_set type="reference" hier_sep="/">
+    <key_point id="1" type="register">
+      <name>vga_driver_unit/column_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="2" type="register">
+      <name>vga_driver_unit/hsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="3" type="register">
+      <name>vga_driver_unit/hsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="4" type="register">
+      <name>vga_control_unit/toggle_counter_sig_24_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="5" type="register">
+      <name>vga_driver_unit/vsync_state_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="6" type="register">
+      <name>vga_control_unit/g_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="7" type="register">
+      <name>vga_control_unit/toggle_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="8" type="register">
+      <name>vga_driver_unit/hsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="9" type="register">
+      <name>vga_driver_unit/hsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="10" type="register">
+      <name>vga_driver_unit/v_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="11" type="register">
+      <name>vga_driver_unit/column_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="12" type="register">
+      <name>vga_driver_unit/column_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="13" type="register">
+      <name>vga_control_unit/toggle_counter_sig_14_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="14" type="register">
+      <name>vga_control_unit/toggle_counter_sig_13_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="15" type="register">
+      <name>vga_control_unit/toggle_counter_sig_21_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="16" type="register">
+      <name>vga_control_unit/toggle_counter_sig_16_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="17" type="register">
+      <name>vga_driver_unit/hsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="18" type="register">
+      <name>vga_driver_unit/vsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="19" type="register">
+      <name>vga_driver_unit/hsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="20" type="register">
+      <name>vga_driver_unit/vsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="21" type="register">
+      <name>vga_driver_unit/vsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="22" type="register">
+      <name>vga_driver_unit/vsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="23" type="register">
+      <name>vga_driver_unit/line_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="24" type="register">
+      <name>vga_control_unit/toggle_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="25" type="register">
+      <name>vga_driver_unit/column_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="26" type="register">
+      <name>vga_driver_unit/hsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="27" type="register">
+      <name>vga_driver_unit/hsync_counter_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="28" type="register">
+      <name>vga_driver_unit/h_enable_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="29" type="register">
+      <name>vga_driver_unit/vsync_state_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="30" type="register">
+      <name>vga_control_unit/toggle_counter_sig_23_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="31" type="register">
+      <name>vga_driver_unit/hsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="32" type="register">
+      <name>vga_driver_unit/column_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="33" type="register">
+      <name>vga_driver_unit/hsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="34" type="register">
+      <name>vga_control_unit/toggle_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="35" type="register">
+      <name>vga_driver_unit/hsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="36" type="register">
+      <name>vga_driver_unit/hsync_counter_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="37" type="register">
+      <name>vga_driver_unit/line_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="38" type="register">
+      <name>vga_driver_unit/hsync_counter_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="39" type="register">
+      <name>vga_control_unit/toggle_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="40" type="register">
+      <name>vga_control_unit/toggle_counter_sig_15_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="41" type="register">
+      <name>vga_control_unit/toggle_counter_sig_12_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="42" type="register">
+      <name>vga_control_unit/toggle_counter_sig_19_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="43" type="register">
+      <name>vga_driver_unit/column_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="44" type="register">
+      <name>vga_driver_unit/hsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="45" type="register">
+      <name>vga_control_unit/toggle_counter_sig_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="46" type="register">
+      <name>vga_driver_unit/line_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="47" type="register">
+      <name>vga_driver_unit/vsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="48" type="register">
+      <name>vga_driver_unit/hsync_counter_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="49" type="register">
+      <name>dly_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="50" type="register">
+      <name>vga_control_unit/toggle_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="51" type="register">
+      <name>vga_driver_unit/vsync_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="52" type="register">
+      <name>vga_driver_unit/vsync_counter_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="53" type="register">
+      <name>vga_driver_unit/column_counter_sig_7_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="54" type="register">
+      <name>vga_control_unit/toggle_sig_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="55" type="register">
+      <name>vga_driver_unit/line_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="56" type="register">
+      <name>vga_driver_unit/vsync_state_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="57" type="register">
+      <name>vga_driver_unit/h_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="58" type="register">
+      <name>vga_driver_unit/vsync_counter_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="59" type="register">
+      <name>vga_control_unit/toggle_counter_sig_11_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="60" type="register">
+      <name>dly_counter_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="61" type="register">
+      <name>vga_driver_unit/vsync_counter_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="62" type="register">
+      <name>vga_control_unit/r_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="63" type="register">
+      <name>vga_driver_unit/vsync_counter_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="64" type="register">
+      <name>vga_driver_unit/column_counter_sig_9_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="65" type="register">
+      <name>vga_driver_unit/v_sync_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="66" type="register">
+      <name>vga_control_unit/toggle_counter_sig_20_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="67" type="register">
+      <name>vga_driver_unit/line_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="68" type="register">
+      <name>vga_control_unit/toggle_counter_sig_18_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="69" type="register">
+      <name>vga_control_unit/toggle_counter_sig_17_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="70" type="register">
+      <name>vga_control_unit/toggle_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="71" type="register">
+      <name>vga_driver_unit/vsync_state_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="72" type="register">
+      <name>vga_driver_unit/column_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="73" type="register">
+      <name>vga_control_unit/toggle_counter_sig_22_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+      <attributes_list>
+        <attribute name="_KPT_INT_ELIM_STAT_">SA0</attribute>
+      </attributes_list>
+    </key_point>
+    <key_point id="74" type="register">
+      <name>vga_driver_unit/vsync_state_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="75" type="register">
+      <name>vga_control_unit/toggle_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="76" type="register">
+      <name>vga_control_unit/toggle_counter_sig_1_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="77" type="register">
+      <name>vga_control_unit/b_Z</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="78" type="register">
+      <name>vga_control_unit/toggle_counter_sig_10_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="79" type="register">
+      <name>vga_control_unit/toggle_counter_sig_0_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="80" type="register">
+      <name>vga_driver_unit/line_counter_sig_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="81" type="register">
+      <name>vga_driver_unit/line_counter_sig_3_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="82" type="register">
+      <name>vga_driver_unit/hsync_state_6_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="83" type="register">
+      <name>vga_driver_unit/line_counter_sig_8_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="84" type="register">
+      <name>vga_driver_unit/vsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="85" type="register">
+      <name>vga_driver_unit/column_counter_sig_5_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="86" type="register">
+      <name>vga_driver_unit/hsync_counter_2_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="87" type="register">
+      <name>vga_driver_unit/vsync_state_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+    <key_point id="88" type="register">
+      <name>vga_driver_unit/line_counter_sig_4_</name>
+      <entity>stratix_lcell</entity>
+      <reg_type>le</reg_type>
+    </key_point>
+  </key_points_set>
+  <key_points_set type="transition" hier_sep="|">
+  </key_points_set>
+  <key_points_set type="transformed" hier_sep="|">
+    <key_point id="89" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_7</name>
+    </key_point>
+    <key_point id="90" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_10</name>
+    </key_point>
+    <key_point id="91" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_11</name>
+    </key_point>
+    <key_point id="92" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_0</name>
+    </key_point>
+    <key_point id="93" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_0</name>
+    </key_point>
+    <key_point id="94" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_1</name>
+    </key_point>
+    <key_point id="95" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_14</name>
+    </key_point>
+    <key_point id="96" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_1</name>
+    </key_point>
+    <key_point id="97" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_15</name>
+    </key_point>
+    <key_point id="98" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_2</name>
+    </key_point>
+    <key_point id="99" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_12</name>
+    </key_point>
+    <key_point id="100" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_4</name>
+    </key_point>
+    <key_point id="101" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_5</name>
+    </key_point>
+    <key_point id="102" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_13</name>
+    </key_point>
+    <key_point id="103" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_2</name>
+    </key_point>
+    <key_point id="104" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_3</name>
+    </key_point>
+    <key_point id="105" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_6</name>
+    </key_point>
+    <key_point id="106" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_5</name>
+    </key_point>
+    <key_point id="107" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_4</name>
+    </key_point>
+    <key_point id="108" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_4</name>
+    </key_point>
+    <key_point id="109" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_3</name>
+    </key_point>
+    <key_point id="110" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_3</name>
+    </key_point>
+    <key_point id="111" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_2</name>
+    </key_point>
+    <key_point id="112" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_2</name>
+    </key_point>
+    <key_point id="113" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_1</name>
+    </key_point>
+    <key_point id="114" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_1</name>
+    </key_point>
+    <key_point id="115" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_8</name>
+    </key_point>
+    <key_point id="116" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_state_0</name>
+    </key_point>
+    <key_point id="117" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_0</name>
+    </key_point>
+    <key_point id="118" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_7</name>
+    </key_point>
+    <key_point id="119" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_9</name>
+    </key_point>
+    <key_point id="120" type="register">
+      <name>vga_driver:vga_driver_unit|v_enable_sig</name>
+    </key_point>
+    <key_point id="121" type="register">
+      <name>vga_driver:vga_driver_unit|h_sync</name>
+    </key_point>
+    <key_point id="122" type="register">
+      <name>vga_control:vga_control_unit|toggle_sig</name>
+    </key_point>
+    <key_point id="123" type="register">
+      <name>vga_control:vga_control_unit|b</name>
+    </key_point>
+    <key_point id="124" type="register">
+      <name>vga_driver:vga_driver_unit|h_enable_sig</name>
+    </key_point>
+    <key_point id="125" type="register">
+      <name>dly_counter[1]</name>
+    </key_point>
+    <key_point id="126" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_9</name>
+    </key_point>
+    <key_point id="127" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_8</name>
+    </key_point>
+    <key_point id="128" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_7</name>
+    </key_point>
+    <key_point id="129" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_6</name>
+    </key_point>
+    <key_point id="130" type="register">
+      <name>vga_driver:vga_driver_unit|column_counter_sig_5</name>
+    </key_point>
+    <key_point id="131" type="register">
+      <name>vga_driver:vga_driver_unit|v_sync</name>
+    </key_point>
+    <key_point id="132" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_18</name>
+    </key_point>
+    <key_point id="133" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_19</name>
+    </key_point>
+    <key_point id="134" type="register">
+      <name>dly_counter[0]</name>
+    </key_point>
+    <key_point id="135" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_16</name>
+    </key_point>
+    <key_point id="136" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_17</name>
+    </key_point>
+    <key_point id="137" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_0</name>
+    </key_point>
+    <key_point id="138" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_1</name>
+    </key_point>
+    <key_point id="139" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_2</name>
+    </key_point>
+    <key_point id="140" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_4</name>
+    </key_point>
+    <key_point id="141" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_3</name>
+    </key_point>
+    <key_point id="142" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_3</name>
+    </key_point>
+    <key_point id="143" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_4</name>
+    </key_point>
+    <key_point id="144" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_6</name>
+    </key_point>
+    <key_point id="145" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_2</name>
+    </key_point>
+    <key_point id="146" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_5</name>
+    </key_point>
+    <key_point id="147" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_5</name>
+    </key_point>
+    <key_point id="148" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_3</name>
+    </key_point>
+    <key_point id="149" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_0</name>
+    </key_point>
+    <key_point id="150" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_6</name>
+    </key_point>
+    <key_point id="151" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_0</name>
+    </key_point>
+    <key_point id="152" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_7</name>
+    </key_point>
+    <key_point id="153" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_1</name>
+    </key_point>
+    <key_point id="154" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_2</name>
+    </key_point>
+    <key_point id="155" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_6</name>
+    </key_point>
+    <key_point id="156" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_8</name>
+    </key_point>
+    <key_point id="157" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_counter_1</name>
+    </key_point>
+    <key_point id="158" type="register">
+      <name>vga_driver:vga_driver_unit|vsync_counter_9</name>
+    </key_point>
+    <key_point id="159" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_4</name>
+    </key_point>
+    <key_point id="160" type="register">
+      <name>vga_driver:vga_driver_unit|hsync_state_5</name>
+    </key_point>
+    <key_point id="161" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_7</name>
+    </key_point>
+    <key_point id="162" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_8</name>
+    </key_point>
+    <key_point id="163" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_9</name>
+    </key_point>
+    <key_point id="164" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_3</name>
+    </key_point>
+    <key_point id="165" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_4</name>
+    </key_point>
+    <key_point id="166" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_5</name>
+    </key_point>
+    <key_point id="167" type="register">
+      <name>vga_control:vga_control_unit|toggle_counter_sig_6</name>
+    </key_point>
+    <key_point id="168" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_8</name>
+    </key_point>
+    <key_point id="169" type="register">
+      <name>vga_driver:vga_driver_unit|line_counter_sig_6</name>
+    </key_point>
+  </key_points_set>
+  <transformations_set hier_sep="|">
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="75" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="165" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="58" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="146" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="53" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="128" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="84" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="139" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="60" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="134" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="14" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="102" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="18" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="152" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="20" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="143" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="74" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="113" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="34" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="166" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="27" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="115" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="86" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="154" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="63" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="158" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="52" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="150" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="13" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="95" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="85" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="130" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="9" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="149" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="45" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="167" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="21" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="156" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="71" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="111" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="12" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="110" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="57" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="121" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="40" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="97" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="8" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="148" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="64" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="126" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="48" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="140" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="72" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="114" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="5" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="106" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="1" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="108" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="7" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="161" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="49" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="125" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="37" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="169" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="69" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="136" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="33" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="145" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="19" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="151" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="3" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="160" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="16" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="135" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="25" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="112" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="32" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="127" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="88" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="100" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="2" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="147" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="50" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="162" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="11" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="117" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="17" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="153" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="65" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="131" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="80" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="103" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="43" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="129" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="67" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="101" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="38" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="118" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="82" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="155" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="42" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="133" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="31" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="159" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="55" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="92" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="68" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="132" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="24" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="163" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="56" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="109" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="81" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="104" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="47" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="105" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="46" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="94" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="79" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="93" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="54" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="122" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="78" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="90" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="26" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="144" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="44" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="157" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="10" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="120" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="35" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="119" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="76" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="96" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="36" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="142" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="59" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="91" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="83" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="168" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="28" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="124" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="29" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="116" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="61" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="138" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="39" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="164" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="23" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="89" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="70" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="98" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="41" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="99" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="87" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="107" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="22" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="141" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="77" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="123" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+    <transformation>
+      <kp_set type="reference">
+        <kp_state index="0">
+          <kp id="51" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+      <kp_set type="transformed">
+        <kp_state index="0">
+          <kp id="137" type="proxy"></kp>
+        </kp_state>
+      </kp_set>
+    </transformation>
+  </transformations_set>
+</kpt_db>
diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.sft
new file mode 100644 (file)
index 0000000..dffb074
--- /dev/null
@@ -0,0 +1,4 @@
+set tool_name "ModelSim (VHDL)"
+set corner_file_list {
+       {{"Slow Model"} {vga.vho vga_vhd.sdo}}
+}
diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho
new file mode 100644 (file)
index 0000000..55318bb
--- /dev/null
@@ -0,0 +1,7773 @@
+-- Copyright (C) 1991-2009 Altera Corporation
+-- Your use of Altera Corporation's design tools, logic functions 
+-- and other software and tools, and its AMPP partner logic 
+-- functions, and any output files from any of the foregoing 
+-- (including device programming or simulation files), and any 
+-- associated documentation or information are expressly subject 
+-- to the terms and conditions of the Altera Program License 
+-- Subscription Agreement, Altera MegaCore Function License 
+-- Agreement, or other applicable license agreement, including, 
+-- without limitation, that your use is for the sole purpose of 
+-- programming logic devices manufactured by Altera and sold by 
+-- Altera or its authorized distributors.  Please refer to the 
+-- applicable agreement for further details.
+
+-- VENDOR "Altera"
+-- PROGRAM "Quartus II"
+-- VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version"
+
+-- DATE "11/03/2009 17:31:40"
+
+-- 
+-- Device: Altera EP1S25F672C6 Package FBGA672
+-- 
+
+-- 
+-- This VHDL file should be used for ModelSim (VHDL) only
+-- 
+
+LIBRARY IEEE, stratix;
+USE IEEE.std_logic_1164.all;
+USE stratix.stratix_components.all;
+
+ENTITY         vga IS
+    PORT (
+       clk_pin : IN std_logic;
+       reset_pin : IN std_logic;
+       r0_pin : OUT std_logic;
+       r1_pin : OUT std_logic;
+       r2_pin : OUT std_logic;
+       g0_pin : OUT std_logic;
+       g1_pin : OUT std_logic;
+       g2_pin : OUT std_logic;
+       b0_pin : OUT std_logic;
+       b1_pin : OUT std_logic;
+       hsync_pin : OUT std_logic;
+       vsync_pin : OUT std_logic;
+       seven_seg_pin : OUT std_logic_vector(13 DOWNTO 0);
+       d_hsync : OUT std_logic;
+       d_vsync : OUT std_logic;
+       d_column_counter : OUT std_logic_vector(9 DOWNTO 0);
+       d_line_counter : OUT std_logic_vector(8 DOWNTO 0);
+       d_set_column_counter : OUT std_logic;
+       d_set_line_counter : OUT std_logic;
+       d_hsync_counter : OUT std_logic_vector(9 DOWNTO 0);
+       d_vsync_counter : OUT std_logic_vector(9 DOWNTO 0);
+       d_set_hsync_counter : OUT std_logic;
+       d_set_vsync_counter : OUT std_logic;
+       d_h_enable : OUT std_logic;
+       d_v_enable : OUT std_logic;
+       d_r : OUT std_logic;
+       d_g : OUT std_logic;
+       d_b : OUT std_logic;
+       d_hsync_state : OUT std_logic_vector(0 TO 6);
+       d_vsync_state : OUT std_logic_vector(0 TO 6);
+       d_state_clk : OUT std_logic;
+       d_toggle : OUT std_logic;
+       d_toggle_counter : OUT std_logic_vector(24 DOWNTO 0)
+       );
+END vga;
+
+ARCHITECTURE structure OF vga IS
+SIGNAL gnd : std_logic := '0';
+SIGNAL vcc : std_logic := '1';
+SIGNAL devoe : std_logic := '1';
+SIGNAL devclrn : std_logic := '1';
+SIGNAL devpor : std_logic := '1';
+SIGNAL ww_devoe : std_logic;
+SIGNAL ww_devclrn : std_logic;
+SIGNAL ww_devpor : std_logic;
+SIGNAL ww_clk_pin : std_logic;
+SIGNAL ww_reset_pin : std_logic;
+SIGNAL ww_r0_pin : std_logic;
+SIGNAL ww_r1_pin : std_logic;
+SIGNAL ww_r2_pin : std_logic;
+SIGNAL ww_g0_pin : std_logic;
+SIGNAL ww_g1_pin : std_logic;
+SIGNAL ww_g2_pin : std_logic;
+SIGNAL ww_b0_pin : std_logic;
+SIGNAL ww_b1_pin : std_logic;
+SIGNAL ww_hsync_pin : std_logic;
+SIGNAL ww_vsync_pin : std_logic;
+SIGNAL ww_seven_seg_pin : std_logic_vector(13 DOWNTO 0);
+SIGNAL ww_d_hsync : std_logic;
+SIGNAL ww_d_vsync : std_logic;
+SIGNAL ww_d_column_counter : std_logic_vector(9 DOWNTO 0);
+SIGNAL ww_d_line_counter : std_logic_vector(8 DOWNTO 0);
+SIGNAL ww_d_set_column_counter : std_logic;
+SIGNAL ww_d_set_line_counter : std_logic;
+SIGNAL ww_d_hsync_counter : std_logic_vector(9 DOWNTO 0);
+SIGNAL ww_d_vsync_counter : std_logic_vector(9 DOWNTO 0);
+SIGNAL ww_d_set_hsync_counter : std_logic;
+SIGNAL ww_d_set_vsync_counter : std_logic;
+SIGNAL ww_d_h_enable : std_logic;
+SIGNAL ww_d_v_enable : std_logic;
+SIGNAL ww_d_r : std_logic;
+SIGNAL ww_d_g : std_logic;
+SIGNAL ww_d_b : std_logic;
+SIGNAL ww_d_hsync_state : std_logic_vector(0 TO 6);
+SIGNAL ww_d_vsync_state : std_logic_vector(0 TO 6);
+SIGNAL ww_d_state_clk : std_logic;
+SIGNAL ww_d_toggle : std_logic;
+SIGNAL ww_d_toggle_counter : std_logic_vector(24 DOWNTO 0);
+SIGNAL \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\ : std_logic;
+SIGNAL \~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic;
+SIGNAL \clk_pin~combout\ : std_logic;
+SIGNAL \reset_pin~combout\ : std_logic;
+SIGNAL \vga_driver_unit|un6_dly_counter_0_x\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_6\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_0\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_2\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_5\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_6\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_8\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_9\ : std_logic;
+SIGNAL \vga_driver_unit|un9_hsync_counterlt9_3\ : std_logic;
+SIGNAL \vga_driver_unit|un9_hsync_counterlt9\ : std_logic;
+SIGNAL \vga_driver_unit|G_2_i\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_1\ : std_logic;
+SIGNAL \vga_driver_unit|un13_hsync_counter_7\ : std_logic;
+SIGNAL \vga_driver_unit|un13_hsync_counter_2\ : std_logic;
+SIGNAL \vga_driver_unit|un13_hsync_counter\ : std_logic;
+SIGNAL \vga_driver_unit|un12_hsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|un12_hsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|un12_hsync_counter\ : std_logic;
+SIGNAL \vga_driver_unit|un10_hsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|un10_hsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|un10_hsync_counter_1\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_5\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_4\ : std_logic;
+SIGNAL \vga_driver_unit|un11_hsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ : std_logic;
+SIGNAL \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_3\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_2\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_0\ : std_logic;
+SIGNAL \vga_driver_unit|d_set_hsync_counter\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_next_1_sqmuxa\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_counter_7\ : std_logic;
+SIGNAL \vga_driver_unit|un11_hsync_counter_2\ : std_logic;
+SIGNAL \vga_driver_unit|hsync_state_1\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_0\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_1\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_4\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_5\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_7\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_6\ : std_logic;
+SIGNAL \vga_driver_unit|un10_column_counter_siglt6_1\ : std_logic;
+SIGNAL \vga_driver_unit|un10_column_counter_siglt6_2\ : std_logic;
+SIGNAL \vga_driver_unit|un10_column_counter_siglt6\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_8\ : std_logic;
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_9\ : std_logic;
+SIGNAL \vga_driver_unit|un10_column_counter_siglto9\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_2\ : std_logic;
+SIGNAL \vga_driver_unit|column_counter_sig_3\ : std_logic;
+SIGNAL \vga_control_unit|un5_v_enablelto3\ : std_logic;
+SIGNAL \vga_control_unit|un5_v_enablelto5_0\ : std_logic;
+SIGNAL \vga_control_unit|un5_v_enablelto7\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_0\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_2\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_5\ : std_logic;
+SIGNAL \vga_driver_unit|un9_vsync_counterlt9_6\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_6\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_7\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_8\ : std_logic;
+SIGNAL \vga_driver_unit|un9_vsync_counterlt9_5\ : std_logic;
+SIGNAL \vga_driver_unit|un9_vsync_counterlt9\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_6\ : std_logic;
+SIGNAL \vga_driver_unit|G_16_i\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_1\ : std_logic;
+SIGNAL \vga_driver_unit|un12_vsync_counter_7\ : std_logic;
+SIGNAL \vga_driver_unit|un12_vsync_counter_6\ : std_logic;
+SIGNAL \vga_driver_unit|un14_vsync_counter_8\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_5\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ : std_logic;
+SIGNAL \vga_driver_unit|un15_vsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|un15_vsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|un13_vsync_counter_3\ : std_logic;
+SIGNAL \vga_driver_unit|un13_vsync_counter_4\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ : std_logic;
+SIGNAL \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_next_2_sqmuxa\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_3\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_2\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_0\ : std_logic;
+SIGNAL \vga_driver_unit|d_set_vsync_counter\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_next_1_sqmuxa\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_counter_9\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_4\ : std_logic;
+SIGNAL \vga_driver_unit|vsync_state_1\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_0\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_1\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_2\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_4\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_3\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_6\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_5\ : std_logic;
+SIGNAL \vga_driver_unit|un10_line_counter_siglt4_2\ : std_logic;
+SIGNAL \vga_driver_unit|un10_line_counter_siglto5\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_8\ : std_logic;
+SIGNAL \vga_driver_unit|un10_line_counter_siglto8\ : std_logic;
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ : std_logic;
+SIGNAL \vga_driver_unit|line_counter_sig_7\ : std_logic;
+SIGNAL \vga_control_unit|un17_v_enablelt2\ : std_logic;
+SIGNAL \vga_control_unit|un17_v_enablelto5\ : std_logic;
+SIGNAL \vga_control_unit|un17_v_enablelto7\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_0\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_1\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_3\ : std_logic;
+SIGNAL \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_2\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_5\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_4\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_6\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_7\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_9\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_8\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_10\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_11\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_13\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_12\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_15\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_14\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_17\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_16\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_18\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ : std_logic;
+SIGNAL \vga_control_unit|toggle_counter_sig_19\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto19_4\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto19_5\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto7_4\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto7\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto10\ : std_logic;
+SIGNAL \vga_control_unit|un1_toggle_counter_siglto19\ : std_logic;
+SIGNAL \vga_control_unit|toggle_sig_0_0_0_g1\ : std_logic;
+SIGNAL \vga_control_unit|toggle_sig\ : std_logic;
+SIGNAL \vga_control_unit|un9_v_enablelto6\ : std_logic;
+SIGNAL \vga_control_unit|un9_v_enablelto9\ : std_logic;
+SIGNAL \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ : std_logic;
+SIGNAL \vga_driver_unit|h_enable_sig\ : std_logic;
+SIGNAL \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ : std_logic;
+SIGNAL \vga_driver_unit|v_enable_sig\ : std_logic;
+SIGNAL \vga_control_unit|b_next_0_g0_3\ : std_logic;
+SIGNAL \vga_control_unit|b_next_0_g0_5\ : std_logic;
+SIGNAL \vga_control_unit|un13_v_enablelto8_a\ : std_logic;
+SIGNAL \vga_control_unit|un13_v_enablelto8\ : std_logic;
+SIGNAL \vga_control_unit|b\ : std_logic;
+SIGNAL \vga_driver_unit|un1_hsync_state_3_0\ : std_logic;
+SIGNAL \vga_driver_unit|h_sync_1_0_0_0_g1\ : std_logic;
+SIGNAL \vga_driver_unit|h_sync\ : std_logic;
+SIGNAL \vga_driver_unit|un1_vsync_state_2_0\ : std_logic;
+SIGNAL \vga_driver_unit|v_sync_1_0_0_0_g1\ : std_logic;
+SIGNAL \vga_driver_unit|v_sync\ : std_logic;
+SIGNAL dly_counter : std_logic_vector(1 DOWNTO 0);
+SIGNAL \vga_control_unit|toggle_counter_sig_cout\ : std_logic_vector(17 DOWNTO 1);
+SIGNAL \vga_control_unit|un2_toggle_counter_next_cout\ : std_logic_vector(0 DOWNTO 0);
+SIGNAL \vga_driver_unit|hsync_counter_cout\ : std_logic_vector(8 DOWNTO 0);
+SIGNAL \vga_driver_unit|un1_line_counter_sig_a_cout\ : std_logic_vector(1 DOWNTO 1);
+SIGNAL \vga_driver_unit|un1_line_counter_sig_combout\ : std_logic_vector(9 DOWNTO 1);
+SIGNAL \vga_driver_unit|un1_line_counter_sig_cout\ : std_logic_vector(7 DOWNTO 1);
+SIGNAL \vga_driver_unit|un2_column_counter_next_combout\ : std_logic_vector(9 DOWNTO 1);
+SIGNAL \vga_driver_unit|un2_column_counter_next_cout\ : std_logic_vector(7 DOWNTO 0);
+SIGNAL \vga_driver_unit|vsync_counter_cout\ : std_logic_vector(8 DOWNTO 0);
+SIGNAL \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ : std_logic;
+SIGNAL \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ : std_logic;
+SIGNAL \vga_driver_unit|ALT_INV_G_2_i\ : std_logic;
+SIGNAL \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ : std_logic;
+SIGNAL \vga_driver_unit|ALT_INV_G_16_i\ : std_logic;
+SIGNAL \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ : std_logic;
+SIGNAL \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ : std_logic;
+SIGNAL \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ : std_logic;
+
+BEGIN
+
+ww_clk_pin <= clk_pin;
+ww_reset_pin <= reset_pin;
+r0_pin <= ww_r0_pin;
+r1_pin <= ww_r1_pin;
+r2_pin <= ww_r2_pin;
+g0_pin <= ww_g0_pin;
+g1_pin <= ww_g1_pin;
+g2_pin <= ww_g2_pin;
+b0_pin <= ww_b0_pin;
+b1_pin <= ww_b1_pin;
+hsync_pin <= ww_hsync_pin;
+vsync_pin <= ww_vsync_pin;
+seven_seg_pin <= ww_seven_seg_pin;
+d_hsync <= ww_d_hsync;
+d_vsync <= ww_d_vsync;
+d_column_counter <= ww_d_column_counter;
+d_line_counter <= ww_d_line_counter;
+d_set_column_counter <= ww_d_set_column_counter;
+d_set_line_counter <= ww_d_set_line_counter;
+d_hsync_counter <= ww_d_hsync_counter;
+d_vsync_counter <= ww_d_vsync_counter;
+d_set_hsync_counter <= ww_d_set_hsync_counter;
+d_set_vsync_counter <= ww_d_set_vsync_counter;
+d_h_enable <= ww_d_h_enable;
+d_v_enable <= ww_d_v_enable;
+d_r <= ww_d_r;
+d_g <= ww_d_g;
+d_b <= ww_d_b;
+d_hsync_state <= ww_d_hsync_state;
+d_vsync_state <= ww_d_vsync_state;
+d_state_clk <= ww_d_state_clk;
+d_toggle <= ww_d_toggle;
+d_toggle_counter <= ww_d_toggle_counter;
+ww_devoe <= devoe;
+ww_devclrn <= devclrn;
+ww_devpor <= devpor;
+\vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\;
+\vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\ <= NOT \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\;
+\vga_driver_unit|ALT_INV_G_2_i\ <= NOT \vga_driver_unit|G_2_i\;
+\vga_driver_unit|ALT_INV_un9_hsync_counterlt9\ <= NOT \vga_driver_unit|un9_hsync_counterlt9\;
+\vga_driver_unit|ALT_INV_G_16_i\ <= NOT \vga_driver_unit|G_16_i\;
+\vga_driver_unit|ALT_INV_un9_vsync_counterlt9\ <= NOT \vga_driver_unit|un9_vsync_counterlt9\;
+\vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\ <= NOT \vga_control_unit|toggle_sig_0_0_0_g1\;
+\ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\ <= NOT \~STRATIX_FITTER_CREATED_GND~I_combout\;
+
+\~STRATIX_FITTER_CREATED_GND~I\ : stratix_lcell
+-- Equation(s):
+-- \~STRATIX_FITTER_CREATED_GND~I_combout\ = GND
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \~STRATIX_FITTER_CREATED_GND~I_combout\);
+
+clk_pin_in : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "input",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => GND,
+       padio => ww_clk_pin,
+       combout => \clk_pin~combout\);
+
+reset_pin_in : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "input",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => GND,
+       padio => ww_reset_pin,
+       combout => \reset_pin~combout\);
+
+\dly_counter_1_\ : stratix_lcell
+-- Equation(s):
+-- dly_counter(1) = DFFEAS(\reset_pin~combout\ & (dly_counter(0) # dly_counter(1)), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "aaa0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \reset_pin~combout\,
+       datac => dly_counter(0),
+       datad => dly_counter(1),
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => dly_counter(1));
+
+\dly_counter_0_\ : stratix_lcell
+-- Equation(s):
+-- dly_counter(0) = DFFEAS(\reset_pin~combout\ & (dly_counter(1) # !dly_counter(0)), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f300",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => dly_counter(0),
+       datac => dly_counter(1),
+       datad => \reset_pin~combout\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => dly_counter(0));
+
+\vga_driver_unit|vsync_state_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un6_dly_counter_0_x\ = !\reset_pin~combout\ # !dly_counter(1) # !dly_counter(0)
+-- \vga_driver_unit|vsync_state_6\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\, GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "3fff",
+       operation_mode => "normal",
+       output_mode => "reg_and_comb",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => dly_counter(0),
+       datac => dly_counter(1),
+       datad => \reset_pin~combout\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un6_dly_counter_0_x\,
+       regout => \vga_driver_unit|vsync_state_6\);
+
+\vga_driver_unit|hsync_state_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|d_set_hsync_counter\ = C1_hsync_state_6 # \vga_driver_unit|hsync_state_0\
+-- \vga_driver_unit|hsync_state_6\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|un6_dly_counter_0_x\, , , VCC)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff0",
+       operation_mode => "normal",
+       output_mode => "reg_and_comb",
+       register_cascade_mode => "off",
+       sum_lutc_input => "qfbk",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un6_dly_counter_0_x\,
+       datad => \vga_driver_unit|hsync_state_0\,
+       aclr => GND,
+       sload => VCC,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|d_set_hsync_counter\,
+       regout => \vga_driver_unit|hsync_state_6\);
+
+\vga_driver_unit|hsync_counter_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_0\ = DFFEAS(!\vga_driver_unit|hsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(0) = CARRY(\vga_driver_unit|hsync_counter_0\)
+-- \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|hsync_counter_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "33cc",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|hsync_counter_0\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_0\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(0),
+       cout1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\);
+
+\vga_driver_unit|hsync_counter_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_1\ = DFFEAS(\vga_driver_unit|hsync_counter_1\ $ \vga_driver_unit|hsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, 
+-- !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(1) = CARRY(!\vga_driver_unit|hsync_counter_cout\(0) # !\vga_driver_unit|hsync_counter_1\)
+-- \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|hsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|hsync_counter_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "3c3f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|hsync_counter_1\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin0 => \vga_driver_unit|hsync_counter_cout\(0),
+       cin1 => \vga_driver_unit|hsync_counter_cout[0]~COUT1_10\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_1\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(1),
+       cout1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\);
+
+\vga_driver_unit|hsync_counter_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_2\ = DFFEAS(\vga_driver_unit|hsync_counter_2\ $ (!\vga_driver_unit|hsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, 
+-- !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(2) = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout\(1)))
+-- \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_cout[1]~COUT1_12\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_counter_2\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin0 => \vga_driver_unit|hsync_counter_cout\(1),
+       cin1 => \vga_driver_unit|hsync_counter_cout[1]~COUT1_12\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_2\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(2),
+       cout1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\);
+
+\vga_driver_unit|hsync_counter_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_3\ = DFFEAS(\vga_driver_unit|hsync_counter_3\ $ (\vga_driver_unit|hsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, 
+-- !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(3) = CARRY(!\vga_driver_unit|hsync_counter_cout\(2) # !\vga_driver_unit|hsync_counter_3\)
+-- \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|hsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|hsync_counter_3\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a5f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_counter_3\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin0 => \vga_driver_unit|hsync_counter_cout\(2),
+       cin1 => \vga_driver_unit|hsync_counter_cout[2]~COUT1_14\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_3\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(3),
+       cout1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\);
+
+\vga_driver_unit|hsync_counter_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_4\ = DFFEAS(\vga_driver_unit|hsync_counter_4\ $ (!\vga_driver_unit|hsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, 
+-- !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(4) = CARRY(\vga_driver_unit|hsync_counter_4\ & (!\vga_driver_unit|hsync_counter_cout[3]~COUT1_16\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_counter_4\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin0 => \vga_driver_unit|hsync_counter_cout\(3),
+       cin1 => \vga_driver_unit|hsync_counter_cout[3]~COUT1_16\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_4\,
+       cout => \vga_driver_unit|hsync_counter_cout\(4));
+
+\vga_driver_unit|hsync_counter_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_5\ = DFFEAS(\vga_driver_unit|hsync_counter_5\ $ \vga_driver_unit|hsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, 
+-- !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(5) = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\)
+-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|hsync_counter_cout\(4) # !\vga_driver_unit|hsync_counter_5\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin_used => "true",
+       lut_mask => "3c3f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|hsync_counter_5\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin => \vga_driver_unit|hsync_counter_cout\(4),
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_5\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(5),
+       cout1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\);
+
+\vga_driver_unit|hsync_counter_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_6\ = DFFEAS(\vga_driver_unit|hsync_counter_6\ $ !(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(5)) # (\vga_driver_unit|hsync_counter_cout\(4) & 
+-- \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(6) = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout\(5))
+-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_cout[5]~COUT1_18\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "c30c",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|hsync_counter_6\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin => \vga_driver_unit|hsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|hsync_counter_cout\(5),
+       cin1 => \vga_driver_unit|hsync_counter_cout[5]~COUT1_18\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_6\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(6),
+       cout1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\);
+
+\vga_driver_unit|hsync_counter_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_7\ = DFFEAS(\vga_driver_unit|hsync_counter_7\ $ ((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(6)) # (\vga_driver_unit|hsync_counter_cout\(4) & 
+-- \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(7) = CARRY(!\vga_driver_unit|hsync_counter_cout\(6) # !\vga_driver_unit|hsync_counter_7\)
+-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|hsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|hsync_counter_7\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "5a5f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_counter_7\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin => \vga_driver_unit|hsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|hsync_counter_cout\(6),
+       cin1 => \vga_driver_unit|hsync_counter_cout[6]~COUT1_20\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_7\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(7),
+       cout1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\);
+
+\vga_driver_unit|hsync_counter_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_8\ = DFFEAS(\vga_driver_unit|hsync_counter_8\ $ (!(!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(7)) # (\vga_driver_unit|hsync_counter_cout\(4) & 
+-- \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\)
+-- \vga_driver_unit|hsync_counter_cout\(8) = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout\(7)))
+-- \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|hsync_counter_8\ & (!\vga_driver_unit|hsync_counter_cout[7]~COUT1_22\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_counter_8\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin => \vga_driver_unit|hsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|hsync_counter_cout\(7),
+       cin1 => \vga_driver_unit|hsync_counter_cout[7]~COUT1_22\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_8\,
+       cout0 => \vga_driver_unit|hsync_counter_cout\(8),
+       cout1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\);
+
+\vga_driver_unit|hsync_counter_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_9\ = DFFEAS((!\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout\(8)) # (\vga_driver_unit|hsync_counter_cout\(4) & \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\) $ 
+-- \vga_driver_unit|hsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|hsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_2_i\, !\vga_driver_unit|un9_hsync_counterlt9\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "0ff0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|hsync_counter_next_1_sqmuxa\,
+       datad => \vga_driver_unit|hsync_counter_9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_2_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_hsync_counterlt9\,
+       cin => \vga_driver_unit|hsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|hsync_counter_cout\(8),
+       cin1 => \vga_driver_unit|hsync_counter_cout[8]~COUT1_24\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_counter_9\);
+
+\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un9_hsync_counterlt9_3\ = !\vga_driver_unit|hsync_counter_4\ # !\vga_driver_unit|hsync_counter_6\ # !\vga_driver_unit|hsync_counter_7\ # !\vga_driver_unit|hsync_counter_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "7fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_5\,
+       datab => \vga_driver_unit|hsync_counter_7\,
+       datac => \vga_driver_unit|hsync_counter_6\,
+       datad => \vga_driver_unit|hsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un9_hsync_counterlt9_3\);
+
+\vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un9_hsync_counterlt9\ = \vga_driver_unit|un9_hsync_counterlt9_3\ # !\vga_driver_unit|hsync_counter_8\ # !\vga_driver_unit|hsync_counter_9\ # !\vga_driver_unit|un13_hsync_counter_7\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff7f",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un13_hsync_counter_7\,
+       datab => \vga_driver_unit|hsync_counter_9\,
+       datac => \vga_driver_unit|hsync_counter_8\,
+       datad => \vga_driver_unit|un9_hsync_counterlt9_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un9_hsync_counterlt9\);
+
+\vga_driver_unit|G_2\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|G_2_i\ = !\vga_driver_unit|hsync_state_6\ & !\vga_driver_unit|hsync_state_0\ & !\vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|un9_hsync_counterlt9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "01ff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_state_6\,
+       datab => \vga_driver_unit|hsync_state_0\,
+       datac => \vga_driver_unit|un6_dly_counter_0_x\,
+       datad => \vga_driver_unit|un9_hsync_counterlt9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|G_2_i\);
+
+\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un13_hsync_counter_7\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_1\,
+       datab => \vga_driver_unit|hsync_counter_0\,
+       datac => \vga_driver_unit|hsync_counter_3\,
+       datad => \vga_driver_unit|hsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un13_hsync_counter_7\);
+
+\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un13_hsync_counter_2\ = !\vga_driver_unit|hsync_counter_5\ & \vga_driver_unit|hsync_counter_8\ & \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "4000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_5\,
+       datab => \vga_driver_unit|hsync_counter_8\,
+       datac => \vga_driver_unit|hsync_counter_4\,
+       datad => \vga_driver_unit|hsync_counter_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un13_hsync_counter_2\);
+
+\vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un13_hsync_counter\ = !\vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|un13_hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & \vga_driver_unit|un13_hsync_counter_2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0400",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_7\,
+       datab => \vga_driver_unit|un13_hsync_counter_7\,
+       datac => \vga_driver_unit|hsync_counter_6\,
+       datad => \vga_driver_unit|un13_hsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un13_hsync_counter\);
+
+\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un12_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_9\ & \vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0040",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_3\,
+       datab => \vga_driver_unit|hsync_counter_9\,
+       datac => \vga_driver_unit|hsync_counter_8\,
+       datad => \vga_driver_unit|hsync_counter_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un12_hsync_counter_3\);
+
+\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un12_hsync_counter_4\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_6\ & !\vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0100",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_7\,
+       datab => \vga_driver_unit|hsync_counter_6\,
+       datac => \vga_driver_unit|hsync_counter_4\,
+       datad => \vga_driver_unit|hsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un12_hsync_counter_4\);
+
+\vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un12_hsync_counter\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|un12_hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\ & \vga_driver_unit|un12_hsync_counter_4\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_1\,
+       datab => \vga_driver_unit|un12_hsync_counter_3\,
+       datac => \vga_driver_unit|hsync_counter_0\,
+       datad => \vga_driver_unit|un12_hsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un12_hsync_counter\);
+
+\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_hsync_counter_4\ = \vga_driver_unit|hsync_counter_1\ & \vga_driver_unit|hsync_counter_4\ & \vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_6\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_1\,
+       datab => \vga_driver_unit|hsync_counter_4\,
+       datac => \vga_driver_unit|hsync_counter_3\,
+       datad => \vga_driver_unit|hsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_hsync_counter_4\);
+
+\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_hsync_counter_3\ = !\vga_driver_unit|hsync_counter_7\ & !\vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0011",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_7\,
+       datab => \vga_driver_unit|hsync_counter_2\,
+       datad => \vga_driver_unit|hsync_counter_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_hsync_counter_3\);
+
+\vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_hsync_counter_1\ = !\vga_driver_unit|hsync_counter_8\ & !\vga_driver_unit|hsync_counter_9\ & !\vga_driver_unit|hsync_counter_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0003",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|hsync_counter_8\,
+       datac => \vga_driver_unit|hsync_counter_9\,
+       datad => \vga_driver_unit|hsync_counter_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_hsync_counter_1\);
+
+\vga_driver_unit|hsync_state_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_5\ = DFFEAS(\vga_driver_unit|hsync_state_6\ # \vga_driver_unit|hsync_state_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|hsync_state_6\,
+       datad => \vga_driver_unit|hsync_state_0\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_state_5\);
+
+\vga_driver_unit|hsync_state_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_4\ = DFFEAS(\vga_driver_unit|un10_hsync_counter_4\ & \vga_driver_unit|un10_hsync_counter_3\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|hsync_state_5\, GLOBAL(\clk_pin~combout\), VCC, , 
+-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_hsync_counter_4\,
+       datab => \vga_driver_unit|un10_hsync_counter_3\,
+       datac => \vga_driver_unit|un10_hsync_counter_1\,
+       datad => \vga_driver_unit|hsync_state_5\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_state_4\);
+
+\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un11_hsync_counter_3\ = \vga_driver_unit|hsync_counter_1\ & !\vga_driver_unit|hsync_counter_4\ & !\vga_driver_unit|hsync_counter_3\ & \vga_driver_unit|hsync_counter_0\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0200",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_1\,
+       datab => \vga_driver_unit|hsync_counter_4\,
+       datac => \vga_driver_unit|hsync_counter_3\,
+       datad => \vga_driver_unit|hsync_counter_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un11_hsync_counter_3\);
+
+\vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|hsync_state_4\ & (!\vga_driver_unit|un11_hsync_counter_3\ # !\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un11_hsync_counter_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "4ccc",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un11_hsync_counter_2\,
+       datab => \vga_driver_unit|hsync_state_4\,
+       datac => \vga_driver_unit|un10_hsync_counter_1\,
+       datad => \vga_driver_unit|un11_hsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\);
+
+\vga_driver_unit|hsync_state_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|un13_hsync_counter\ & !\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3 # !\vga_driver_unit|un13_hsync_counter\ & (\vga_driver_unit|hsync_state_2\ # 
+-- !\vga_driver_unit|un12_hsync_counter\ & C1_hsync_state_3)
+-- \vga_driver_unit|hsync_state_3\ = DFFEAS(\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, \vga_driver_unit|hsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "7530",
+       operation_mode => "normal",
+       output_mode => "reg_and_comb",
+       register_cascade_mode => "off",
+       sum_lutc_input => "qfbk",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un13_hsync_counter\,
+       datab => \vga_driver_unit|un12_hsync_counter\,
+       datac => \vga_driver_unit|hsync_state_1\,
+       datad => \vga_driver_unit|hsync_state_2\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sload => VCC,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\,
+       regout => \vga_driver_unit|hsync_state_3\);
+
+\vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|hsync_state_5\ & (!\vga_driver_unit|un10_hsync_counter_1\ # !\vga_driver_unit|un10_hsync_counter_4\ # !\vga_driver_unit|un10_hsync_counter_3\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "2aaa",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_state_5\,
+       datab => \vga_driver_unit|un10_hsync_counter_3\,
+       datac => \vga_driver_unit|un10_hsync_counter_4\,
+       datad => \vga_driver_unit|un10_hsync_counter_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\);
+
+\vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_next_1_sqmuxa_2\ & !\vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\ & !\vga_driver_unit|hsync_state_next_1_sqmuxa_1\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "aaab",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un6_dly_counter_0_x\,
+       datab => \vga_driver_unit|hsync_state_next_1_sqmuxa_2\,
+       datac => \vga_driver_unit|un1_hsync_state_next_1_sqmuxa_0\,
+       datad => \vga_driver_unit|hsync_state_next_1_sqmuxa_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\);
+
+\vga_driver_unit|hsync_state_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_2\ = DFFEAS(\vga_driver_unit|un12_hsync_counter\ & (\vga_driver_unit|hsync_state_3\), GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "a0a0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un12_hsync_counter\,
+       datac => \vga_driver_unit|hsync_state_3\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_state_2\);
+
+\vga_driver_unit|hsync_state_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_0\ = DFFEAS(\vga_driver_unit|un13_hsync_counter\ & \vga_driver_unit|hsync_state_2\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un13_hsync_counter\,
+       datad => \vga_driver_unit|hsync_state_2\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_state_0\);
+
+\vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_counter_next_1_sqmuxa\ = dly_counter(1) & dly_counter(0) & \reset_pin~combout\ & !\vga_driver_unit|d_set_hsync_counter\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0080",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => dly_counter(1),
+       datab => dly_counter(0),
+       datac => \reset_pin~combout\,
+       datad => \vga_driver_unit|d_set_hsync_counter\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|hsync_counter_next_1_sqmuxa\);
+
+\vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un11_hsync_counter_2\ = \vga_driver_unit|hsync_counter_7\ & \vga_driver_unit|hsync_counter_2\ & (!\vga_driver_unit|hsync_counter_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0088",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_counter_7\,
+       datab => \vga_driver_unit|hsync_counter_2\,
+       datad => \vga_driver_unit|hsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un11_hsync_counter_2\);
+
+\vga_driver_unit|hsync_state_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|hsync_state_1\ = DFFEAS(\vga_driver_unit|un11_hsync_counter_2\ & \vga_driver_unit|hsync_state_4\ & \vga_driver_unit|un10_hsync_counter_1\ & \vga_driver_unit|un11_hsync_counter_3\, GLOBAL(\clk_pin~combout\), VCC, , 
+-- \vga_driver_unit|hsync_state_3_0_0_0__g0_0\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un11_hsync_counter_2\,
+       datab => \vga_driver_unit|hsync_state_4\,
+       datac => \vga_driver_unit|un10_hsync_counter_1\,
+       datad => \vga_driver_unit|un11_hsync_counter_3\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|hsync_state_3_0_0_0__g0_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|hsync_state_1\);
+
+\vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ = dly_counter(0) & dly_counter(1) & \reset_pin~combout\ & !\vga_driver_unit|hsync_state_1\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0080",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => dly_counter(0),
+       datab => dly_counter(1),
+       datac => \reset_pin~combout\,
+       datad => \vga_driver_unit|hsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\);
+
+\vga_driver_unit|column_counter_sig_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_0\ = DFFEAS(!\vga_driver_unit|un10_column_counter_siglto9\ # !\vga_driver_unit|column_counter_sig_0\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0fff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|column_counter_sig_0\,
+       datad => \vga_driver_unit|un10_column_counter_siglto9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_0\);
+
+\vga_driver_unit|un2_column_counter_next_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(1) = \vga_driver_unit|column_counter_sig_1\ $ \vga_driver_unit|column_counter_sig_0\
+-- \vga_driver_unit|un2_column_counter_next_cout\(1) = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\)
+-- \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "6688",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_1\,
+       datab => \vga_driver_unit|column_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(1),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(1),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\);
+
+\vga_driver_unit|column_counter_sig_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_1\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(1) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0ff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un2_column_counter_next_combout\(1),
+       datad => \vga_driver_unit|un10_column_counter_siglto9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_1\);
+
+\vga_driver_unit|un2_column_counter_next_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_cout\(0) = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\)
+-- \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ = CARRY(\vga_driver_unit|column_counter_sig_1\ & \vga_driver_unit|column_counter_sig_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff88",
+       operation_mode => "arithmetic",
+       output_mode => "none",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_1\,
+       datab => \vga_driver_unit|column_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_0_~COMBOUT\,
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(0),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\);
+
+\vga_driver_unit|un2_column_counter_next_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(2) = \vga_driver_unit|column_counter_sig_2\ $ (\vga_driver_unit|un2_column_counter_next_cout\(0))
+-- \vga_driver_unit|un2_column_counter_next_cout\(2) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(0) # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\)
+-- \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\ # !\vga_driver_unit|column_counter_sig_3\ # !\vga_driver_unit|column_counter_sig_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_2\,
+       datab => \vga_driver_unit|column_counter_sig_3\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(0),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[0]~COUT1_18\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(2),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(2),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\);
+
+\vga_driver_unit|un2_column_counter_next_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(4) = \vga_driver_unit|column_counter_sig_4\ $ !\vga_driver_unit|un2_column_counter_next_cout\(2)
+-- \vga_driver_unit|un2_column_counter_next_cout\(4) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(2))
+-- \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "c308",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_5\,
+       datab => \vga_driver_unit|column_counter_sig_4\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(2),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[2]~COUT1_20\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(4),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(4),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\);
+
+\vga_driver_unit|column_counter_sig_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_4\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(4) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff0f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un10_column_counter_siglto9\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(4),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_4\);
+
+\vga_driver_unit|un2_column_counter_next_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(3) = \vga_driver_unit|column_counter_sig_3\ $ (\vga_driver_unit|column_counter_sig_2\ & \vga_driver_unit|un2_column_counter_next_cout\(1))
+-- \vga_driver_unit|un2_column_counter_next_cout\(3) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(1) # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\)
+-- \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\ # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6a7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_3\,
+       datab => \vga_driver_unit|column_counter_sig_2\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(1),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[1]~COUT1_10\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(3),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(3),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\);
+
+\vga_driver_unit|un2_column_counter_next_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(5) = \vga_driver_unit|column_counter_sig_5\ $ (\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3))
+-- \vga_driver_unit|un2_column_counter_next_cout\(5) = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout\(3))
+-- \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ = CARRY(\vga_driver_unit|column_counter_sig_5\ & \vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a608",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_5\,
+       datab => \vga_driver_unit|column_counter_sig_4\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(3),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[3]~COUT1_12\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(5),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(5),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\);
+
+\vga_driver_unit|column_counter_sig_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_5\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(5) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff0f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un10_column_counter_siglto9\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(5),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_5\);
+
+\vga_driver_unit|un2_column_counter_next_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(7) = \vga_driver_unit|column_counter_sig_7\ $ (\vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|un2_column_counter_next_cout\(5))
+-- \vga_driver_unit|un2_column_counter_next_cout\(7) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(5) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\)
+-- \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6c7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_6\,
+       datab => \vga_driver_unit|column_counter_sig_7\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(5),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[5]~COUT1_14\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(7),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(7),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\);
+
+\vga_driver_unit|column_counter_sig_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_7\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(7) & \vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8080",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un2_column_counter_next_combout\(7),
+       datab => \vga_driver_unit|un10_column_counter_siglto9\,
+       datac => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_7\);
+
+\vga_driver_unit|un2_column_counter_next_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(6) = \vga_driver_unit|column_counter_sig_6\ $ (\vga_driver_unit|un2_column_counter_next_cout\(4))
+-- \vga_driver_unit|un2_column_counter_next_cout\(6) = CARRY(!\vga_driver_unit|un2_column_counter_next_cout\(4) # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\)
+-- \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\ = CARRY(!\vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\ # !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_6\,
+       datab => \vga_driver_unit|column_counter_sig_7\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(4),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[4]~COUT1_22\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(6),
+       cout0 => \vga_driver_unit|un2_column_counter_next_cout\(6),
+       cout1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\);
+
+\vga_driver_unit|column_counter_sig_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_6\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(6) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff0f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un10_column_counter_siglto9\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(6),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_6\);
+
+\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_column_counter_siglt6_1\ = !\vga_driver_unit|column_counter_sig_5\ # !\vga_driver_unit|column_counter_sig_6\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "55ff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_6\,
+       datad => \vga_driver_unit|column_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_column_counter_siglt6_1\);
+
+\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_column_counter_siglt6_2\ = !\vga_driver_unit|column_counter_sig_4\ # !\vga_driver_unit|column_counter_sig_2\ # !\vga_driver_unit|column_counter_sig_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "3fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|column_counter_sig_3\,
+       datac => \vga_driver_unit|column_counter_sig_2\,
+       datad => \vga_driver_unit|column_counter_sig_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_column_counter_siglt6_2\);
+
+\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_column_counter_siglt6\ = \vga_driver_unit|un10_column_counter_siglt6_1\ # \vga_driver_unit|un10_column_counter_siglt6_2\ # !\vga_driver_unit|column_counter_sig_1\ # !\vga_driver_unit|column_counter_sig_0\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff7",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_0\,
+       datab => \vga_driver_unit|column_counter_sig_1\,
+       datac => \vga_driver_unit|un10_column_counter_siglt6_1\,
+       datad => \vga_driver_unit|un10_column_counter_siglt6_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_column_counter_siglt6\);
+
+\vga_driver_unit|un2_column_counter_next_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(8) = \vga_driver_unit|un2_column_counter_next_cout\(6) $ !\vga_driver_unit|column_counter_sig_8\
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "f00f",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datad => \vga_driver_unit|column_counter_sig_8\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(6),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[6]~COUT1_24\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(8));
+
+\vga_driver_unit|column_counter_sig_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_8\ = DFFEAS(\vga_driver_unit|un10_column_counter_siglto9\ & \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un2_column_counter_next_combout\(8), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "c000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|un10_column_counter_siglto9\,
+       datac => \vga_driver_unit|column_counter_next_0_sqmuxa_1_1\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(8),
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_8\);
+
+\vga_driver_unit|un2_column_counter_next_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un2_column_counter_next_combout\(9) = \vga_driver_unit|column_counter_sig_9\ $ (!\vga_driver_unit|un2_column_counter_next_cout\(7) & \vga_driver_unit|column_counter_sig_8\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a5aa",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_9\,
+       datad => \vga_driver_unit|column_counter_sig_8\,
+       cin0 => \vga_driver_unit|un2_column_counter_next_cout\(7),
+       cin1 => \vga_driver_unit|un2_column_counter_next_cout[7]~COUT1_16\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un2_column_counter_next_combout\(9));
+
+\vga_driver_unit|column_counter_sig_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_9\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(9) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff0f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un10_column_counter_siglto9\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(9),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_9\);
+
+\vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_column_counter_siglto9\ = \vga_driver_unit|un10_column_counter_siglt6\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\ # !\vga_driver_unit|column_counter_sig_9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "333b",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un10_column_counter_siglt6\,
+       datab => \vga_driver_unit|column_counter_sig_9\,
+       datac => \vga_driver_unit|column_counter_sig_8\,
+       datad => \vga_driver_unit|column_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_column_counter_siglto9\);
+
+\vga_driver_unit|column_counter_sig_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_2\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(2) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_column_counter_siglto9\,
+       datad => \vga_driver_unit|un2_column_counter_next_combout\(2),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_2\);
+
+\vga_driver_unit|column_counter_sig_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|column_counter_sig_3\ = DFFEAS(\vga_driver_unit|un2_column_counter_next_combout\(3) # !\vga_driver_unit|un10_column_counter_siglto9\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|column_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0ff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un2_column_counter_next_combout\(3),
+       datad => \vga_driver_unit|un10_column_counter_siglto9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_column_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|column_counter_sig_3\);
+
+\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un5_v_enablelto3\ = \vga_driver_unit|column_counter_sig_3\ & (\vga_driver_unit|column_counter_sig_2\ # \vga_driver_unit|column_counter_sig_0\ # \vga_driver_unit|column_counter_sig_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "aaa8",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_3\,
+       datab => \vga_driver_unit|column_counter_sig_2\,
+       datac => \vga_driver_unit|column_counter_sig_0\,
+       datad => \vga_driver_unit|column_counter_sig_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un5_v_enablelto3\);
+
+\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un5_v_enablelto5_0\ = \vga_driver_unit|column_counter_sig_4\ # \vga_driver_unit|column_counter_sig_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff0",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datac => \vga_driver_unit|column_counter_sig_4\,
+       datad => \vga_driver_unit|column_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un5_v_enablelto5_0\);
+
+\vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un5_v_enablelto7\ = \vga_driver_unit|column_counter_sig_6\ & \vga_driver_unit|column_counter_sig_7\ & (\vga_control_unit|un5_v_enablelto3\ # \vga_control_unit|un5_v_enablelto5_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "e000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|un5_v_enablelto3\,
+       datab => \vga_control_unit|un5_v_enablelto5_0\,
+       datac => \vga_driver_unit|column_counter_sig_6\,
+       datad => \vga_driver_unit|column_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un5_v_enablelto7\);
+
+\vga_driver_unit|un1_line_counter_sig_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(1) = \vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|line_counter_sig_0\
+-- \vga_driver_unit|un1_line_counter_sig_cout\(1) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\)
+-- \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|line_counter_sig_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "6688",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|d_set_hsync_counter\,
+       datab => \vga_driver_unit|line_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(1),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(1),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\);
+
+\vga_driver_unit|vsync_counter_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_0\ = DFFEAS(\vga_driver_unit|d_set_hsync_counter\ $ \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(0) = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\)
+-- \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ = CARRY(\vga_driver_unit|d_set_hsync_counter\ & \vga_driver_unit|vsync_counter_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "6688",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|d_set_hsync_counter\,
+       datab => \vga_driver_unit|vsync_counter_0\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_0\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(0),
+       cout1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\);
+
+\vga_driver_unit|vsync_counter_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_1\ = DFFEAS(\vga_driver_unit|vsync_counter_1\ $ \vga_driver_unit|vsync_counter_cout\(0), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(1) = CARRY(!\vga_driver_unit|vsync_counter_cout\(0) # !\vga_driver_unit|vsync_counter_1\)
+-- \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\ = CARRY(!\vga_driver_unit|vsync_counter_cout[0]~COUT1_10\ # !\vga_driver_unit|vsync_counter_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "3c3f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|vsync_counter_1\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin0 => \vga_driver_unit|vsync_counter_cout\(0),
+       cin1 => \vga_driver_unit|vsync_counter_cout[0]~COUT1_10\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_1\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(1),
+       cout1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\);
+
+\vga_driver_unit|vsync_counter_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_2\ = DFFEAS(\vga_driver_unit|vsync_counter_2\ $ (!\vga_driver_unit|vsync_counter_cout\(1)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(2) = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout\(1)))
+-- \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ = CARRY(\vga_driver_unit|vsync_counter_2\ & (!\vga_driver_unit|vsync_counter_cout[1]~COUT1_12\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_2\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin0 => \vga_driver_unit|vsync_counter_cout\(1),
+       cin1 => \vga_driver_unit|vsync_counter_cout[1]~COUT1_12\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_2\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(2),
+       cout1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\);
+
+\vga_driver_unit|vsync_counter_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_3\ = DFFEAS(\vga_driver_unit|vsync_counter_3\ $ (\vga_driver_unit|vsync_counter_cout\(2)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(3) = CARRY(!\vga_driver_unit|vsync_counter_cout\(2) # !\vga_driver_unit|vsync_counter_3\)
+-- \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\ = CARRY(!\vga_driver_unit|vsync_counter_cout[2]~COUT1_14\ # !\vga_driver_unit|vsync_counter_3\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a5f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_3\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin0 => \vga_driver_unit|vsync_counter_cout\(2),
+       cin1 => \vga_driver_unit|vsync_counter_cout[2]~COUT1_14\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_3\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(3),
+       cout1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\);
+
+\vga_driver_unit|vsync_counter_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_4\ = DFFEAS(\vga_driver_unit|vsync_counter_4\ $ (!\vga_driver_unit|vsync_counter_cout\(3)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(4) = CARRY(\vga_driver_unit|vsync_counter_4\ & (!\vga_driver_unit|vsync_counter_cout[3]~COUT1_16\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_4\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin0 => \vga_driver_unit|vsync_counter_cout\(3),
+       cin1 => \vga_driver_unit|vsync_counter_cout[3]~COUT1_16\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_4\,
+       cout => \vga_driver_unit|vsync_counter_cout\(4));
+
+\vga_driver_unit|vsync_counter_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_5\ = DFFEAS(\vga_driver_unit|vsync_counter_5\ $ \vga_driver_unit|vsync_counter_cout\(4), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, 
+-- !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(5) = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\)
+-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\ = CARRY(!\vga_driver_unit|vsync_counter_cout\(4) # !\vga_driver_unit|vsync_counter_5\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin_used => "true",
+       lut_mask => "3c3f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|vsync_counter_5\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin => \vga_driver_unit|vsync_counter_cout\(4),
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_5\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(5),
+       cout1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\);
+
+\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un9_vsync_counterlt9_6\ = !\vga_driver_unit|vsync_counter_0\ # !\vga_driver_unit|vsync_counter_3\ # !\vga_driver_unit|vsync_counter_1\ # !\vga_driver_unit|vsync_counter_2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "7fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_2\,
+       datab => \vga_driver_unit|vsync_counter_1\,
+       datac => \vga_driver_unit|vsync_counter_3\,
+       datad => \vga_driver_unit|vsync_counter_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un9_vsync_counterlt9_6\);
+
+\vga_driver_unit|vsync_counter_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_6\ = DFFEAS(\vga_driver_unit|vsync_counter_6\ $ !(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(5)) # (\vga_driver_unit|vsync_counter_cout\(4) & 
+-- \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(6) = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout\(5))
+-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ = CARRY(\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_cout[5]~COUT1_18\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "c30c",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|vsync_counter_6\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin => \vga_driver_unit|vsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|vsync_counter_cout\(5),
+       cin1 => \vga_driver_unit|vsync_counter_cout[5]~COUT1_18\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_6\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(6),
+       cout1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\);
+
+\vga_driver_unit|vsync_counter_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_7\ = DFFEAS(\vga_driver_unit|vsync_counter_7\ $ ((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(6)) # (\vga_driver_unit|vsync_counter_cout\(4) & 
+-- \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(7) = CARRY(!\vga_driver_unit|vsync_counter_cout\(6) # !\vga_driver_unit|vsync_counter_7\)
+-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\ = CARRY(!\vga_driver_unit|vsync_counter_cout[6]~COUT1_20\ # !\vga_driver_unit|vsync_counter_7\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "5a5f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_7\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin => \vga_driver_unit|vsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|vsync_counter_cout\(6),
+       cin1 => \vga_driver_unit|vsync_counter_cout[6]~COUT1_20\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_7\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(7),
+       cout1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\);
+
+\vga_driver_unit|vsync_counter_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_8\ = DFFEAS(\vga_driver_unit|vsync_counter_8\ $ (!(!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(7)) # (\vga_driver_unit|vsync_counter_cout\(4) & 
+-- \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\)), GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\)
+-- \vga_driver_unit|vsync_counter_cout\(8) = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout\(7)))
+-- \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\ = CARRY(\vga_driver_unit|vsync_counter_8\ & (!\vga_driver_unit|vsync_counter_cout[7]~COUT1_22\))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "a50a",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin => \vga_driver_unit|vsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|vsync_counter_cout\(7),
+       cin1 => \vga_driver_unit|vsync_counter_cout[7]~COUT1_22\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_8\,
+       cout0 => \vga_driver_unit|vsync_counter_cout\(8),
+       cout1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\);
+
+\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un9_vsync_counterlt9_5\ = !\vga_driver_unit|vsync_counter_6\ # !\vga_driver_unit|vsync_counter_7\ # !\vga_driver_unit|vsync_counter_8\ # !\vga_driver_unit|vsync_counter_9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "7fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_9\,
+       datab => \vga_driver_unit|vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_counter_7\,
+       datad => \vga_driver_unit|vsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un9_vsync_counterlt9_5\);
+
+\vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un9_vsync_counterlt9\ = \vga_driver_unit|un9_vsync_counterlt9_6\ # \vga_driver_unit|un9_vsync_counterlt9_5\ # !\vga_driver_unit|vsync_counter_4\ # !\vga_driver_unit|vsync_counter_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ffdf",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_5\,
+       datab => \vga_driver_unit|un9_vsync_counterlt9_6\,
+       datac => \vga_driver_unit|vsync_counter_4\,
+       datad => \vga_driver_unit|un9_vsync_counterlt9_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un9_vsync_counterlt9\);
+
+\vga_driver_unit|G_16\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|G_16_i\ = !\vga_driver_unit|un6_dly_counter_0_x\ & !\vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_6\ # !\vga_driver_unit|un9_vsync_counterlt9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "5557",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un9_vsync_counterlt9\,
+       datab => \vga_driver_unit|un6_dly_counter_0_x\,
+       datac => \vga_driver_unit|vsync_state_0\,
+       datad => \vga_driver_unit|vsync_state_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|G_16_i\);
+
+\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un12_vsync_counter_7\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_2\ & !\vga_driver_unit|vsync_counter_4\ & !\vga_driver_unit|vsync_counter_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0001",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_1\,
+       datab => \vga_driver_unit|vsync_counter_2\,
+       datac => \vga_driver_unit|vsync_counter_4\,
+       datad => \vga_driver_unit|vsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un12_vsync_counter_7\);
+
+\vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un12_vsync_counter_6\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0001",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_7\,
+       datab => \vga_driver_unit|vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_counter_6\,
+       datad => \vga_driver_unit|vsync_counter_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un12_vsync_counter_6\);
+
+\vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un14_vsync_counter_8\ = \vga_driver_unit|un12_vsync_counter_7\ & (\vga_driver_unit|un12_vsync_counter_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "cc00",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|un12_vsync_counter_7\,
+       datad => \vga_driver_unit|un12_vsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un14_vsync_counter_8\);
+
+\vga_driver_unit|vsync_state_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_next_1_sqmuxa_3\ = C1_vsync_state_3 & (!\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|vsync_counter_0\ # !\vga_driver_unit|un14_vsync_counter_8\)
+-- \vga_driver_unit|vsync_state_3\ = DFFEAS(\vga_driver_unit|vsync_state_next_1_sqmuxa_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, \vga_driver_unit|vsync_state_1\, , \vga_driver_unit|un6_dly_counter_0_x\, VCC)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "70f0",
+       operation_mode => "normal",
+       output_mode => "reg_and_comb",
+       register_cascade_mode => "off",
+       sum_lutc_input => "qfbk",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un14_vsync_counter_8\,
+       datab => \vga_driver_unit|vsync_counter_0\,
+       datac => \vga_driver_unit|vsync_state_1\,
+       datad => \vga_driver_unit|vsync_counter_9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sload => VCC,
+       ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\,
+       regout => \vga_driver_unit|vsync_state_3\);
+
+\vga_driver_unit|vsync_state_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_5\ = DFFEAS(\vga_driver_unit|vsync_state_6\ # \vga_driver_unit|vsync_state_0\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fcfc",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|vsync_state_6\,
+       datac => \vga_driver_unit|vsync_state_0\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_state_5\);
+
+\vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_next_1_sqmuxa_1\ = \vga_driver_unit|vsync_state_5\ & (\vga_driver_unit|vsync_counter_9\ # !\vga_driver_unit|un14_vsync_counter_8\ # !\vga_driver_unit|vsync_counter_0\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8ccc",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_9\,
+       datab => \vga_driver_unit|vsync_state_5\,
+       datac => \vga_driver_unit|vsync_counter_0\,
+       datad => \vga_driver_unit|un14_vsync_counter_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\);
+
+\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un15_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|vsync_counter_3\ & !\vga_driver_unit|vsync_counter_2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0040",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_0\,
+       datab => \vga_driver_unit|vsync_counter_9\,
+       datac => \vga_driver_unit|vsync_counter_3\,
+       datad => \vga_driver_unit|vsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un15_vsync_counter_3\);
+
+\vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un15_vsync_counter_4\ = !\vga_driver_unit|vsync_counter_1\ & !\vga_driver_unit|vsync_counter_4\ & \vga_driver_unit|un15_vsync_counter_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0300",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|vsync_counter_1\,
+       datac => \vga_driver_unit|vsync_counter_4\,
+       datad => \vga_driver_unit|un15_vsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un15_vsync_counter_4\);
+
+\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un13_vsync_counter_3\ = !\vga_driver_unit|vsync_counter_7\ & !\vga_driver_unit|vsync_counter_8\ & !\vga_driver_unit|vsync_counter_6\ & !\vga_driver_unit|vsync_counter_9\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0001",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_7\,
+       datab => \vga_driver_unit|vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_counter_6\,
+       datad => \vga_driver_unit|vsync_counter_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un13_vsync_counter_3\);
+
+\vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un13_vsync_counter_4\ = \vga_driver_unit|vsync_counter_5\ & (\vga_driver_unit|vsync_counter_0\ & \vga_driver_unit|un13_vsync_counter_3\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "a000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_counter_5\,
+       datac => \vga_driver_unit|vsync_counter_0\,
+       datad => \vga_driver_unit|un13_vsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un13_vsync_counter_4\);
+
+\vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ = \vga_driver_unit|vsync_state_4\ & (!\vga_driver_unit|un13_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_7\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "30f0",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|un12_vsync_counter_7\,
+       datac => \vga_driver_unit|vsync_state_4\,
+       datad => \vga_driver_unit|un13_vsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\);
+
+\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\ = \vga_driver_unit|vsync_state_next_1_sqmuxa_2\ # \vga_driver_unit|vsync_state_2\ & (!\vga_driver_unit|un15_vsync_counter_4\ # !\vga_driver_unit|un12_vsync_counter_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff4c",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un12_vsync_counter_6\,
+       datab => \vga_driver_unit|vsync_state_2\,
+       datac => \vga_driver_unit|un15_vsync_counter_4\,
+       datad => \vga_driver_unit|vsync_state_next_1_sqmuxa_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\);
+
+\vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_next_2_sqmuxa\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_next_1_sqmuxa_3\ & !\vga_driver_unit|vsync_state_next_1_sqmuxa_1\ & !\vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "aaab",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un6_dly_counter_0_x\,
+       datab => \vga_driver_unit|vsync_state_next_1_sqmuxa_3\,
+       datac => \vga_driver_unit|vsync_state_next_1_sqmuxa_1\,
+       datad => \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_state_next_2_sqmuxa\);
+
+\vga_driver_unit|vsync_state_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_2\ = DFFEAS(\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_state_3\ & \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , 
+-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "8000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_9\,
+       datab => \vga_driver_unit|un14_vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_state_3\,
+       datad => \vga_driver_unit|vsync_counter_0\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_state_2\);
+
+\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ = \vga_driver_unit|vsync_state_2\ & \vga_driver_unit|un12_vsync_counter_6\ & \vga_driver_unit|un15_vsync_counter_4\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "c000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|vsync_state_2\,
+       datac => \vga_driver_unit|un12_vsync_counter_6\,
+       datad => \vga_driver_unit|un15_vsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\);
+
+\vga_driver_unit|vsync_state_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_0\ = DFFEAS(\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|vsync_state_0\ & (!\vga_driver_unit|vsync_state_next_2_sqmuxa\) # !\vga_driver_unit|un6_dly_counter_0_x\ & (\vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\ 
+-- # \vga_driver_unit|vsync_state_0\ & !\vga_driver_unit|vsync_state_next_2_sqmuxa\), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "50dc",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un6_dly_counter_0_x\,
+       datab => \vga_driver_unit|vsync_state_0\,
+       datac => \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0\,
+       datad => \vga_driver_unit|vsync_state_next_2_sqmuxa\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_state_0\);
+
+\vga_driver_unit|d_set_vsync_counter_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|d_set_vsync_counter\ = \vga_driver_unit|vsync_state_0\ # \vga_driver_unit|vsync_state_6\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff0",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datac => \vga_driver_unit|vsync_state_0\,
+       datad => \vga_driver_unit|vsync_state_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|d_set_vsync_counter\);
+
+\vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_next_1_sqmuxa\ = dly_counter(0) & dly_counter(1) & \reset_pin~combout\ & !\vga_driver_unit|d_set_vsync_counter\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0080",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => dly_counter(0),
+       datab => dly_counter(1),
+       datac => \reset_pin~combout\,
+       datad => \vga_driver_unit|d_set_vsync_counter\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|vsync_counter_next_1_sqmuxa\);
+
+\vga_driver_unit|vsync_counter_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_counter_9\ = DFFEAS((!\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout\(8)) # (\vga_driver_unit|vsync_counter_cout\(4) & \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\) $ 
+-- \vga_driver_unit|vsync_counter_9\, GLOBAL(\clk_pin~combout\), VCC, , , \vga_driver_unit|vsync_counter_next_1_sqmuxa\, , !\vga_driver_unit|G_16_i\, !\vga_driver_unit|un9_vsync_counterlt9\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "0ff0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|vsync_counter_next_1_sqmuxa\,
+       datad => \vga_driver_unit|vsync_counter_9\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_G_16_i\,
+       sload => \vga_driver_unit|ALT_INV_un9_vsync_counterlt9\,
+       cin => \vga_driver_unit|vsync_counter_cout\(4),
+       cin0 => \vga_driver_unit|vsync_counter_cout\(8),
+       cin1 => \vga_driver_unit|vsync_counter_cout[8]~COUT1_24\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_counter_9\);
+
+\vga_driver_unit|vsync_state_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_4\ = DFFEAS(!\vga_driver_unit|vsync_counter_9\ & \vga_driver_unit|un14_vsync_counter_8\ & \vga_driver_unit|vsync_state_5\ & \vga_driver_unit|vsync_counter_0\, GLOBAL(\clk_pin~combout\), VCC, , 
+-- \vga_driver_unit|vsync_state_next_2_sqmuxa\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "4000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_counter_9\,
+       datab => \vga_driver_unit|un14_vsync_counter_8\,
+       datac => \vga_driver_unit|vsync_state_5\,
+       datad => \vga_driver_unit|vsync_counter_0\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|vsync_state_next_2_sqmuxa\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_state_4\);
+
+\vga_driver_unit|vsync_state_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|vsync_state_1\ = DFFEAS(\vga_driver_unit|vsync_state_4\ & \vga_driver_unit|un12_vsync_counter_7\ & !\vga_driver_unit|un6_dly_counter_0_x\ & \vga_driver_unit|un13_vsync_counter_4\, GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0800",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_state_4\,
+       datab => \vga_driver_unit|un12_vsync_counter_7\,
+       datac => \vga_driver_unit|un6_dly_counter_0_x\,
+       datad => \vga_driver_unit|un13_vsync_counter_4\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|vsync_state_1\);
+
+\vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ = dly_counter(1) & \reset_pin~combout\ & dly_counter(0) & !\vga_driver_unit|vsync_state_1\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0080",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => dly_counter(1),
+       datab => \reset_pin~combout\,
+       datac => dly_counter(0),
+       datad => \vga_driver_unit|vsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\);
+
+\vga_driver_unit|line_counter_sig_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_0\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(1) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0ff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un1_line_counter_sig_combout\(1),
+       datad => \vga_driver_unit|un10_line_counter_siglto8\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_0\);
+
+\vga_driver_unit|un1_line_counter_sig_a_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_a_cout\(1) = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\)
+-- \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ = CARRY(\vga_driver_unit|line_counter_sig_0\ & \vga_driver_unit|d_set_hsync_counter\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff88",
+       operation_mode => "arithmetic",
+       output_mode => "none",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_0\,
+       datab => \vga_driver_unit|d_set_hsync_counter\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_a_1_~COMBOUT\,
+       cout0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\);
+
+\vga_driver_unit|un1_line_counter_sig_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(2) = \vga_driver_unit|line_counter_sig_1\ $ \vga_driver_unit|un1_line_counter_sig_a_cout\(1)
+-- \vga_driver_unit|un1_line_counter_sig_cout\(2) = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout\(1) # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\)
+-- \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "3c7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_2\,
+       datab => \vga_driver_unit|line_counter_sig_1\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_a_cout\(1),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_a_cout[1]~COUT1_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(2),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(2),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\);
+
+\vga_driver_unit|line_counter_sig_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_1\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(2) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(2),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_1\);
+
+\vga_driver_unit|un1_line_counter_sig_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(3) = \vga_driver_unit|line_counter_sig_2\ $ (\vga_driver_unit|line_counter_sig_1\ & \vga_driver_unit|un1_line_counter_sig_cout\(1))
+-- \vga_driver_unit|un1_line_counter_sig_cout\(3) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(1) # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\)
+-- \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\ # !\vga_driver_unit|line_counter_sig_2\ # !\vga_driver_unit|line_counter_sig_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6c7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_1\,
+       datab => \vga_driver_unit|line_counter_sig_2\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(1),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(3),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(3),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\);
+
+\vga_driver_unit|line_counter_sig_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_2\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(3) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(3),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_2\);
+
+\vga_driver_unit|un1_line_counter_sig_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(5) = \vga_driver_unit|line_counter_sig_4\ $ (\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3))
+-- \vga_driver_unit|un1_line_counter_sig_cout\(5) = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout\(3))
+-- \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "c608",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_3\,
+       datab => \vga_driver_unit|line_counter_sig_4\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(3),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[3]~COUT1_11\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(5),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(5),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\);
+
+\vga_driver_unit|line_counter_sig_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_4\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(5) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0ff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_driver_unit|un1_line_counter_sig_combout\(5),
+       datad => \vga_driver_unit|un10_line_counter_siglto8\,
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_4\);
+
+\vga_driver_unit|un1_line_counter_sig_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(4) = \vga_driver_unit|line_counter_sig_3\ $ (!\vga_driver_unit|un1_line_counter_sig_cout\(2))
+-- \vga_driver_unit|un1_line_counter_sig_cout\(4) = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout\(2))
+-- \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ = CARRY(\vga_driver_unit|line_counter_sig_3\ & \vga_driver_unit|line_counter_sig_4\ & !\vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a508",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_3\,
+       datab => \vga_driver_unit|line_counter_sig_4\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(2),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[2]~COUT1_17\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(4),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(4),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\);
+
+\vga_driver_unit|line_counter_sig_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_3\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(4) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(4),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_3\);
+
+\vga_driver_unit|un1_line_counter_sig_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(7) = \vga_driver_unit|line_counter_sig_6\ $ (\vga_driver_unit|line_counter_sig_5\ & \vga_driver_unit|un1_line_counter_sig_cout\(5))
+-- \vga_driver_unit|un1_line_counter_sig_cout\(7) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(5) # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\)
+-- \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\ # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6a7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_6\,
+       datab => \vga_driver_unit|line_counter_sig_5\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(5),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[5]~COUT1_13\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(7),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(7),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\);
+
+\vga_driver_unit|line_counter_sig_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_6\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(7) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(7),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_6\);
+
+\vga_driver_unit|un1_line_counter_sig_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(6) = \vga_driver_unit|line_counter_sig_5\ $ \vga_driver_unit|un1_line_counter_sig_cout\(4)
+-- \vga_driver_unit|un1_line_counter_sig_cout\(6) = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout\(4) # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\)
+-- \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\ = CARRY(!\vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\ # !\vga_driver_unit|line_counter_sig_5\ # !\vga_driver_unit|line_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "3c7f",
+       operation_mode => "arithmetic",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_6\,
+       datab => \vga_driver_unit|line_counter_sig_5\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(4),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[4]~COUT1_19\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(6),
+       cout0 => \vga_driver_unit|un1_line_counter_sig_cout\(6),
+       cout1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\);
+
+\vga_driver_unit|line_counter_sig_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_5\ = DFFEAS(\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\ & \vga_driver_unit|un10_line_counter_siglto8\ & \vga_driver_unit|un1_line_counter_sig_combout\(6), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "c000",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_driver_unit|line_counter_next_0_sqmuxa_1_1\,
+       datac => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(6),
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_5\);
+
+\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_line_counter_siglt4_2\ = !\vga_driver_unit|line_counter_sig_0\ # !\vga_driver_unit|line_counter_sig_3\ # !\vga_driver_unit|line_counter_sig_4\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "3fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|line_counter_sig_4\,
+       datac => \vga_driver_unit|line_counter_sig_3\,
+       datad => \vga_driver_unit|line_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_line_counter_siglt4_2\);
+
+\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_line_counter_siglto5\ = !\vga_driver_unit|line_counter_sig_5\ & (\vga_driver_unit|un10_line_counter_siglt4_2\ # !\vga_driver_unit|line_counter_sig_1\ # !\vga_driver_unit|line_counter_sig_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "3313",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_2\,
+       datab => \vga_driver_unit|line_counter_sig_5\,
+       datac => \vga_driver_unit|line_counter_sig_1\,
+       datad => \vga_driver_unit|un10_line_counter_siglt4_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_line_counter_siglto5\);
+
+\vga_driver_unit|un1_line_counter_sig_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(9) = \vga_driver_unit|line_counter_sig_8\ $ (\vga_driver_unit|line_counter_sig_7\ & !\vga_driver_unit|un1_line_counter_sig_cout\(7))
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a6a6",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_8\,
+       datab => \vga_driver_unit|line_counter_sig_7\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(7),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[7]~COUT1_15\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(9));
+
+\vga_driver_unit|line_counter_sig_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_8\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(9) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(9),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_8\);
+
+\vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un10_line_counter_siglto8\ = \vga_driver_unit|un10_line_counter_siglto5\ # !\vga_driver_unit|line_counter_sig_6\ # !\vga_driver_unit|line_counter_sig_8\ # !\vga_driver_unit|line_counter_sig_7\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "dfff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_7\,
+       datab => \vga_driver_unit|un10_line_counter_siglto5\,
+       datac => \vga_driver_unit|line_counter_sig_8\,
+       datad => \vga_driver_unit|line_counter_sig_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un10_line_counter_siglto8\);
+
+\vga_driver_unit|un1_line_counter_sig_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_line_counter_sig_combout\(8) = \vga_driver_unit|un1_line_counter_sig_cout\(6) $ !\vga_driver_unit|line_counter_sig_7\
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "f00f",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datad => \vga_driver_unit|line_counter_sig_7\,
+       cin0 => \vga_driver_unit|un1_line_counter_sig_cout\(6),
+       cin1 => \vga_driver_unit|un1_line_counter_sig_cout[6]~COUT1_21\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_line_counter_sig_combout\(8));
+
+\vga_driver_unit|line_counter_sig_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|line_counter_sig_7\ = DFFEAS(\vga_driver_unit|un1_line_counter_sig_combout\(8) # !\vga_driver_unit|un10_line_counter_siglto8\, GLOBAL(\clk_pin~combout\), VCC, , , , , !\vga_driver_unit|line_counter_next_0_sqmuxa_1_1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|un10_line_counter_siglto8\,
+       datad => \vga_driver_unit|un1_line_counter_sig_combout\(8),
+       aclr => GND,
+       sclr => \vga_driver_unit|ALT_INV_line_counter_next_0_sqmuxa_1_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|line_counter_sig_7\);
+
+\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un17_v_enablelt2\ = \vga_driver_unit|line_counter_sig_2\ # \vga_driver_unit|line_counter_sig_1\ # \vga_driver_unit|line_counter_sig_0\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ffee",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_2\,
+       datab => \vga_driver_unit|line_counter_sig_1\,
+       datad => \vga_driver_unit|line_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un17_v_enablelt2\);
+
+\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un17_v_enablelto5\ = \vga_driver_unit|line_counter_sig_5\ # \vga_driver_unit|line_counter_sig_4\ # \vga_driver_unit|line_counter_sig_3\ & \vga_control_unit|un17_v_enablelt2\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fefa",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_5\,
+       datab => \vga_driver_unit|line_counter_sig_3\,
+       datac => \vga_driver_unit|line_counter_sig_4\,
+       datad => \vga_control_unit|un17_v_enablelt2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un17_v_enablelto5\);
+
+\vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un17_v_enablelto7\ = \vga_driver_unit|line_counter_sig_7\ & \vga_control_unit|un17_v_enablelto5\ & \vga_driver_unit|line_counter_sig_6\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "c000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|line_counter_sig_7\,
+       datac => \vga_control_unit|un17_v_enablelto5\,
+       datad => \vga_driver_unit|line_counter_sig_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un17_v_enablelto7\);
+
+\vga_control_unit|toggle_counter_sig_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_0\ = DFFEAS(!\vga_control_unit|toggle_counter_sig_0\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0f0f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datac => \vga_control_unit|toggle_counter_sig_0\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_0\);
+
+\vga_control_unit|toggle_counter_sig_1_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_1\ = DFFEAS(\vga_control_unit|toggle_counter_sig_0\ $ \vga_control_unit|toggle_counter_sig_1\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, 
+-- )
+-- \vga_control_unit|toggle_counter_sig_cout\(1) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\)
+-- \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "6688",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_0\,
+       datab => \vga_control_unit|toggle_counter_sig_1\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_1\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(1),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\);
+
+\vga_control_unit|toggle_counter_sig_3_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_3\ = DFFEAS(\vga_control_unit|toggle_counter_sig_3\ $ (\vga_control_unit|toggle_counter_sig_2\ & \vga_control_unit|toggle_counter_sig_cout\(1)), GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(3) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(1) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\)
+-- \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6c7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_2\,
+       datab => \vga_control_unit|toggle_counter_sig_3\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(1),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[1]~COUT1_17\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_3\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(3),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\);
+
+\vga_control_unit|un2_toggle_counter_next_0_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un2_toggle_counter_next_cout\(0) = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\)
+-- \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ = CARRY(\vga_control_unit|toggle_counter_sig_0\ & \vga_control_unit|toggle_counter_sig_1\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff88",
+       operation_mode => "arithmetic",
+       output_mode => "none",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_0\,
+       datab => \vga_control_unit|toggle_counter_sig_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un2_toggle_counter_next_0_~COMBOUT\,
+       cout0 => \vga_control_unit|un2_toggle_counter_next_cout\(0),
+       cout1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\);
+
+\vga_control_unit|toggle_counter_sig_2_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_2\ = DFFEAS(\vga_control_unit|toggle_counter_sig_2\ $ (\vga_control_unit|un2_toggle_counter_next_cout\(0)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , 
+-- !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(2) = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout\(0) # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\)
+-- \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\ = CARRY(!\vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\ # !\vga_control_unit|toggle_counter_sig_3\ # !\vga_control_unit|toggle_counter_sig_2\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_2\,
+       datab => \vga_control_unit|toggle_counter_sig_3\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|un2_toggle_counter_next_cout\(0),
+       cin1 => \vga_control_unit|un2_toggle_counter_next_cout[0]~COUT1_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_2\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(2),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\);
+
+\vga_control_unit|toggle_counter_sig_5_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_5\ = DFFEAS(\vga_control_unit|toggle_counter_sig_5\ $ (\vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3)), GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(5) = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout\(3))
+-- \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ = CARRY(\vga_control_unit|toggle_counter_sig_5\ & \vga_control_unit|toggle_counter_sig_4\ & !\vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a608",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_5\,
+       datab => \vga_control_unit|toggle_counter_sig_4\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(3),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[3]~COUT1_19\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_5\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(5),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\);
+
+\vga_control_unit|toggle_counter_sig_4_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_4\ = DFFEAS(\vga_control_unit|toggle_counter_sig_4\ $ (!\vga_control_unit|toggle_counter_sig_cout\(2)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , 
+-- !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(4) = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout\(2))
+-- \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ = CARRY(\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|toggle_counter_sig_5\ & !\vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a508",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_4\,
+       datab => \vga_control_unit|toggle_counter_sig_5\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(2),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[2]~COUT1_33\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_4\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(4),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\);
+
+\vga_control_unit|toggle_counter_sig_6_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_6\ = DFFEAS(\vga_control_unit|toggle_counter_sig_6\ $ (\vga_control_unit|toggle_counter_sig_cout\(4)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , 
+-- !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(6) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(4) # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\)
+-- \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\ # !\vga_control_unit|toggle_counter_sig_7\ # !\vga_control_unit|toggle_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_6\,
+       datab => \vga_control_unit|toggle_counter_sig_7\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(4),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[4]~COUT1_35\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_6\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(6),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\);
+
+\vga_control_unit|toggle_counter_sig_7_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_7\ = DFFEAS(\vga_control_unit|toggle_counter_sig_7\ $ (\vga_control_unit|toggle_counter_sig_6\ & \vga_control_unit|toggle_counter_sig_cout\(5)), GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(7) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(5) # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\)
+-- \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\ # !\vga_control_unit|toggle_counter_sig_6\ # !\vga_control_unit|toggle_counter_sig_7\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "6a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_7\,
+       datab => \vga_control_unit|toggle_counter_sig_6\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(5),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[5]~COUT1_21\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_7\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(7),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\);
+
+\vga_control_unit|toggle_counter_sig_9_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_9\ = DFFEAS(\vga_control_unit|toggle_counter_sig_9\ $ (\vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout\(7)), GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(9) = CARRY(\vga_control_unit|toggle_counter_sig_9\ & \vga_control_unit|toggle_counter_sig_8\ & !\vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a608",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_9\,
+       datab => \vga_control_unit|toggle_counter_sig_8\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(7),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[7]~COUT1_23\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_9\,
+       cout => \vga_control_unit|toggle_counter_sig_cout\(9));
+
+\vga_control_unit|toggle_counter_sig_8_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_8\ = DFFEAS(\vga_control_unit|toggle_counter_sig_8\ $ (!\vga_control_unit|toggle_counter_sig_cout\(6)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , 
+-- !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(8) = CARRY(\vga_control_unit|toggle_counter_sig_8\ & \vga_control_unit|toggle_counter_sig_9\ & !\vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       lut_mask => "a508",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_8\,
+       datab => \vga_control_unit|toggle_counter_sig_9\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(6),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[6]~COUT1_37\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_8\,
+       cout => \vga_control_unit|toggle_counter_sig_cout\(8));
+
+\vga_control_unit|toggle_counter_sig_10_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_10\ = DFFEAS(\vga_control_unit|toggle_counter_sig_10\ $ (\vga_control_unit|toggle_counter_sig_cout\(8)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , 
+-- !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(10) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\)
+-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(8) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_10\,
+       datab => \vga_control_unit|toggle_counter_sig_11\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(8),
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_10\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(10),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\);
+
+\vga_control_unit|toggle_counter_sig_11_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_11\ = DFFEAS(\vga_control_unit|toggle_counter_sig_11\ $ (\vga_control_unit|toggle_counter_sig_10\ & \vga_control_unit|toggle_counter_sig_cout\(9)), GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(11) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\)
+-- \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(9) # !\vga_control_unit|toggle_counter_sig_11\ # !\vga_control_unit|toggle_counter_sig_10\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin_used => "true",
+       lut_mask => "6c7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_10\,
+       datab => \vga_control_unit|toggle_counter_sig_11\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(9),
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_11\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(11),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\);
+
+\vga_control_unit|toggle_counter_sig_13_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_13\ = DFFEAS(\vga_control_unit|toggle_counter_sig_13\ $ (\vga_control_unit|toggle_counter_sig_12\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(11)) # 
+-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(13) = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout\(11))
+-- \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "c608",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_12\,
+       datab => \vga_control_unit|toggle_counter_sig_13\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(9),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(11),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[11]~COUT1_25\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_13\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(13),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\);
+
+\vga_control_unit|toggle_counter_sig_12_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_12\ = DFFEAS(\vga_control_unit|toggle_counter_sig_12\ $ (!(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(10)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & 
+-- \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(12) = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout\(10))
+-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ = CARRY(\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|toggle_counter_sig_13\ & !\vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "a508",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_12\,
+       datab => \vga_control_unit|toggle_counter_sig_13\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(8),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(10),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[10]~COUT1_39\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_12\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(12),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\);
+
+\vga_control_unit|toggle_counter_sig_15_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_15\ = DFFEAS(\vga_control_unit|toggle_counter_sig_15\ $ (\vga_control_unit|toggle_counter_sig_14\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(13)) # 
+-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(15) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(13) # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\)
+-- \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\ # !\vga_control_unit|toggle_counter_sig_14\ # !\vga_control_unit|toggle_counter_sig_15\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "6a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_15\,
+       datab => \vga_control_unit|toggle_counter_sig_14\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(9),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(13),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[13]~COUT1_27\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_15\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(15),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\);
+
+\vga_control_unit|toggle_counter_sig_14_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_14\ = DFFEAS(\vga_control_unit|toggle_counter_sig_14\ $ ((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(12)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & 
+-- \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(14) = CARRY(!\vga_control_unit|toggle_counter_sig_cout\(12) # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\)
+-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\ = CARRY(!\vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_14\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "5a7f",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_14\,
+       datab => \vga_control_unit|toggle_counter_sig_15\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(8),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(12),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[12]~COUT1_41\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_14\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(14),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\);
+
+\vga_control_unit|toggle_counter_sig_17_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_17\ = DFFEAS(\vga_control_unit|toggle_counter_sig_17\ $ (\vga_control_unit|toggle_counter_sig_16\ & !(!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(15)) # 
+-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(17) = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout\(15))
+-- \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\ = CARRY(\vga_control_unit|toggle_counter_sig_17\ & \vga_control_unit|toggle_counter_sig_16\ & !\vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "a608",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_17\,
+       datab => \vga_control_unit|toggle_counter_sig_16\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(9),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(15),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[15]~COUT1_29\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_17\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(17),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\);
+
+\vga_control_unit|toggle_counter_sig_16_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_16\ = DFFEAS(\vga_control_unit|toggle_counter_sig_16\ $ (!(!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(14)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & 
+-- \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+-- \vga_control_unit|toggle_counter_sig_cout\(16) = CARRY(\vga_control_unit|toggle_counter_sig_16\ & \vga_control_unit|toggle_counter_sig_17\ & !\vga_control_unit|toggle_counter_sig_cout\(14))
+-- \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\ = CARRY(\vga_control_unit|toggle_counter_sig_16\ & \vga_control_unit|toggle_counter_sig_17\ & !\vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\)
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "a508",
+       operation_mode => "arithmetic",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_counter_sig_16\,
+       datab => \vga_control_unit|toggle_counter_sig_17\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(8),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(14),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[14]~COUT1_43\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_16\,
+       cout0 => \vga_control_unit|toggle_counter_sig_cout\(16),
+       cout1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\);
+
+\vga_control_unit|toggle_counter_sig_18_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_18\ = DFFEAS((!\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout\(16)) # (\vga_control_unit|toggle_counter_sig_cout\(8) & \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\) 
+-- $ \vga_control_unit|toggle_counter_sig_18\, GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "0ff0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datad => \vga_control_unit|toggle_counter_sig_18\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(8),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(16),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[16]~COUT1_45\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_18\);
+
+\vga_control_unit|toggle_counter_sig_19_\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_counter_sig_19\ = DFFEAS(\vga_control_unit|toggle_counter_sig_19\ $ (\vga_control_unit|toggle_counter_sig_18\ & (!\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout\(17)) # 
+-- (\vga_control_unit|toggle_counter_sig_cout\(9) & \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\)), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , !\vga_control_unit|toggle_sig_0_0_0_g1\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       cin0_used => "true",
+       cin1_used => "true",
+       cin_used => "true",
+       lut_mask => "3fc0",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "cin",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       datab => \vga_control_unit|toggle_counter_sig_18\,
+       datad => \vga_control_unit|toggle_counter_sig_19\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       sclr => \vga_control_unit|ALT_INV_toggle_sig_0_0_0_g1\,
+       cin => \vga_control_unit|toggle_counter_sig_cout\(9),
+       cin0 => \vga_control_unit|toggle_counter_sig_cout\(17),
+       cin1 => \vga_control_unit|toggle_counter_sig_cout[17]~COUT1_31\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_counter_sig_19\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto19_4\ = !\vga_control_unit|toggle_counter_sig_19\ # !\vga_control_unit|toggle_counter_sig_17\ # !\vga_control_unit|toggle_counter_sig_18\ # !\vga_control_unit|toggle_counter_sig_16\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "7fff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_16\,
+       datab => \vga_control_unit|toggle_counter_sig_18\,
+       datac => \vga_control_unit|toggle_counter_sig_17\,
+       datad => \vga_control_unit|toggle_counter_sig_19\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto19_4\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto19_5\ = \vga_control_unit|un1_toggle_counter_siglto19_4\ # !\vga_control_unit|toggle_counter_sig_15\ # !\vga_control_unit|toggle_counter_sig_13\ # !\vga_control_unit|toggle_counter_sig_14\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff7f",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_14\,
+       datab => \vga_control_unit|toggle_counter_sig_13\,
+       datac => \vga_control_unit|toggle_counter_sig_15\,
+       datad => \vga_control_unit|un1_toggle_counter_siglto19_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto19_5\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto7_4\ = !\vga_control_unit|toggle_counter_sig_7\ & !\vga_control_unit|toggle_counter_sig_6\ & !\vga_control_unit|toggle_counter_sig_1\ & !\vga_control_unit|toggle_counter_sig_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0001",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_7\,
+       datab => \vga_control_unit|toggle_counter_sig_6\,
+       datac => \vga_control_unit|toggle_counter_sig_1\,
+       datad => \vga_control_unit|toggle_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto7_4\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto7\ = !\vga_control_unit|toggle_counter_sig_2\ & !\vga_control_unit|toggle_counter_sig_4\ & \vga_control_unit|un1_toggle_counter_siglto7_4\ & !\vga_control_unit|toggle_counter_sig_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0010",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_2\,
+       datab => \vga_control_unit|toggle_counter_sig_4\,
+       datac => \vga_control_unit|un1_toggle_counter_siglto7_4\,
+       datad => \vga_control_unit|toggle_counter_sig_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto7\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto10\ = !\vga_control_unit|toggle_counter_sig_9\ & (\vga_control_unit|un1_toggle_counter_siglto7\ # !\vga_control_unit|toggle_counter_sig_8\) # !\vga_control_unit|toggle_counter_sig_10\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "5f57",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_10\,
+       datab => \vga_control_unit|toggle_counter_sig_8\,
+       datac => \vga_control_unit|toggle_counter_sig_9\,
+       datad => \vga_control_unit|un1_toggle_counter_siglto7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto10\);
+
+\vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un1_toggle_counter_siglto19\ = \vga_control_unit|un1_toggle_counter_siglto19_5\ # !\vga_control_unit|toggle_counter_sig_11\ & !\vga_control_unit|toggle_counter_sig_12\ & \vga_control_unit|un1_toggle_counter_siglto10\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f1f0",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_counter_sig_11\,
+       datab => \vga_control_unit|toggle_counter_sig_12\,
+       datac => \vga_control_unit|un1_toggle_counter_siglto19_5\,
+       datad => \vga_control_unit|un1_toggle_counter_siglto10\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un1_toggle_counter_siglto19\);
+
+\vga_control_unit|toggle_sig_0_0_0_g1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_sig_0_0_0_g1\ = \vga_control_unit|un1_toggle_counter_siglto19\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff00",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datad => \vga_control_unit|un1_toggle_counter_siglto19\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|toggle_sig_0_0_0_g1\);
+
+\vga_control_unit|toggle_sig_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|toggle_sig\ = DFFEAS(\vga_control_unit|toggle_sig_0_0_0_g1\ $ (!\vga_control_unit|toggle_sig\), GLOBAL(\clk_pin~combout\), !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "aa55",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|toggle_sig_0_0_0_g1\,
+       datad => \vga_control_unit|toggle_sig\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|toggle_sig\);
+
+\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un9_v_enablelto6\ = \vga_driver_unit|un10_column_counter_siglt6_1\ # !\vga_driver_unit|column_counter_sig_4\ & !\vga_driver_unit|column_counter_sig_2\ & !\vga_driver_unit|column_counter_sig_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0f1",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|column_counter_sig_4\,
+       datab => \vga_driver_unit|column_counter_sig_2\,
+       datac => \vga_driver_unit|un10_column_counter_siglt6_1\,
+       datad => \vga_driver_unit|column_counter_sig_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un9_v_enablelto6\);
+
+\vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un9_v_enablelto9\ = \vga_control_unit|un9_v_enablelto6\ & !\vga_driver_unit|column_counter_sig_9\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|column_counter_sig_7\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0002",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|un9_v_enablelto6\,
+       datab => \vga_driver_unit|column_counter_sig_9\,
+       datac => \vga_driver_unit|column_counter_sig_8\,
+       datad => \vga_driver_unit|column_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un9_v_enablelto9\);
+
+\vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|vsync_state_5\ & !\vga_driver_unit|vsync_state_4\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0f3",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datab => \vga_driver_unit|vsync_state_5\,
+       datac => \vga_driver_unit|un6_dly_counter_0_x\,
+       datad => \vga_driver_unit|vsync_state_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\);
+
+\vga_driver_unit|h_enable_sig_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|h_enable_sig\ = DFFEAS(\vga_driver_unit|vsync_state_1\ # \vga_driver_unit|vsync_state_3\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ffaa",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|vsync_state_1\,
+       datad => \vga_driver_unit|vsync_state_3\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|h_enable_sig\);
+
+\vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\ = \vga_driver_unit|un6_dly_counter_0_x\ # !\vga_driver_unit|hsync_state_4\ & !\vga_driver_unit|hsync_state_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "f0f5",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_state_4\,
+       datac => \vga_driver_unit|un6_dly_counter_0_x\,
+       datad => \vga_driver_unit|hsync_state_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\);
+
+\vga_driver_unit|v_enable_sig_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|v_enable_sig\ = DFFEAS(\vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\, GLOBAL(\clk_pin~combout\), VCC, , \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\, , , \vga_driver_unit|un6_dly_counter_0_x\, )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ffaa",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "on")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|hsync_state_3\,
+       datad => \vga_driver_unit|hsync_state_1\,
+       aclr => GND,
+       sclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       ena => \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|v_enable_sig\);
+
+\vga_control_unit|b_next_0_g0_3_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|b_next_0_g0_3\ = \vga_driver_unit|v_enable_sig\ & !\vga_driver_unit|column_counter_sig_9\ & !\vga_driver_unit|column_counter_sig_8\ & !\vga_driver_unit|line_counter_sig_8\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0002",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|v_enable_sig\,
+       datab => \vga_driver_unit|column_counter_sig_9\,
+       datac => \vga_driver_unit|column_counter_sig_8\,
+       datad => \vga_driver_unit|line_counter_sig_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|b_next_0_g0_3\);
+
+\vga_control_unit|b_next_0_g0_5_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|b_next_0_g0_5\ = \vga_control_unit|toggle_sig\ & !\vga_control_unit|un9_v_enablelto9\ & \vga_driver_unit|h_enable_sig\ & \vga_control_unit|b_next_0_g0_3\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "2000",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_control_unit|toggle_sig\,
+       datab => \vga_control_unit|un9_v_enablelto9\,
+       datac => \vga_driver_unit|h_enable_sig\,
+       datad => \vga_control_unit|b_next_0_g0_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|b_next_0_g0_5\);
+
+\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un13_v_enablelto8_a\ = !\vga_driver_unit|line_counter_sig_2\ & !\vga_driver_unit|line_counter_sig_3\ & !\vga_driver_unit|line_counter_sig_4\ # !\vga_driver_unit|line_counter_sig_5\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "01ff",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_2\,
+       datab => \vga_driver_unit|line_counter_sig_3\,
+       datac => \vga_driver_unit|line_counter_sig_4\,
+       datad => \vga_driver_unit|line_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un13_v_enablelto8_a\);
+
+\vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|un13_v_enablelto8\ = !\vga_driver_unit|line_counter_sig_8\ & !\vga_driver_unit|line_counter_sig_7\ & (\vga_control_unit|un13_v_enablelto8_a\ # !\vga_driver_unit|line_counter_sig_6\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0501",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|line_counter_sig_8\,
+       datab => \vga_driver_unit|line_counter_sig_6\,
+       datac => \vga_driver_unit|line_counter_sig_7\,
+       datad => \vga_control_unit|un13_v_enablelto8_a\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_control_unit|un13_v_enablelto8\);
+
+\vga_control_unit|b_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_control_unit|b\ = DFFEAS(!\vga_control_unit|un5_v_enablelto7\ & !\vga_control_unit|un17_v_enablelto7\ & \vga_control_unit|b_next_0_g0_5\ & !\vga_control_unit|un13_v_enablelto8\, GLOBAL(\clk_pin~combout\), 
+-- !GLOBAL(\vga_driver_unit|un6_dly_counter_0_x\), , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "0010",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_control_unit|un5_v_enablelto7\,
+       datab => \vga_control_unit|un17_v_enablelto7\,
+       datac => \vga_control_unit|b_next_0_g0_5\,
+       datad => \vga_control_unit|un13_v_enablelto8\,
+       aclr => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_control_unit|b\);
+
+\vga_driver_unit|un1_hsync_state_3_0_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_hsync_state_3_0\ = \vga_driver_unit|hsync_state_3\ # \vga_driver_unit|hsync_state_1\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fff0",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       datac => \vga_driver_unit|hsync_state_3\,
+       datad => \vga_driver_unit|hsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_hsync_state_3_0\);
+
+\vga_driver_unit|h_sync_1_0_0_0_g1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|h_sync_1_0_0_0_g1\ = \vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|un1_hsync_state_3_0\ & (\vga_driver_unit|hsync_state_2\ & (\vga_driver_unit|h_sync\) # !\vga_driver_unit|hsync_state_2\ & 
+-- \vga_driver_unit|hsync_state_4\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ccca",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|hsync_state_4\,
+       datab => \vga_driver_unit|h_sync\,
+       datac => \vga_driver_unit|un1_hsync_state_3_0\,
+       datad => \vga_driver_unit|hsync_state_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|h_sync_1_0_0_0_g1\);
+
+\vga_driver_unit|h_sync_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|h_sync\ = DFFEAS(\vga_driver_unit|h_sync_1_0_0_0_g1\ # !\reset_pin~combout\ # !dly_counter(0) # !dly_counter(1), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "ff7f",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => dly_counter(1),
+       datab => dly_counter(0),
+       datac => \reset_pin~combout\,
+       datad => \vga_driver_unit|h_sync_1_0_0_0_g1\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|h_sync\);
+
+\vga_driver_unit|un1_vsync_state_2_0_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|un1_vsync_state_2_0\ = \vga_driver_unit|vsync_state_3\ # \vga_driver_unit|vsync_state_1\
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fafa",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|vsync_state_3\,
+       datac => \vga_driver_unit|vsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|un1_vsync_state_2_0\);
+
+\vga_driver_unit|v_sync_1_0_0_0_g1_cZ\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|v_sync_1_0_0_0_g1\ = \vga_driver_unit|un1_vsync_state_2_0\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|un1_vsync_state_2_0\ & (\vga_driver_unit|vsync_state_2\ & (\vga_driver_unit|v_sync\) # !\vga_driver_unit|vsync_state_2\ & 
+-- \vga_driver_unit|vsync_state_4\)
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "fe10",
+       operation_mode => "normal",
+       output_mode => "comb_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       dataa => \vga_driver_unit|un1_vsync_state_2_0\,
+       datab => \vga_driver_unit|vsync_state_2\,
+       datac => \vga_driver_unit|vsync_state_4\,
+       datad => \vga_driver_unit|v_sync\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       combout => \vga_driver_unit|v_sync_1_0_0_0_g1\);
+
+\vga_driver_unit|v_sync_Z\ : stratix_lcell
+-- Equation(s):
+-- \vga_driver_unit|v_sync\ = DFFEAS(\vga_driver_unit|v_sync_1_0_0_0_g1\ # !\reset_pin~combout\ # !dly_counter(1) # !dly_counter(0), GLOBAL(\clk_pin~combout\), VCC, , , , , , )
+
+-- pragma translate_off
+GENERIC MAP (
+       lut_mask => "bfff",
+       operation_mode => "normal",
+       output_mode => "reg_only",
+       register_cascade_mode => "off",
+       sum_lutc_input => "datac",
+       synch_mode => "off")
+-- pragma translate_on
+PORT MAP (
+       clk => \clk_pin~combout\,
+       dataa => \vga_driver_unit|v_sync_1_0_0_0_g1\,
+       datab => dly_counter(0),
+       datac => dly_counter(1),
+       datad => \reset_pin~combout\,
+       aclr => GND,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       regout => \vga_driver_unit|v_sync\);
+
+r0_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_r0_pin);
+
+r1_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_r1_pin);
+
+r2_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_r2_pin);
+
+g0_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_g0_pin);
+
+g1_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_g1_pin);
+
+g2_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_g2_pin);
+
+b0_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|b\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_b0_pin);
+
+b1_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|b\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_b1_pin);
+
+hsync_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|h_sync\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_hsync_pin);
+
+vsync_pin_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|v_sync\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_vsync_pin);
+
+\seven_seg_pin_tri_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(0));
+
+\seven_seg_pin_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(1));
+
+\seven_seg_pin_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(2));
+
+\seven_seg_pin_tri_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(3));
+
+\seven_seg_pin_tri_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(4));
+
+\seven_seg_pin_tri_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(5));
+
+\seven_seg_pin_tri_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(6));
+
+\seven_seg_pin_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(7));
+
+\seven_seg_pin_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(8));
+
+\seven_seg_pin_out_9_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(9));
+
+\seven_seg_pin_out_10_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(10));
+
+\seven_seg_pin_out_11_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(11));
+
+\seven_seg_pin_out_12_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|un6_dly_counter_0_x\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(12));
+
+\seven_seg_pin_tri_13_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \ALT_INV_~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_seven_seg_pin(13));
+
+d_hsync_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|h_sync\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync);
+
+d_vsync_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|v_sync\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync);
+
+\d_column_counter_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(0));
+
+\d_column_counter_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(1));
+
+\d_column_counter_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(2));
+
+\d_column_counter_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(3));
+
+\d_column_counter_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(4));
+
+\d_column_counter_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(5));
+
+\d_column_counter_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(6));
+
+\d_column_counter_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(7));
+
+\d_column_counter_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(8));
+
+\d_column_counter_out_9_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|column_counter_sig_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_column_counter(9));
+
+\d_line_counter_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(0));
+
+\d_line_counter_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(1));
+
+\d_line_counter_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(2));
+
+\d_line_counter_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(3));
+
+\d_line_counter_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(4));
+
+\d_line_counter_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(5));
+
+\d_line_counter_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(6));
+
+\d_line_counter_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(7));
+
+\d_line_counter_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|line_counter_sig_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_line_counter(8));
+
+d_set_column_counter_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_set_column_counter);
+
+d_set_line_counter_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_set_line_counter);
+
+\d_hsync_counter_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(0));
+
+\d_hsync_counter_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(1));
+
+\d_hsync_counter_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(2));
+
+\d_hsync_counter_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(3));
+
+\d_hsync_counter_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(4));
+
+\d_hsync_counter_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(5));
+
+\d_hsync_counter_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(6));
+
+\d_hsync_counter_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(7));
+
+\d_hsync_counter_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(8));
+
+\d_hsync_counter_out_9_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_counter_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_counter(9));
+
+\d_vsync_counter_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(0));
+
+\d_vsync_counter_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(1));
+
+\d_vsync_counter_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(2));
+
+\d_vsync_counter_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(3));
+
+\d_vsync_counter_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(4));
+
+\d_vsync_counter_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(5));
+
+\d_vsync_counter_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(6));
+
+\d_vsync_counter_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(7));
+
+\d_vsync_counter_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(8));
+
+\d_vsync_counter_out_9_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_counter_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_counter(9));
+
+d_set_hsync_counter_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|d_set_hsync_counter\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_set_hsync_counter);
+
+d_set_vsync_counter_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|d_set_vsync_counter\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_set_vsync_counter);
+
+d_h_enable_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|h_enable_sig\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_h_enable);
+
+d_v_enable_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|v_enable_sig\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_v_enable);
+
+d_r_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_r);
+
+d_g_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_g);
+
+d_b_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|b\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_b);
+
+\d_hsync_state_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(6));
+
+\d_hsync_state_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(5));
+
+\d_hsync_state_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(4));
+
+\d_hsync_state_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(3));
+
+\d_hsync_state_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(2));
+
+\d_hsync_state_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(1));
+
+\d_hsync_state_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|hsync_state_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_hsync_state(0));
+
+\d_vsync_state_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(6));
+
+\d_vsync_state_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(5));
+
+\d_vsync_state_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(4));
+
+\d_vsync_state_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(3));
+
+\d_vsync_state_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(2));
+
+\d_vsync_state_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(1));
+
+\d_vsync_state_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_driver_unit|vsync_state_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_vsync_state(0));
+
+d_state_clk_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \clk_pin~combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_state_clk);
+
+d_toggle_out : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_sig\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle);
+
+\d_toggle_counter_out_0_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_0\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(0));
+
+\d_toggle_counter_out_1_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_1\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(1));
+
+\d_toggle_counter_out_2_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_2\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(2));
+
+\d_toggle_counter_out_3_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_3\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(3));
+
+\d_toggle_counter_out_4_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_4\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(4));
+
+\d_toggle_counter_out_5_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_5\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(5));
+
+\d_toggle_counter_out_6_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_6\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(6));
+
+\d_toggle_counter_out_7_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_7\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(7));
+
+\d_toggle_counter_out_8_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_8\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(8));
+
+\d_toggle_counter_out_9_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_9\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(9));
+
+\d_toggle_counter_out_10_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_10\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(10));
+
+\d_toggle_counter_out_11_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_11\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(11));
+
+\d_toggle_counter_out_12_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_12\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(12));
+
+\d_toggle_counter_out_13_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_13\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(13));
+
+\d_toggle_counter_out_14_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_14\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(14));
+
+\d_toggle_counter_out_15_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_15\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(15));
+
+\d_toggle_counter_out_16_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_16\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(16));
+
+\d_toggle_counter_out_17_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_17\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(17));
+
+\d_toggle_counter_out_18_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_18\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(18));
+
+\d_toggle_counter_out_19_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \vga_control_unit|toggle_counter_sig_19\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(19));
+
+\d_toggle_counter_out_20_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(20));
+
+\d_toggle_counter_out_21_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(21));
+
+\d_toggle_counter_out_22_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(22));
+
+\d_toggle_counter_out_23_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(23));
+
+\d_toggle_counter_out_24_\ : stratix_io
+-- pragma translate_off
+GENERIC MAP (
+       ddio_mode => "none",
+       input_async_reset => "none",
+       input_power_up => "low",
+       input_register_mode => "none",
+       input_sync_reset => "none",
+       oe_async_reset => "none",
+       oe_power_up => "low",
+       oe_register_mode => "none",
+       oe_sync_reset => "none",
+       operation_mode => "output",
+       output_async_reset => "none",
+       output_power_up => "low",
+       output_register_mode => "none",
+       output_sync_reset => "none")
+-- pragma translate_on
+PORT MAP (
+       datain => \~STRATIX_FITTER_CREATED_GND~I_combout\,
+       devclrn => ww_devclrn,
+       devpor => ww_devpor,
+       devoe => ww_devoe,
+       oe => VCC,
+       padio => ww_d_toggle_counter(24));
+END structure;
+
+
diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_modelsim.xrf
new file mode 100644 (file)
index 0000000..85d2348
--- /dev/null
@@ -0,0 +1,269 @@
+vendor_name = ModelSim
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm
+source_file = 1, /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/db/vga.cbx.xml
+design_name = vga
+instance = comp, \~STRATIX_FITTER_CREATED_GND~I\, ~STRATIX_FITTER_CREATED_GND~I, vga, 1
+instance = comp, \dly_counter_1_\, dly_counter_1_, vga, 1
+instance = comp, \dly_counter_0_\, dly_counter_0_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_6_\, vga_driver_unit|vsync_state_6_, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_6_\, vga_driver_unit|hsync_state_6_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_0_\, vga_driver_unit|hsync_counter_0_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_1_\, vga_driver_unit|hsync_counter_1_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_2_\, vga_driver_unit|hsync_counter_2_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_3_\, vga_driver_unit|hsync_counter_3_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_4_\, vga_driver_unit|hsync_counter_4_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_5_\, vga_driver_unit|hsync_counter_5_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_6_\, vga_driver_unit|hsync_counter_6_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_7_\, vga_driver_unit|hsync_counter_7_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_8_\, vga_driver_unit|hsync_counter_8_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_9_\, vga_driver_unit|hsync_counter_9_, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9_3, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9\, vga_driver_unit|HSYNC_COUNT_next_un9_hsync_counterlt9, vga, 1
+instance = comp, \vga_driver_unit|G_2\, vga_driver_unit|G_2, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_7, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter_2, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un13_hsync_counter, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_3, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter_4, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter\, vga_driver_unit|HSYNC_FSM_next_un12_hsync_counter, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_4, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_3, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1\, vga_driver_unit|HSYNC_FSM_next_un10_hsync_counter_1, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_5_\, vga_driver_unit|hsync_state_5_, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_4_\, vga_driver_unit|hsync_state_4_, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_3, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_2_cZ, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_3_\, vga_driver_unit|hsync_state_3_, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|hsync_state_next_1_sqmuxa_1_cZ, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ\, vga_driver_unit|hsync_state_3_0_0_0__g0_0_cZ, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_2_\, vga_driver_unit|hsync_state_2_, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_0_\, vga_driver_unit|hsync_state_0_, vga, 1
+instance = comp, \vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ, vga, 1
+instance = comp, \vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2\, vga_driver_unit|HSYNC_FSM_next_un11_hsync_counter_2, vga, 1
+instance = comp, \vga_driver_unit|hsync_state_1_\, vga_driver_unit|hsync_state_1_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_0_\, vga_driver_unit|column_counter_sig_0_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_1_\, vga_driver_unit|un2_column_counter_next_1_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_1_\, vga_driver_unit|column_counter_sig_1_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_0_\, vga_driver_unit|un2_column_counter_next_0_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_2_\, vga_driver_unit|un2_column_counter_next_2_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_4_\, vga_driver_unit|un2_column_counter_next_4_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_4_\, vga_driver_unit|column_counter_sig_4_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_3_\, vga_driver_unit|un2_column_counter_next_3_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_5_\, vga_driver_unit|un2_column_counter_next_5_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_5_\, vga_driver_unit|column_counter_sig_5_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_7_\, vga_driver_unit|un2_column_counter_next_7_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_7_\, vga_driver_unit|column_counter_sig_7_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_6_\, vga_driver_unit|un2_column_counter_next_6_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_6_\, vga_driver_unit|column_counter_sig_6_, vga, 1
+instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_1, vga, 1
+instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6_2, vga, 1
+instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglt6, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_8_\, vga_driver_unit|un2_column_counter_next_8_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_8_\, vga_driver_unit|column_counter_sig_8_, vga, 1
+instance = comp, \vga_driver_unit|un2_column_counter_next_9_\, vga_driver_unit|un2_column_counter_next_9_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_9_\, vga_driver_unit|column_counter_sig_9_, vga, 1
+instance = comp, \vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9\, vga_driver_unit|COLUMN_COUNT_next_un10_column_counter_siglto9, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_2_\, vga_driver_unit|column_counter_sig_2_, vga, 1
+instance = comp, \vga_driver_unit|column_counter_sig_3_\, vga_driver_unit|column_counter_sig_3_, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto3, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto5_0, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7\, vga_control_unit|DRAW_SQUARE_next_un5_v_enablelto7, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_1_\, vga_driver_unit|un1_line_counter_sig_1_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_0_\, vga_driver_unit|vsync_counter_0_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_1_\, vga_driver_unit|vsync_counter_1_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_2_\, vga_driver_unit|vsync_counter_2_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_3_\, vga_driver_unit|vsync_counter_3_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_4_\, vga_driver_unit|vsync_counter_4_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_5_\, vga_driver_unit|vsync_counter_5_, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_6, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_6_\, vga_driver_unit|vsync_counter_6_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_7_\, vga_driver_unit|vsync_counter_7_, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_8_\, vga_driver_unit|vsync_counter_8_, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9_5, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9\, vga_driver_unit|VSYNC_COUNT_next_un9_vsync_counterlt9, vga, 1
+instance = comp, \vga_driver_unit|G_16\, vga_driver_unit|G_16, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_7, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6\, vga_driver_unit|VSYNC_FSM_next_un12_vsync_counter_6, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8\, vga_driver_unit|VSYNC_FSM_next_un14_vsync_counter_8, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_3_\, vga_driver_unit|vsync_state_3_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_5_\, vga_driver_unit|vsync_state_5_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_1_cZ, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_3, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un15_vsync_counter_4, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_3, vga, 1
+instance = comp, \vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4\, vga_driver_unit|VSYNC_FSM_next_un13_vsync_counter_4, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ\, vga_driver_unit|vsync_state_next_1_sqmuxa_2_cZ, vga, 1
+instance = comp, \vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ\, vga_driver_unit|un1_vsync_state_next_1_sqmuxa_0_cZ, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_next_2_sqmuxa_cZ\, vga_driver_unit|vsync_state_next_2_sqmuxa_cZ, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_2_\, vga_driver_unit|vsync_state_2_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\, vga_driver_unit|vsync_state_3_iv_0_0__g0_0_a3_0_cZ, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_0_\, vga_driver_unit|vsync_state_0_, vga, 1
+instance = comp, \vga_driver_unit|d_set_vsync_counter_cZ\, vga_driver_unit|d_set_vsync_counter_cZ, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ\, vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ, vga, 1
+instance = comp, \vga_driver_unit|vsync_counter_9_\, vga_driver_unit|vsync_counter_9_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_4_\, vga_driver_unit|vsync_state_4_, vga, 1
+instance = comp, \vga_driver_unit|vsync_state_1_\, vga_driver_unit|vsync_state_1_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ\, vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_0_\, vga_driver_unit|line_counter_sig_0_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_a_1_\, vga_driver_unit|un1_line_counter_sig_a_1_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_2_\, vga_driver_unit|un1_line_counter_sig_2_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_1_\, vga_driver_unit|line_counter_sig_1_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_3_\, vga_driver_unit|un1_line_counter_sig_3_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_2_\, vga_driver_unit|line_counter_sig_2_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_5_\, vga_driver_unit|un1_line_counter_sig_5_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_4_\, vga_driver_unit|line_counter_sig_4_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_4_\, vga_driver_unit|un1_line_counter_sig_4_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_3_\, vga_driver_unit|line_counter_sig_3_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_7_\, vga_driver_unit|un1_line_counter_sig_7_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_6_\, vga_driver_unit|line_counter_sig_6_, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_6_\, vga_driver_unit|un1_line_counter_sig_6_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_5_\, vga_driver_unit|line_counter_sig_5_, vga, 1
+instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglt4_2, vga, 1
+instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto5, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_9_\, vga_driver_unit|un1_line_counter_sig_9_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_8_\, vga_driver_unit|line_counter_sig_8_, vga, 1
+instance = comp, \vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8\, vga_driver_unit|LINE_COUNT_next_un10_line_counter_siglto8, vga, 1
+instance = comp, \vga_driver_unit|un1_line_counter_sig_8_\, vga_driver_unit|un1_line_counter_sig_8_, vga, 1
+instance = comp, \vga_driver_unit|line_counter_sig_7_\, vga_driver_unit|line_counter_sig_7_, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelt2, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto5, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7\, vga_control_unit|DRAW_SQUARE_next_un17_v_enablelto7, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_0_\, vga_control_unit|toggle_counter_sig_0_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_1_\, vga_control_unit|toggle_counter_sig_1_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_3_\, vga_control_unit|toggle_counter_sig_3_, vga, 1
+instance = comp, \vga_control_unit|un2_toggle_counter_next_0_\, vga_control_unit|un2_toggle_counter_next_0_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_2_\, vga_control_unit|toggle_counter_sig_2_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_5_\, vga_control_unit|toggle_counter_sig_5_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_4_\, vga_control_unit|toggle_counter_sig_4_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_6_\, vga_control_unit|toggle_counter_sig_6_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_7_\, vga_control_unit|toggle_counter_sig_7_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_9_\, vga_control_unit|toggle_counter_sig_9_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_8_\, vga_control_unit|toggle_counter_sig_8_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_10_\, vga_control_unit|toggle_counter_sig_10_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_11_\, vga_control_unit|toggle_counter_sig_11_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_13_\, vga_control_unit|toggle_counter_sig_13_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_12_\, vga_control_unit|toggle_counter_sig_12_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_15_\, vga_control_unit|toggle_counter_sig_15_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_14_\, vga_control_unit|toggle_counter_sig_14_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_17_\, vga_control_unit|toggle_counter_sig_17_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_16_\, vga_control_unit|toggle_counter_sig_16_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_18_\, vga_control_unit|toggle_counter_sig_18_, vga, 1
+instance = comp, \vga_control_unit|toggle_counter_sig_19_\, vga_control_unit|toggle_counter_sig_19_, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_4, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19_5, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7_4, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto7, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto10, vga, 1
+instance = comp, \vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19\, vga_control_unit|BLINKER_next_un1_toggle_counter_siglto19, vga, 1
+instance = comp, \vga_control_unit|toggle_sig_0_0_0_g1_cZ\, vga_control_unit|toggle_sig_0_0_0_g1_cZ, vga, 1
+instance = comp, \vga_control_unit|toggle_sig_Z\, vga_control_unit|toggle_sig_Z, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto6, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9\, vga_control_unit|DRAW_SQUARE_next_un9_v_enablelto9, vga, 1
+instance = comp, \vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1
+instance = comp, \vga_driver_unit|h_enable_sig_Z\, vga_driver_unit|h_enable_sig_Z, vga, 1
+instance = comp, \vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ\, vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4_cZ, vga, 1
+instance = comp, \vga_driver_unit|v_enable_sig_Z\, vga_driver_unit|v_enable_sig_Z, vga, 1
+instance = comp, \vga_control_unit|b_next_0_g0_3_cZ\, vga_control_unit|b_next_0_g0_3_cZ, vga, 1
+instance = comp, \vga_control_unit|b_next_0_g0_5_cZ\, vga_control_unit|b_next_0_g0_5_cZ, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8_a, vga, 1
+instance = comp, \vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8\, vga_control_unit|DRAW_SQUARE_next_un13_v_enablelto8, vga, 1
+instance = comp, \vga_control_unit|b_Z\, vga_control_unit|b_Z, vga, 1
+instance = comp, \vga_driver_unit|un1_hsync_state_3_0_cZ\, vga_driver_unit|un1_hsync_state_3_0_cZ, vga, 1
+instance = comp, \vga_driver_unit|h_sync_1_0_0_0_g1_cZ\, vga_driver_unit|h_sync_1_0_0_0_g1_cZ, vga, 1
+instance = comp, \vga_driver_unit|h_sync_Z\, vga_driver_unit|h_sync_Z, vga, 1
+instance = comp, \vga_driver_unit|un1_vsync_state_2_0_cZ\, vga_driver_unit|un1_vsync_state_2_0_cZ, vga, 1
+instance = comp, \vga_driver_unit|v_sync_1_0_0_0_g1_cZ\, vga_driver_unit|v_sync_1_0_0_0_g1_cZ, vga, 1
+instance = comp, \vga_driver_unit|v_sync_Z\, vga_driver_unit|v_sync_Z, vga, 1
+instance = comp, \seven_seg_pin_tri_0_\, seven_seg_pin_tri_0_, vga, 1
+instance = comp, \seven_seg_pin_out_1_\, seven_seg_pin_out_1_, vga, 1
+instance = comp, \seven_seg_pin_out_2_\, seven_seg_pin_out_2_, vga, 1
+instance = comp, \seven_seg_pin_tri_3_\, seven_seg_pin_tri_3_, vga, 1
+instance = comp, \seven_seg_pin_tri_4_\, seven_seg_pin_tri_4_, vga, 1
+instance = comp, \seven_seg_pin_tri_5_\, seven_seg_pin_tri_5_, vga, 1
+instance = comp, \seven_seg_pin_tri_6_\, seven_seg_pin_tri_6_, vga, 1
+instance = comp, \seven_seg_pin_out_7_\, seven_seg_pin_out_7_, vga, 1
+instance = comp, \seven_seg_pin_out_8_\, seven_seg_pin_out_8_, vga, 1
+instance = comp, \seven_seg_pin_out_9_\, seven_seg_pin_out_9_, vga, 1
+instance = comp, \seven_seg_pin_out_10_\, seven_seg_pin_out_10_, vga, 1
+instance = comp, \seven_seg_pin_out_11_\, seven_seg_pin_out_11_, vga, 1
+instance = comp, \seven_seg_pin_out_12_\, seven_seg_pin_out_12_, vga, 1
+instance = comp, \seven_seg_pin_tri_13_\, seven_seg_pin_tri_13_, vga, 1
+instance = comp, \d_column_counter_out_0_\, d_column_counter_out_0_, vga, 1
+instance = comp, \d_column_counter_out_1_\, d_column_counter_out_1_, vga, 1
+instance = comp, \d_column_counter_out_2_\, d_column_counter_out_2_, vga, 1
+instance = comp, \d_column_counter_out_3_\, d_column_counter_out_3_, vga, 1
+instance = comp, \d_column_counter_out_4_\, d_column_counter_out_4_, vga, 1
+instance = comp, \d_column_counter_out_5_\, d_column_counter_out_5_, vga, 1
+instance = comp, \d_column_counter_out_6_\, d_column_counter_out_6_, vga, 1
+instance = comp, \d_column_counter_out_7_\, d_column_counter_out_7_, vga, 1
+instance = comp, \d_column_counter_out_8_\, d_column_counter_out_8_, vga, 1
+instance = comp, \d_column_counter_out_9_\, d_column_counter_out_9_, vga, 1
+instance = comp, \d_line_counter_out_0_\, d_line_counter_out_0_, vga, 1
+instance = comp, \d_line_counter_out_1_\, d_line_counter_out_1_, vga, 1
+instance = comp, \d_line_counter_out_2_\, d_line_counter_out_2_, vga, 1
+instance = comp, \d_line_counter_out_3_\, d_line_counter_out_3_, vga, 1
+instance = comp, \d_line_counter_out_4_\, d_line_counter_out_4_, vga, 1
+instance = comp, \d_line_counter_out_5_\, d_line_counter_out_5_, vga, 1
+instance = comp, \d_line_counter_out_6_\, d_line_counter_out_6_, vga, 1
+instance = comp, \d_line_counter_out_7_\, d_line_counter_out_7_, vga, 1
+instance = comp, \d_line_counter_out_8_\, d_line_counter_out_8_, vga, 1
+instance = comp, \d_hsync_counter_out_0_\, d_hsync_counter_out_0_, vga, 1
+instance = comp, \d_hsync_counter_out_1_\, d_hsync_counter_out_1_, vga, 1
+instance = comp, \d_hsync_counter_out_2_\, d_hsync_counter_out_2_, vga, 1
+instance = comp, \d_hsync_counter_out_3_\, d_hsync_counter_out_3_, vga, 1
+instance = comp, \d_hsync_counter_out_4_\, d_hsync_counter_out_4_, vga, 1
+instance = comp, \d_hsync_counter_out_5_\, d_hsync_counter_out_5_, vga, 1
+instance = comp, \d_hsync_counter_out_6_\, d_hsync_counter_out_6_, vga, 1
+instance = comp, \d_hsync_counter_out_7_\, d_hsync_counter_out_7_, vga, 1
+instance = comp, \d_hsync_counter_out_8_\, d_hsync_counter_out_8_, vga, 1
+instance = comp, \d_hsync_counter_out_9_\, d_hsync_counter_out_9_, vga, 1
+instance = comp, \d_vsync_counter_out_0_\, d_vsync_counter_out_0_, vga, 1
+instance = comp, \d_vsync_counter_out_1_\, d_vsync_counter_out_1_, vga, 1
+instance = comp, \d_vsync_counter_out_2_\, d_vsync_counter_out_2_, vga, 1
+instance = comp, \d_vsync_counter_out_3_\, d_vsync_counter_out_3_, vga, 1
+instance = comp, \d_vsync_counter_out_4_\, d_vsync_counter_out_4_, vga, 1
+instance = comp, \d_vsync_counter_out_5_\, d_vsync_counter_out_5_, vga, 1
+instance = comp, \d_vsync_counter_out_6_\, d_vsync_counter_out_6_, vga, 1
+instance = comp, \d_vsync_counter_out_7_\, d_vsync_counter_out_7_, vga, 1
+instance = comp, \d_vsync_counter_out_8_\, d_vsync_counter_out_8_, vga, 1
+instance = comp, \d_vsync_counter_out_9_\, d_vsync_counter_out_9_, vga, 1
+instance = comp, \d_hsync_state_out_6_\, d_hsync_state_out_6_, vga, 1
+instance = comp, \d_hsync_state_out_5_\, d_hsync_state_out_5_, vga, 1
+instance = comp, \d_hsync_state_out_4_\, d_hsync_state_out_4_, vga, 1
+instance = comp, \d_hsync_state_out_3_\, d_hsync_state_out_3_, vga, 1
+instance = comp, \d_hsync_state_out_2_\, d_hsync_state_out_2_, vga, 1
+instance = comp, \d_hsync_state_out_1_\, d_hsync_state_out_1_, vga, 1
+instance = comp, \d_hsync_state_out_0_\, d_hsync_state_out_0_, vga, 1
+instance = comp, \d_vsync_state_out_6_\, d_vsync_state_out_6_, vga, 1
+instance = comp, \d_vsync_state_out_5_\, d_vsync_state_out_5_, vga, 1
+instance = comp, \d_vsync_state_out_4_\, d_vsync_state_out_4_, vga, 1
+instance = comp, \d_vsync_state_out_3_\, d_vsync_state_out_3_, vga, 1
+instance = comp, \d_vsync_state_out_2_\, d_vsync_state_out_2_, vga, 1
+instance = comp, \d_vsync_state_out_1_\, d_vsync_state_out_1_, vga, 1
+instance = comp, \d_vsync_state_out_0_\, d_vsync_state_out_0_, vga, 1
+instance = comp, \d_toggle_counter_out_0_\, d_toggle_counter_out_0_, vga, 1
+instance = comp, \d_toggle_counter_out_1_\, d_toggle_counter_out_1_, vga, 1
+instance = comp, \d_toggle_counter_out_2_\, d_toggle_counter_out_2_, vga, 1
+instance = comp, \d_toggle_counter_out_3_\, d_toggle_counter_out_3_, vga, 1
+instance = comp, \d_toggle_counter_out_4_\, d_toggle_counter_out_4_, vga, 1
+instance = comp, \d_toggle_counter_out_5_\, d_toggle_counter_out_5_, vga, 1
+instance = comp, \d_toggle_counter_out_6_\, d_toggle_counter_out_6_, vga, 1
+instance = comp, \d_toggle_counter_out_7_\, d_toggle_counter_out_7_, vga, 1
+instance = comp, \d_toggle_counter_out_8_\, d_toggle_counter_out_8_, vga, 1
+instance = comp, \d_toggle_counter_out_9_\, d_toggle_counter_out_9_, vga, 1
+instance = comp, \d_toggle_counter_out_10_\, d_toggle_counter_out_10_, vga, 1
+instance = comp, \d_toggle_counter_out_11_\, d_toggle_counter_out_11_, vga, 1
+instance = comp, \d_toggle_counter_out_12_\, d_toggle_counter_out_12_, vga, 1
+instance = comp, \d_toggle_counter_out_13_\, d_toggle_counter_out_13_, vga, 1
+instance = comp, \d_toggle_counter_out_14_\, d_toggle_counter_out_14_, vga, 1
+instance = comp, \d_toggle_counter_out_15_\, d_toggle_counter_out_15_, vga, 1
+instance = comp, \d_toggle_counter_out_16_\, d_toggle_counter_out_16_, vga, 1
+instance = comp, \d_toggle_counter_out_17_\, d_toggle_counter_out_17_, vga, 1
+instance = comp, \d_toggle_counter_out_18_\, d_toggle_counter_out_18_, vga, 1
+instance = comp, \d_toggle_counter_out_19_\, d_toggle_counter_out_19_, vga, 1
+instance = comp, \d_toggle_counter_out_20_\, d_toggle_counter_out_20_, vga, 1
+instance = comp, \d_toggle_counter_out_21_\, d_toggle_counter_out_21_, vga, 1
+instance = comp, \d_toggle_counter_out_22_\, d_toggle_counter_out_22_, vga, 1
+instance = comp, \d_toggle_counter_out_23_\, d_toggle_counter_out_23_, vga, 1
+instance = comp, \d_toggle_counter_out_24_\, d_toggle_counter_out_24_, vga, 1
diff --git a/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo b/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo
new file mode 100644 (file)
index 0000000..873356b
--- /dev/null
@@ -0,0 +1,5552 @@
+// Copyright (C) 1991-2009 Altera Corporation
+// Your use of Altera Corporation's design tools, logic functions 
+// and other software and tools, and its AMPP partner logic 
+// functions, and any output files from any of the foregoing 
+// (including device programming or simulation files), and any 
+// associated documentation or information are expressly subject 
+// to the terms and conditions of the Altera Program License 
+// Subscription Agreement, Altera MegaCore Function License 
+// Agreement, or other applicable license agreement, including, 
+// without limitation, that your use is for the sole purpose of 
+// programming logic devices manufactured by Altera and sold by 
+// Altera or its authorized distributors.  Please refer to the 
+// applicable agreement for further details.
+
+
+// 
+// Device: Altera EP1S25F672C6 Package FBGA672
+// 
+
+// 
+// This SDF file should be used for ModelSim (VHDL) only
+// 
+
+(DELAYFILE
+  (SDFVERSION "2.1")
+  (DESIGN "vga")
+  (DATE "11/03/2009 17:31:40")
+  (VENDOR "Altera")
+  (PROGRAM "Quartus II")
+  (VERSION "Version 9.0 Build 132 02/25/2009 SJ Full Version")
+  (DIVIDER .)
+  (TIMESCALE 1 ps)
+
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE clk_pin_in.inst1)
+    (DELAY
+      (ABSOLUTE
+        (IOPATH padio combout (868:868:868) (868:868:868))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE reset_pin_in.inst1)
+    (DELAY
+      (ABSOLUTE
+        (IOPATH padio combout (868:868:868) (868:868:868))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\dly_counter_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (5525:5525:5525) (5525:5525:5525))
+        (PORT datac (992:992:992) (992:992:992))
+        (PORT datad (461:461:461) (461:461:461))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\dly_counter_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\dly_counter_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (446:446:446) (446:446:446))
+        (PORT datac (655:655:655) (655:655:655))
+        (PORT datad (5530:5530:5530) (5530:5530:5530))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\dly_counter_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (451:451:451) (451:451:451))
+        (PORT datac (652:652:652) (652:652:652))
+        (PORT datad (5528:5528:5528) (5528:5528:5528))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (2040:2040:2040) (2040:2040:2040))
+        (PORT datad (1275:1275:1275) (1275:1275:1275))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (2130:2130:2130) (2130:2130:2130))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2448:2448:2448) (2448:2448:2448))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (423:423:423) (423:423:423))
+        (PORT datac (1610:1610:1610) (1610:1610:1610))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1700:1700:1700) (1700:1700:1700))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datac (1609:1609:1609) (1609:1609:1609))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1699:1699:1699) (1699:1699:1699))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datac (1607:1607:1607) (1607:1607:1607))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1697:1697:1697) (1697:1697:1697))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datac (1606:1606:1606) (1606:1606:1606))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1696:1696:1696) (1696:1696:1696))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1605:1605:1605) (1605:1605:1605))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1695:1695:1695) (1695:1695:1695))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (420:420:420) (420:420:420))
+        (PORT datac (1592:1592:1592) (1592:1592:1592))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1682:1682:1682) (1682:1682:1682))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (422:422:422) (422:422:422))
+        (PORT datac (1595:1595:1595) (1595:1595:1595))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1685:1685:1685) (1685:1685:1685))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datac (1598:1598:1598) (1598:1598:1598))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_7_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1688:1688:1688) (1688:1688:1688))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1601:1601:1601) (1601:1601:1601))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_8_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1691:1691:1691) (1691:1691:1691))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1603:1603:1603) (1603:1603:1603))
+        (PORT datad (432:432:432) (432:432:432))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_9_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1457:1457:1457) (1457:1457:1457))
+        (PORT datac (1693:1693:1693) (1693:1693:1693))
+        (PORT sclr (1308:1308:1308) (1308:1308:1308))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1157:1157:1157) (1157:1157:1157))
+        (PORT datab (1141:1141:1141) (1141:1141:1141))
+        (PORT datac (1164:1164:1164) (1164:1164:1164))
+        (PORT datad (1107:1107:1107) (1107:1107:1107))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_COUNT_next_un9_hsync_counterlt9\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (577:577:577) (577:577:577))
+        (PORT datab (663:663:663) (663:663:663))
+        (PORT datac (659:659:659) (659:659:659))
+        (PORT datad (1051:1051:1051) (1051:1051:1051))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|G_2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2259:2259:2259) (2259:2259:2259))
+        (PORT datab (2033:2033:2033) (2033:2033:2033))
+        (PORT datac (1799:1799:1799) (1799:1799:1799))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_7\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (664:664:664) (664:664:664))
+        (PORT datab (622:622:622) (622:622:622))
+        (PORT datac (973:973:973) (973:973:973))
+        (PORT datad (966:966:966) (966:966:966))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter_2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (638:638:638) (638:638:638))
+        (PORT datab (641:641:641) (641:641:641))
+        (PORT datac (994:994:994) (994:994:994))
+        (PORT datad (671:671:671) (671:671:671))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un13_hsync_counter\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (710:710:710) (710:710:710))
+        (PORT datab (341:341:341) (341:341:341))
+        (PORT datac (708:708:708) (708:708:708))
+        (PORT datad (347:347:347) (347:347:347))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (663:663:663) (663:663:663))
+        (PORT datab (659:659:659) (659:659:659))
+        (PORT datac (652:652:652) (652:652:652))
+        (PORT datad (625:625:625) (625:625:625))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (715:715:715) (715:715:715))
+        (PORT datab (694:694:694) (694:694:694))
+        (PORT datac (991:991:991) (991:991:991))
+        (PORT datad (964:964:964) (964:964:964))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un12_hsync_counter\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (665:665:665) (665:665:665))
+        (PORT datab (343:343:343) (343:343:343))
+        (PORT datac (638:638:638) (638:638:638))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (636:636:636) (636:636:636))
+        (PORT datab (631:631:631) (631:631:631))
+        (PORT datac (612:612:612) (612:612:612))
+        (PORT datad (609:609:609) (609:609:609))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (632:632:632) (632:632:632))
+        (PORT datab (631:631:631) (631:631:631))
+        (PORT datad (625:625:625) (625:625:625))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un10_hsync_counter_1\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (593:593:593) (593:593:593))
+        (PORT datac (605:605:605) (605:605:605))
+        (PORT datad (675:675:675) (675:675:675))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (2211:2211:2211) (2211:2211:2211))
+        (PORT datad (2256:2256:2256) (2256:2256:2256))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2314:2314:2314) (2314:2314:2314))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (PORT ena (1639:1639:1639) (1639:1639:1639))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (850:850:850) (850:850:850))
+        (PORT datab (344:344:344) (344:344:344))
+        (PORT datac (601:601:601) (601:601:601))
+        (PORT datad (446:446:446) (446:446:446))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2314:2314:2314) (2314:2314:2314))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (PORT ena (1639:1639:1639) (1639:1639:1639))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (634:634:634) (634:634:634))
+        (PORT datab (625:625:625) (625:625:625))
+        (PORT datac (607:607:607) (607:607:607))
+        (PORT datad (621:621:621) (621:621:621))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_2_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (375:375:375) (375:375:375))
+        (PORT datab (429:429:429) (429:429:429))
+        (PORT datac (366:366:366) (366:366:366))
+        (PORT datad (355:355:355) (355:355:355))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (359:359:359) (359:359:359))
+        (PORT datab (344:344:344) (344:344:344))
+        (PORT datac (1188:1188:1188) (1188:1188:1188))
+        (PORT datad (1338:1338:1338) (1338:1338:1338))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1278:1278:1278) (1278:1278:1278))
+        (PORT sclr (2572:2572:2572) (2572:2572:2572))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (PORT ena (1081:1081:1081) (1081:1081:1081))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_next_1_sqmuxa_1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (456:456:456) (456:456:456))
+        (PORT datab (348:348:348) (348:348:348))
+        (PORT datac (366:366:366) (366:366:366))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_3_0_0_0__g0_0_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1806:1806:1806) (1806:1806:1806))
+        (PORT datab (917:917:917) (917:917:917))
+        (PORT datac (370:370:370) (370:370:370))
+        (PORT datad (926:926:926) (926:926:926))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1435:1435:1435) (1435:1435:1435))
+        (PORT datac (1775:1775:1775) (1775:1775:1775))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1824:1824:1824) (1824:1824:1824))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (PORT ena (1916:1916:1916) (1916:1916:1916))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1404:1404:1404) (1404:1404:1404))
+        (PORT datad (709:709:709) (709:709:709))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1824:1824:1824) (1824:1824:1824))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (PORT ena (1916:1916:1916) (1916:1916:1916))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_counter_next_1_sqmuxa_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (473:473:473) (473:473:473))
+        (PORT datab (975:975:975) (975:975:975))
+        (PORT datac (5544:5544:5544) (5544:5544:5544))
+        (PORT datad (1496:1496:1496) (1496:1496:1496))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|HSYNC_FSM_next_un11_hsync_counter_2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (630:630:630) (630:630:630))
+        (PORT datab (629:629:629) (629:629:629))
+        (PORT datad (609:609:609) (609:609:609))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (376:376:376) (376:376:376))
+        (PORT datab (431:431:431) (431:431:431))
+        (PORT datac (599:599:599) (599:599:599))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|hsync_state_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2314:2314:2314) (2314:2314:2314))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2413:2413:2413) (2413:2413:2413))
+        (PORT ena (1639:1639:1639) (1639:1639:1639))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_next_0_sqmuxa_1_1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (966:966:966) (966:966:966))
+        (PORT datab (619:619:619) (619:619:619))
+        (PORT datac (5548:5548:5548) (5548:5548:5548))
+        (PORT datad (1290:1290:1290) (1290:1290:1290))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (461:461:461) (461:461:461))
+        (PORT datad (625:625:625) (625:625:625))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2608:2608:2608) (2608:2608:2608))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (942:942:942) (942:942:942))
+        (PORT datab (676:676:676) (676:676:676))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (540:540:540) (540:540:540))
+        (PORT datad (630:630:630) (630:630:630))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2608:2608:2608) (2608:2608:2608))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1196:1196:1196) (1196:1196:1196))
+        (PORT datab (1380:1380:1380) (1380:1380:1380))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1222:1222:1222) (1222:1222:1222))
+        (PORT datab (1109:1109:1109) (1109:1109:1109))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1155:1155:1155) (1155:1155:1155))
+        (PORT datab (414:414:414) (414:414:414))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1328:1328:1328) (1328:1328:1328))
+        (PORT datad (340:340:340) (340:340:340))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2614:2614:2614) (2614:2614:2614))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2403:2403:2403) (2403:2403:2403))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (684:684:684) (684:684:684))
+        (PORT datab (688:688:688) (688:688:688))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (947:947:947) (947:947:947))
+        (PORT datab (1122:1122:1122) (1122:1122:1122))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (935:935:935) (935:935:935))
+        (PORT datad (352:352:352) (352:352:352))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2653:2653:2653) (2653:2653:2653))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (628:628:628) (628:628:628))
+        (PORT datab (416:416:416) (416:416:416))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (352:352:352) (352:352:352))
+        (PORT datab (920:920:920) (920:920:920))
+        (PORT datac (1883:1883:1883) (1883:1883:1883))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_7_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1175:1175:1175) (1175:1175:1175))
+        (PORT datab (1203:1203:1203) (1203:1203:1203))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (938:938:938) (938:938:938))
+        (PORT datad (1031:1031:1031) (1031:1031:1031))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2653:2653:2653) (2653:2653:2653))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_1\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (680:680:680) (680:680:680))
+        (PORT datad (965:965:965) (965:965:965))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6_2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (450:450:450) (450:450:450))
+        (PORT datac (682:682:682) (682:682:682))
+        (PORT datad (1077:1077:1077) (1077:1077:1077))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglt6\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (452:452:452) (452:452:452))
+        (PORT datab (445:445:445) (445:445:445))
+        (PORT datac (373:373:373) (373:373:373))
+        (PORT datad (339:339:339) (339:339:339))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (1145:1145:1145) (1145:1145:1145))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (921:921:921) (921:921:921))
+        (PORT datac (1883:1883:1883) (1883:1883:1883))
+        (PORT datad (1026:1026:1026) (1026:1026:1026))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_8_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un2_column_counter_next_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (442:442:442) (442:442:442))
+        (PORT datad (941:941:941) (941:941:941))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (936:936:936) (936:936:936))
+        (PORT datad (352:352:352) (352:352:352))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_9_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2653:2653:2653) (2653:2653:2653))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|COLUMN_COUNT_next_un10_column_counter_siglto9\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (559:559:559) (559:559:559))
+        (PORT datab (953:953:953) (953:953:953))
+        (PORT datac (1003:1003:1003) (1003:1003:1003))
+        (PORT datad (1073:1073:1073) (1073:1073:1073))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (640:640:640) (640:640:640))
+        (PORT datad (1035:1035:1035) (1035:1035:1035))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2608:2608:2608) (2608:2608:2608))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (857:857:857) (857:857:857))
+        (PORT datad (626:626:626) (626:626:626))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|column_counter_sig_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2608:2608:2608) (2608:2608:2608))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (694:694:694) (694:694:694))
+        (PORT datab (446:446:446) (446:446:446))
+        (PORT datac (460:460:460) (460:460:460))
+        (PORT datad (457:457:457) (457:457:457))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto5_0\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1133:1133:1133) (1133:1133:1133))
+        (PORT datad (969:969:969) (969:969:969))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un5_v_enablelto7\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (565:565:565) (565:565:565))
+        (PORT datab (530:530:530) (530:530:530))
+        (PORT datac (964:964:964) (964:964:964))
+        (PORT datad (1068:1068:1068) (1068:1068:1068))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1959:1959:1959) (1959:1959:1959))
+        (PORT datab (599:599:599) (599:599:599))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1763:1763:1763) (1763:1763:1763))
+        (PORT datab (423:423:423) (423:423:423))
+        (PORT datac (1251:1251:1251) (1251:1251:1251))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1341:1341:1341) (1341:1341:1341))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (419:419:419) (419:419:419))
+        (PORT datac (1249:1249:1249) (1249:1249:1249))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1339:1339:1339) (1339:1339:1339))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datac (1248:1248:1248) (1248:1248:1248))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1338:1338:1338) (1338:1338:1338))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datac (1247:1247:1247) (1247:1247:1247))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1337:1337:1337) (1337:1337:1337))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1246:1246:1246) (1246:1246:1246))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1336:1336:1336) (1336:1336:1336))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (420:420:420) (420:420:420))
+        (PORT datac (1233:1233:1233) (1233:1233:1233))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1323:1323:1323) (1323:1323:1323))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_6\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (945:945:945) (945:945:945))
+        (PORT datab (922:922:922) (922:922:922))
+        (PORT datac (928:928:928) (928:928:928))
+        (PORT datad (960:960:960) (960:960:960))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (422:422:422) (422:422:422))
+        (PORT datac (1235:1235:1235) (1235:1235:1235))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1325:1325:1325) (1325:1325:1325))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datac (1238:1238:1238) (1238:1238:1238))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_7_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1328:1328:1328) (1328:1328:1328))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datac (1241:1241:1241) (1241:1241:1241))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_8_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1331:1331:1331) (1331:1331:1331))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9_5\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (997:997:997) (997:997:997))
+        (PORT datab (582:582:582) (582:582:582))
+        (PORT datac (918:918:918) (918:918:918))
+        (PORT datad (591:591:591) (591:591:591))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_COUNT_next_un9_vsync_counterlt9\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (625:625:625) (625:625:625))
+        (PORT datab (340:340:340) (340:340:340))
+        (PORT datac (990:990:990) (990:990:990))
+        (PORT datad (350:350:350) (350:350:350))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|G_16\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (355:355:355) (355:355:355))
+        (PORT datab (1687:1687:1687) (1687:1687:1687))
+        (PORT datac (1443:1443:1443) (1443:1443:1443))
+        (PORT datad (1444:1444:1444) (1444:1444:1444))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_7\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (650:650:650) (650:650:650))
+        (PORT datab (643:643:643) (643:643:643))
+        (PORT datac (685:685:685) (685:685:685))
+        (PORT datad (672:672:672) (672:672:672))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un12_vsync_counter_6\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (638:638:638) (638:638:638))
+        (PORT datab (683:683:683) (683:683:683))
+        (PORT datac (651:651:651) (651:651:651))
+        (PORT datad (608:608:608) (608:608:608))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un14_vsync_counter_8\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (351:351:351) (351:351:351))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (375:375:375) (375:375:375))
+        (PORT datab (701:701:701) (701:701:701))
+        (PORT datac (1894:1894:1894) (1894:1894:1894))
+        (PORT datad (707:707:707) (707:707:707))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH qfbkin combout (291:291:291) (291:291:291))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1984:1984:1984) (1984:1984:1984))
+        (PORT sclr (2780:2780:2780) (2780:2780:2780))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (PORT ena (1087:1087:1087) (1087:1087:1087))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+        (IOPATH (posedge clk) qfbkout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) qfbkout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (938:938:938) (938:938:938))
+        (PORT datac (461:461:461) (461:461:461))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1153:1153:1153) (1153:1153:1153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (PORT ena (1819:1819:1819) (1819:1819:1819))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (936:936:936) (936:936:936))
+        (PORT datab (1161:1161:1161) (1161:1161:1161))
+        (PORT datac (716:716:716) (716:716:716))
+        (PORT datad (361:361:361) (361:361:361))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (604:604:604) (604:604:604))
+        (PORT datab (601:601:601) (601:601:601))
+        (PORT datac (622:622:622) (622:622:622))
+        (PORT datad (593:593:593) (593:593:593))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un15_vsync_counter_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (921:921:921) (921:921:921))
+        (PORT datac (993:993:993) (993:993:993))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_3\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (635:635:635) (635:635:635))
+        (PORT datab (680:680:680) (680:680:680))
+        (PORT datac (650:650:650) (650:650:650))
+        (PORT datad (703:703:703) (703:703:703))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|VSYNC_FSM_next_un13_vsync_counter_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (621:621:621) (621:621:621))
+        (PORT datac (716:716:716) (716:716:716))
+        (PORT datad (356:356:356) (356:356:356))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_next_1_sqmuxa_2_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (352:352:352) (352:352:352))
+        (PORT datac (1248:1248:1248) (1248:1248:1248))
+        (PORT datad (358:358:358) (358:358:358))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_vsync_state_next_1_sqmuxa_0_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (359:359:359) (359:359:359))
+        (PORT datab (1225:1225:1225) (1225:1225:1225))
+        (PORT datac (1016:1016:1016) (1016:1016:1016))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_next_2_sqmuxa_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1276:1276:1276) (1276:1276:1276))
+        (PORT datab (350:350:350) (350:350:350))
+        (PORT datac (365:365:365) (365:365:365))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1221:1221:1221) (1221:1221:1221))
+        (PORT datab (1074:1074:1074) (1074:1074:1074))
+        (PORT datac (1273:1273:1273) (1273:1273:1273))
+        (PORT datad (1486:1486:1486) (1486:1486:1486))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1153:1153:1153) (1153:1153:1153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (PORT ena (1819:1819:1819) (1819:1819:1819))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_3_iv_0_0__g0_0_a3_0_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (447:447:447) (447:447:447))
+        (PORT datac (1113:1113:1113) (1113:1113:1113))
+        (PORT datad (1452:1452:1452) (1452:1452:1452))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (369:369:369) (369:369:369))
+        (PORT datab (427:427:427) (427:427:427))
+        (PORT datac (540:540:540) (540:540:540))
+        (PORT datad (1087:1087:1087) (1087:1087:1087))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|d_set_vsync_counter_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1442:1442:1442) (1442:1442:1442))
+        (PORT datad (1447:1447:1447) (1447:1447:1447))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_next_1_sqmuxa_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (960:960:960) (960:960:960))
+        (PORT datab (620:620:620) (620:620:620))
+        (PORT datac (5539:5539:5539) (5539:5539:5539))
+        (PORT datad (1116:1116:1116) (1116:1116:1116))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1244:1244:1244) (1244:1244:1244))
+        (PORT datad (432:432:432) (432:432:432))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_counter_9_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sload (1470:1470:1470) (1470:1470:1470))
+        (PORT datac (1334:1334:1334) (1334:1334:1334))
+        (PORT sclr (1340:1340:1340) (1340:1340:1340))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2476:2476:2476) (2476:2476:2476))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datac (posedge clk) (10:10:10))
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP sload (posedge clk) (10:10:10))
+      (HOLD datac (posedge clk) (100:100:100))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD sload (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1224:1224:1224) (1224:1224:1224))
+        (PORT datab (1075:1075:1075) (1075:1075:1075))
+        (PORT datac (446:446:446) (446:446:446))
+        (PORT datad (1486:1486:1486) (1486:1486:1486))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1153:1153:1153) (1153:1153:1153))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (PORT ena (1819:1819:1819) (1819:1819:1819))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2096:2096:2096) (2096:2096:2096))
+        (PORT datab (1759:1759:1759) (1759:1759:1759))
+        (PORT datac (2102:2102:2102) (2102:2102:2102))
+        (PORT datad (1926:1926:1926) (1926:1926:1926))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|vsync_state_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_next_0_sqmuxa_1_1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (473:473:473) (473:473:473))
+        (PORT datab (5529:5529:5529) (5529:5529:5529))
+        (PORT datac (994:994:994) (994:994:994))
+        (PORT datad (1840:1840:1840) (1840:1840:1840))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (373:373:373) (373:373:373))
+        (PORT datad (938:938:938) (938:938:938))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2663:2663:2663) (2663:2663:2663))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_a_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (894:894:894) (894:894:894))
+        (PORT datab (1930:1930:1930) (1930:1930:1930))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (723:723:723) (723:723:723))
+        (PORT datab (686:686:686) (686:686:686))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (375:375:375) (375:375:375))
+        (PORT datad (546:546:546) (546:546:546))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2826:2826:2826) (2826:2826:2826))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (986:986:986) (986:986:986))
+        (PORT datab (927:927:927) (927:927:927))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (375:375:375) (375:375:375))
+        (PORT datad (814:814:814) (814:814:814))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2826:2826:2826) (2826:2826:2826))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (954:954:954) (954:954:954))
+        (PORT datab (421:421:421) (421:421:421))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (370:370:370) (370:370:370))
+        (PORT datad (939:939:939) (939:939:939))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2663:2663:2663) (2663:2663:2663))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (938:938:938) (938:938:938))
+        (PORT datab (694:694:694) (694:694:694))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (375:375:375) (375:375:375))
+        (PORT datad (545:545:545) (545:545:545))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2826:2826:2826) (2826:2826:2826))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datab (1009:1009:1009) (1009:1009:1009))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (949:949:949) (949:949:949))
+        (PORT datad (348:348:348) (348:348:348))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2663:2663:2663) (2663:2663:2663))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (991:991:991) (991:991:991))
+        (PORT datab (755:755:755) (755:755:755))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (2118:2118:2118) (2118:2118:2118))
+        (PORT datac (570:570:570) (570:570:570))
+        (PORT datad (542:542:542) (542:542:542))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglt4_2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (697:697:697) (697:697:697))
+        (PORT datac (667:667:667) (667:667:667))
+        (PORT datad (677:677:677) (677:677:677))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto5\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (721:721:721) (721:721:721))
+        (PORT datab (755:755:755) (755:755:755))
+        (PORT datac (972:972:972) (972:972:972))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datab (421:421:421) (421:421:421))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (948:948:948) (948:948:948))
+        (PORT datad (347:347:347) (347:347:347))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_8_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2663:2663:2663) (2663:2663:2663))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|LINE_COUNT_next_un10_line_counter_siglto8\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (972:972:972) (972:972:972))
+        (PORT datab (530:530:530) (530:530:530))
+        (PORT datac (905:905:905) (905:905:905))
+        (PORT datad (983:983:983) (983:983:983))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_line_counter_sig_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (962:962:962) (962:962:962))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+        (IOPATH cin0 combout (432:432:432) (432:432:432))
+        (IOPATH cin1 combout (449:449:449) (449:449:449))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (951:951:951) (951:951:951))
+        (PORT datad (569:569:569) (569:569:569))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|line_counter_sig_7_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2663:2663:2663) (2663:2663:2663))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2446:2446:2446) (2446:2446:2446))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelt2\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (719:719:719) (719:719:719))
+        (PORT datab (696:696:696) (696:696:696))
+        (PORT datad (679:679:679) (679:679:679))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto5\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1045:1045:1045) (1045:1045:1045))
+        (PORT datab (650:650:650) (650:650:650))
+        (PORT datac (714:714:714) (714:714:714))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un17_v_enablelto7\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (2205:2205:2205) (2205:2205:2205))
+        (PORT datac (1551:1551:1551) (1551:1551:1551))
+        (PORT datad (1612:1612:1612) (1612:1612:1612))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (441:441:441) (441:441:441))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_0_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1158:1158:1158) (1158:1158:1158))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (607:607:607) (607:607:607))
+        (PORT datab (423:423:423) (423:423:423))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_1_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (989:989:989) (989:989:989))
+        (PORT datab (419:419:419) (419:419:419))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_3_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|un2_toggle_counter_next_0_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (612:612:612) (612:612:612))
+        (PORT datab (925:925:925) (925:925:925))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (671:671:671) (671:671:671))
+        (PORT datab (927:927:927) (927:927:927))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_2_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datab (972:972:972) (972:972:972))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_5_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (444:444:444) (444:444:444))
+        (PORT datab (929:929:929) (929:929:929))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_4_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datab (921:921:921) (921:921:921))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_6_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (437:437:437) (437:437:437))
+        (PORT datab (949:949:949) (949:949:949))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_7_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (962:962:962) (962:962:962))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH datab cout (460:460:460) (460:460:460))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_9_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (912:912:912) (912:912:912))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout (551:551:551) (551:551:551))
+        (IOPATH datab cout (460:460:460) (460:460:460))
+        (IOPATH cin0 cout (135:135:135) (135:135:135))
+        (IOPATH cin1 cout (123:123:123) (123:123:123))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_8_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (630:630:630) (630:630:630))
+        (PORT datab (915:915:915) (915:915:915))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_10_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (949:949:949) (949:949:949))
+        (PORT datab (420:420:420) (420:420:420))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_11_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (956:956:956) (956:956:956))
+        (PORT datab (422:422:422) (422:422:422))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_13_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (629:629:629) (629:629:629))
+        (PORT datab (900:900:900) (900:900:900))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_12_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datab (936:936:936) (936:936:936))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_15_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (436:436:436) (436:436:436))
+        (PORT datab (938:938:938) (938:938:938))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_14_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (935:935:935) (935:935:935))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_17_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (445:445:445) (445:445:445))
+        (PORT datab (942:942:942) (942:942:942))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+        (IOPATH dataa cout0 (443:443:443) (443:443:443))
+        (IOPATH datab cout0 (344:344:344) (344:344:344))
+        (IOPATH cin0 cout0 (60:60:60) (60:60:60))
+        (IOPATH dataa cout1 (451:451:451) (451:451:451))
+        (IOPATH datab cout1 (341:341:341) (341:341:341))
+        (IOPATH cin1 cout1 (62:62:62) (62:62:62))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_16_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (418:418:418) (418:418:418))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_18_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (955:955:955) (955:955:955))
+        (PORT datad (432:432:432) (432:432:432))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+        (IOPATH cin regin (607:607:607) (607:607:607))
+        (IOPATH cin0 regin (571:571:571) (571:571:571))
+        (IOPATH cin1 regin (587:587:587) (587:587:587))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_counter_sig_19_\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1326:1326:1326) (1326:1326:1326))
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (947:947:947) (947:947:947))
+        (PORT datab (629:629:629) (629:629:629))
+        (PORT datac (629:629:629) (629:629:629))
+        (PORT datad (609:609:609) (609:609:609))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19_5\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (647:647:647) (647:647:647))
+        (PORT datab (604:604:604) (604:604:604))
+        (PORT datac (660:660:660) (660:660:660))
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7_4\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (645:645:645) (645:645:645))
+        (PORT datab (621:621:621) (621:621:621))
+        (PORT datac (614:614:614) (614:614:614))
+        (PORT datad (647:647:647) (647:647:647))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto7\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (679:679:679) (679:679:679))
+        (PORT datab (661:661:661) (661:661:661))
+        (PORT datac (367:367:367) (367:367:367))
+        (PORT datad (631:631:631) (631:631:631))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto10\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (641:641:641) (641:641:641))
+        (PORT datab (653:653:653) (653:653:653))
+        (PORT datac (629:629:629) (629:629:629))
+        (PORT datad (340:340:340) (340:340:340))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|BLINKER_next_un1_toggle_counter_siglto19\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (644:644:644) (644:644:644))
+        (PORT datab (616:616:616) (616:616:616))
+        (PORT datac (368:368:368) (368:368:368))
+        (PORT datad (357:357:357) (357:357:357))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_sig_0_0_0_g1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datad (139:139:139) (139:139:139))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (370:370:370) (370:370:370))
+        (PORT datad (434:434:434) (434:434:434))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|toggle_sig_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (4675:4675:4675) (4675:4675:4675))
+        (PORT clk (2319:2319:2319) (2319:2319:2319))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto6\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1135:1135:1135) (1135:1135:1135))
+        (PORT datab (444:444:444) (444:444:444))
+        (PORT datac (375:375:375) (375:375:375))
+        (PORT datad (454:454:454) (454:454:454))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un9_v_enablelto9\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (553:553:553) (553:553:553))
+        (PORT datab (952:952:952) (952:952:952))
+        (PORT datac (996:996:996) (996:996:996))
+        (PORT datad (1068:1068:1068) (1068:1068:1068))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|h_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datab (2016:2016:2016) (2016:2016:2016))
+        (PORT datac (2131:2131:2131) (2131:2131:2131))
+        (PORT datad (2080:2080:2080) (2080:2080:2080))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (439:439:439) (439:439:439))
+        (PORT datad (2077:2077:2077) (2077:2077:2077))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|h_enable_sig_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (2904:2904:2904) (2904:2904:2904))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (PORT ena (1088:1088:1088) (1088:1088:1088))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|v_enable_sig_1_0_0_0_g0_i_o4_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1777:1777:1777) (1777:1777:1777))
+        (PORT datac (1224:1224:1224) (1224:1224:1224))
+        (PORT datad (1624:1624:1624) (1624:1624:1624))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1718:1718:1718) (1718:1718:1718))
+        (PORT datad (2112:2112:2112) (2112:2112:2112))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|v_enable_sig_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT sclr (1997:1997:1997) (1997:1997:1997))
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2469:2469:2469) (2469:2469:2469))
+        (PORT ena (1082:1082:1082) (1082:1082:1082))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (SETUP sclr (posedge clk) (10:10:10))
+      (SETUP ena (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+      (HOLD sclr (posedge clk) (100:100:100))
+      (HOLD ena (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|b_next_0_g0_3_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1933:1933:1933) (1933:1933:1933))
+        (PORT datab (952:952:952) (952:952:952))
+        (PORT datac (1000:1000:1000) (1000:1000:1000))
+        (PORT datad (2219:2219:2219) (2219:2219:2219))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|b_next_0_g0_5_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1995:1995:1995) (1995:1995:1995))
+        (PORT datab (339:339:339) (339:339:339))
+        (PORT datac (439:439:439) (439:439:439))
+        (PORT datad (347:347:347) (347:347:347))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8_a\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (720:720:720) (720:720:720))
+        (PORT datab (649:649:649) (649:649:649))
+        (PORT datac (715:715:715) (715:715:715))
+        (PORT datad (768:768:768) (768:768:768))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|DRAW_SQUARE_next_un13_v_enablelto8\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (2236:2236:2236) (2236:2236:2236))
+        (PORT datab (1601:1601:1601) (1601:1601:1601))
+        (PORT datac (2226:2226:2226) (2226:2226:2226))
+        (PORT datad (2112:2112:2112) (2112:2112:2112))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_control_unit\|b_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (552:552:552) (552:552:552))
+        (PORT datab (530:530:530) (530:530:530))
+        (PORT datac (542:542:542) (542:542:542))
+        (PORT datad (535:535:535) (535:535:535))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_control_unit\|b_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (4775:4775:4775) (4775:4775:4775))
+        (PORT clk (2406:2406:2406) (2406:2406:2406))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_hsync_state_3_0_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT datac (1769:1769:1769) (1769:1769:1769))
+        (PORT datad (2108:2108:2108) (2108:2108:2108))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|h_sync_1_0_0_0_g1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1824:1824:1824) (1824:1824:1824))
+        (PORT datab (414:414:414) (414:414:414))
+        (PORT datac (360:360:360) (360:360:360))
+        (PORT datad (712:712:712) (712:712:712))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (475:475:475) (475:475:475))
+        (PORT datab (971:971:971) (971:971:971))
+        (PORT datac (5522:5522:5522) (5522:5522:5522))
+        (PORT datad (361:361:361) (361:361:361))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|h_sync_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|un1_vsync_state_2_0_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (1276:1276:1276) (1276:1276:1276))
+        (PORT datac (1918:1918:1918) (1918:1918:1918))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|v_sync_1_0_0_0_g1_cZ\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (367:367:367) (367:367:367))
+        (PORT datab (446:446:446) (446:446:446))
+        (PORT datac (456:456:456) (456:456:456))
+        (PORT datad (454:454:454) (454:454:454))
+        (IOPATH dataa combout (459:459:459) (459:459:459))
+        (IOPATH datab combout (332:332:332) (332:332:332))
+        (IOPATH datac combout (213:213:213) (213:213:213))
+        (IOPATH datad combout (87:87:87) (87:87:87))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_lcell")
+    (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lecomb)
+    (DELAY
+      (ABSOLUTE
+        (PORT dataa (361:361:361) (361:361:361))
+        (PORT datab (454:454:454) (454:454:454))
+        (PORT datac (649:649:649) (649:649:649))
+        (PORT datad (5532:5532:5532) (5532:5532:5532))
+        (IOPATH dataa regin (583:583:583) (583:583:583))
+        (IOPATH datab regin (489:489:489) (489:489:489))
+        (IOPATH datac regin (364:364:364) (364:364:364))
+        (IOPATH datad regin (235:235:235) (235:235:235))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_lcell_register")
+    (INSTANCE \\vga_driver_unit\|v_sync_Z\\.lereg)
+    (DELAY
+      (ABSOLUTE
+        (PORT aclr (668:668:668) (668:668:668))
+        (PORT clk (2472:2472:2472) (2472:2472:2472))
+        (IOPATH (posedge clk) regout (176:176:176) (176:176:176))
+        (IOPATH (posedge aclr) regout (212:212:212) (212:212:212))
+      )
+    )
+    (TIMINGCHECK
+      (SETUP datain (posedge clk) (10:10:10))
+      (HOLD datain (posedge clk) (100:100:100))
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE r0_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3105:3105:3105) (3105:3105:3105))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE r1_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3154:3154:3154) (3154:3154:3154))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE r2_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2738:2738:2738) (2738:2738:2738))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE g0_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2818:2818:2818) (2818:2818:2818))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE g1_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2483:2483:2483) (2483:2483:2483))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE g2_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2690:2690:2690) (2690:2690:2690))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE b0_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2940:2940:2940) (2940:2940:2940))
+        (IOPATH datain padio (2801:2801:2801) (2801:2801:2801))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE b1_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3041:3041:3041) (3041:3041:3041))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE hsync_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3330:3330:3330) (3330:3330:3330))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE vsync_pin_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3711:3711:3711) (3711:3711:3711))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3021:3021:3021) (3021:3021:3021))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2553:2553:2553) (2553:2553:2553))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3478:3478:3478) (3478:3478:3478))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2818:2818:2818) (2818:2818:2818))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2852:2852:2852) (2852:2852:2852))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3154:3154:3154) (3154:3154:3154))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2852:2852:2852) (2852:2852:2852))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3403:3403:3403) (3403:3403:3403))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3478:3478:3478) (3478:3478:3478))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_9_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2480:2480:2480) (2480:2480:2480))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_10_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3403:3403:3403) (3403:3403:3403))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_11_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2611:2611:2611) (2611:2611:2611))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_out_12_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2574:2574:2574) (2574:2574:2574))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\seven_seg_pin_tri_13_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2652:2652:2652) (2652:2652:2652))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_hsync_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2346:2346:2346) (2346:2346:2346))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_vsync_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3030:3030:3030) (3030:3030:3030))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3136:3136:3136) (3136:3136:3136))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3114:3114:3114) (3114:3114:3114))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2878:2878:2878) (2878:2878:2878))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2475:2475:2475) (2475:2475:2475))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2800:2800:2800) (2800:2800:2800))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2501:2501:2501) (2501:2501:2501))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2569:2569:2569) (2569:2569:2569))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3597:3597:3597) (3597:3597:3597))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3102:3102:3102) (3102:3102:3102))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_column_counter_out_9_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2816:2816:2816) (2816:2816:2816))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1913:1913:1913) (1913:1913:1913))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2122:2122:2122) (2122:2122:2122))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2616:2616:2616) (2616:2616:2616))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2087:2087:2087) (2087:2087:2087))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3061:3061:3061) (3061:3061:3061))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3096:3096:3096) (3096:3096:3096))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2323:2323:2323) (2323:2323:2323))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3195:3195:3195) (3195:3195:3195))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_line_counter_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2104:2104:2104) (2104:2104:2104))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_set_column_counter_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2342:2342:2342) (2342:2342:2342))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_set_line_counter_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3321:3321:3321) (3321:3321:3321))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1781:1781:1781) (1781:1781:1781))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1547:1547:1547) (1547:1547:1547))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2472:2472:2472) (2472:2472:2472))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2240:2240:2240) (2240:2240:2240))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3471:3471:3471) (3471:3471:3471))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2016:2016:2016) (2016:2016:2016))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1811:1811:1811) (1811:1811:1811))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2193:2193:2193) (2193:2193:2193))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2750:2750:2750) (2750:2750:2750))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_counter_out_9_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2028:2028:2028) (2028:2028:2028))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2610:2610:2610) (2610:2610:2610))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2795:2795:2795) (2795:2795:2795))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2695:2695:2695) (2695:2695:2695))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2754:2754:2754) (2754:2754:2754))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2836:2836:2836) (2836:2836:2836))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3493:3493:3493) (3493:3493:3493))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2039:2039:2039) (2039:2039:2039))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2624:2624:2624) (2624:2624:2624))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2811:2811:2811) (2811:2811:2811))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_counter_out_9_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2804:2804:2804) (2804:2804:2804))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_set_hsync_counter_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3114:3114:3114) (3114:3114:3114))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_set_vsync_counter_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3239:3239:3239) (3239:3239:3239))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_h_enable_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2843:2843:2843) (2843:2843:2843))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_v_enable_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2052:2052:2052) (2052:2052:2052))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_r_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2483:2483:2483) (2483:2483:2483))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_g_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3568:3568:3568) (3568:3568:3568))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_b_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2940:2940:2940) (2940:2940:2940))
+        (IOPATH datain padio (2801:2801:2801) (2801:2801:2801))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2378:2378:2378) (2378:2378:2378))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (4501:4501:4501) (4501:4501:4501))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3999:3999:3999) (3999:3999:3999))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2214:2214:2214) (2214:2214:2214))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2501:2501:2501) (2501:2501:2501))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2474:2474:2474) (2474:2474:2474))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_hsync_state_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2066:2066:2066) (2066:2066:2066))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2805:2805:2805) (2805:2805:2805))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2996:2996:2996) (2996:2996:2996))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2527:2527:2527) (2527:2527:2527))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2287:2287:2287) (2287:2287:2287))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2516:2516:2516) (2516:2516:2516))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3341:3341:3341) (3341:3341:3341))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_vsync_state_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2287:2287:2287) (2287:2287:2287))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_state_clk_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2588:2588:2588) (2588:2588:2588))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE d_toggle_out.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1797:1797:1797) (1797:1797:1797))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_0_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2249:2249:2249) (2249:2249:2249))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_1_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2567:2567:2567) (2567:2567:2567))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_2_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2338:2338:2338) (2338:2338:2338))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_3_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3299:3299:3299) (3299:3299:3299))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_4_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2107:2107:2107) (2107:2107:2107))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_5_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2826:2826:2826) (2826:2826:2826))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_6_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2476:2476:2476) (2476:2476:2476))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_7_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3158:3158:3158) (3158:3158:3158))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_8_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2332:2332:2332) (2332:2332:2332))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_9_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2603:2603:2603) (2603:2603:2603))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_10_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1851:1851:1851) (1851:1851:1851))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_11_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2358:2358:2358) (2358:2358:2358))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_12_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1787:1787:1787) (1787:1787:1787))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_13_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2059:2059:2059) (2059:2059:2059))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_14_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2707:2707:2707) (2707:2707:2707))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_15_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3500:3500:3500) (3500:3500:3500))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_16_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3058:3058:3058) (3058:3058:3058))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_17_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (1848:1848:1848) (1848:1848:1848))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_18_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2070:2070:2070) (2070:2070:2070))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_19_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3453:3453:3453) (3453:3453:3453))
+        (IOPATH datain padio (2504:2504:2504) (2504:2504:2504))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_20_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2624:2624:2624) (2624:2624:2624))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_21_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2151:2151:2151) (2151:2151:2151))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_22_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (2787:2787:2787) (2787:2787:2787))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_23_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3003:3003:3003) (3003:3003:3003))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+  (CELL
+    (CELLTYPE "stratix_asynch_io")
+    (INSTANCE \\d_toggle_counter_out_24_\\.inst1)
+    (DELAY
+      (ABSOLUTE
+        (PORT datain (3139:3139:3139) (3139:3139:3139))
+        (IOPATH datain padio (2495:2495:2495) (2495:2495:2495))
+      )
+    )
+  )
+)
diff --git a/bsp4/Designflow/ppr/sim/vga.asm.rpt b/bsp4/Designflow/ppr/sim/vga.asm.rpt
new file mode 100644 (file)
index 0000000..b9f8914
--- /dev/null
@@ -0,0 +1,128 @@
+Assembler report for vga
+Tue Nov  3 17:31:32 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Assembler Summary
+  3. Assembler Settings
+  4. Assembler Generated Files
+  5. Assembler Device Options: vga.sof
+  6. Assembler Device Options: vga.pof
+  7. Assembler Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------+
+; Assembler Summary                                             ;
++-----------------------+---------------------------------------+
+; Assembler Status      ; Successful - Tue Nov  3 17:31:32 2009 ;
+; Revision Name         ; vga                                   ;
+; Top-level Entity Name ; vga                                   ;
+; Family                ; Stratix                               ;
+; Device                ; EP1S25F672C6                          ;
++-----------------------+---------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Assembler Settings                                                                                     ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Option                                                                      ; Setting  ; Default Value ;
++-----------------------------------------------------------------------------+----------+---------------+
+; Use smart compilation                                                       ; Off      ; Off           ;
+; Compression mode                                                            ; Off      ; Off           ;
+; Clock source for configuration device                                       ; Internal ; Internal      ;
+; Clock frequency of the configuration device                                 ; 10 MHZ   ; 10 MHz        ;
+; Divide clock frequency by                                                   ; 1        ; 1             ;
+; Auto user code                                                              ; Off      ; Off           ;
+; Use configuration device                                                    ; On       ; On            ;
+; Configuration device                                                        ; Auto     ; Auto          ;
+; Configuration device auto user code                                         ; Off      ; Off           ;
+; Auto-increment JTAG user code for multiple configuration devices            ; On       ; On            ;
+; Disable CONF_DONE and nSTATUS pull-ups on configuration device              ; Off      ; Off           ;
+; Generate Tabular Text File (.ttf) For Target Device                         ; Off      ; Off           ;
+; Generate Raw Binary File (.rbf) For Target Device                           ; Off      ; Off           ;
+; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off      ; Off           ;
+; Hexadecimal Output File start address                                       ; 0        ; 0             ;
+; Hexadecimal Output File count direction                                     ; Up       ; Up            ;
+; Release clears before tri-states                                            ; Off      ; Off           ;
+; Auto-restart configuration after error                                      ; On       ; On            ;
+; Use Checkered Pattern as Uninitialized RAM Content                          ; Off      ; Off           ;
+; Generate Serial Vector Format File (.svf) for Target Device                 ; Off      ; Off           ;
+; Generate a JEDEC STAPL Format File (.jam) for Target Device                 ; Off      ; Off           ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off      ; Off           ;
+; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On       ; On            ;
++-----------------------------------------------------------------------------+----------+---------------+
+
+
++---------------------------+
+; Assembler Generated Files ;
++---------------------------+
+; File Name                 ;
++---------------------------+
+; vga.sof                   ;
+; vga.pof                   ;
++---------------------------+
+
+
++-----------------------------------+
+; Assembler Device Options: vga.sof ;
++----------------+------------------+
+; Option         ; Setting          ;
++----------------+------------------+
+; Device         ; EP1S25F672C6     ;
+; JTAG usercode  ; 0xFFFFFFFF       ;
+; Checksum       ; 0x002E603A       ;
++----------------+------------------+
+
+
++-----------------------------------+
+; Assembler Device Options: vga.pof ;
++--------------------+--------------+
+; Option             ; Setting      ;
++--------------------+--------------+
+; Device             ; EPC8         ;
+; JTAG usercode      ; 0xFFFFFFFF   ;
+; Checksum           ; 0x0BFD2AB3   ;
+; Compression Ratio  ; 1            ;
++--------------------+--------------+
+
+
++--------------------+
+; Assembler Messages ;
++--------------------+
+Info: *******************************************************************
+Info: Running Quartus II Assembler
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:31:13 2009
+Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga
+Info: Assembler is generating device programming files
+Info: Quartus II Assembler was successful. 0 errors, 0 warnings
+    Info: Peak virtual memory: 269 megabytes
+    Info: Processing ended: Tue Nov  3 17:31:32 2009
+    Info: Elapsed time: 00:00:19
+    Info: Total CPU time (on all processors): 00:00:18
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.done b/bsp4/Designflow/ppr/sim/vga.done
new file mode 100644 (file)
index 0000000..282e3b6
--- /dev/null
@@ -0,0 +1 @@
+Tue Nov  3 17:31:41 2009
diff --git a/bsp4/Designflow/ppr/sim/vga.eda.rpt b/bsp4/Designflow/ppr/sim/vga.eda.rpt
new file mode 100644 (file)
index 0000000..1807e95
--- /dev/null
@@ -0,0 +1,94 @@
+EDA Netlist Writer report for vga
+Tue Nov  3 17:31:40 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. EDA Netlist Writer Summary
+  3. Simulation Settings
+  4. Simulation Generated Files
+  5. EDA Netlist Writer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++-------------------------------------------------------------------+
+; EDA Netlist Writer Summary                                        ;
++---------------------------+---------------------------------------+
+; EDA Netlist Writer Status ; Successful - Tue Nov  3 17:31:40 2009 ;
+; Revision Name             ; vga                                   ;
+; Top-level Entity Name     ; vga                                   ;
+; Family                    ; Stratix                               ;
+; Simulation Files Creation ; Successful                            ;
++---------------------------+---------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------+
+; Simulation Settings                                                                                                 ;
++---------------------------------------------------------------------------------------------------+-----------------+
+; Option                                                                                            ; Setting         ;
++---------------------------------------------------------------------------------------------------+-----------------+
+; Tool Name                                                                                         ; ModelSim (VHDL) ;
+; Generate netlist for functional simulation only                                                   ; Off             ;
+; Time scale                                                                                        ; 1 ps            ;
+; Truncate long hierarchy paths                                                                     ; Off             ;
+; Map illegal HDL characters                                                                        ; Off             ;
+; Flatten buses into individual nodes                                                               ; Off             ;
+; Maintain hierarchy                                                                                ; Off             ;
+; Bring out device-wide set/reset signals as ports                                                  ; Off             ;
+; Enable glitch filtering                                                                           ; Off             ;
+; Do not write top level VHDL entity                                                                ; Off             ;
+; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off             ;
+; Architecture name in VHDL output netlist                                                          ; structure       ;
+; Generate third-party EDA tool command script for RTL functional simulation                        ; Off             ;
+; Generate third-party EDA tool command script for gate-level simulation                            ; Off             ;
++---------------------------------------------------------------------------------------------------+-----------------+
+
+
++--------------------------------------------------------------------------------------+
+; Simulation Generated Files                                                           ;
++--------------------------------------------------------------------------------------+
+; Generated Files                                                                      ;
++--------------------------------------------------------------------------------------+
+; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho     ;
+; /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo ;
++--------------------------------------------------------------------------------------+
+
+
++-----------------------------+
+; EDA Netlist Writer Messages ;
++-----------------------------+
+Info: *******************************************************************
+Info: Running Quartus II EDA Netlist Writer
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:31:39 2009
+Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga
+Info: Generated files "vga.vho" and "vga_vhd.sdo" in directory "/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/" for EDA simulation tool
+Info: Quartus II EDA Netlist Writer was successful. 0 errors, 0 warnings
+    Info: Peak virtual memory: 163 megabytes
+    Info: Processing ended: Tue Nov  3 17:31:41 2009
+    Info: Elapsed time: 00:00:02
+    Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.fit.rpt b/bsp4/Designflow/ppr/sim/vga.fit.rpt
new file mode 100644 (file)
index 0000000..f550b54
--- /dev/null
@@ -0,0 +1,1775 @@
+Fitter report for vga
+Tue Nov  3 17:31:09 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Fitter Summary
+  3. Fitter Settings
+  4. Parallel Compilation
+  5. Incremental Compilation Preservation Summary
+  6. Incremental Compilation Partition Settings
+  7. Incremental Compilation Placement Preservation
+  8. Pin-Out File
+  9. Fitter Resource Usage Summary
+ 10. Input Pins
+ 11. Output Pins
+ 12. I/O Bank Usage
+ 13. All Package Pins
+ 14. Output Pin Default Load For Reported TCO
+ 15. Fitter Resource Utilization by Entity
+ 16. Delay Chain Summary
+ 17. Pad To Core Delay Chain Fanout
+ 18. Control Signals
+ 19. Global & Other Fast Signals
+ 20. Non-Global High Fan-Out Signals
+ 21. Interconnect Usage Summary
+ 22. LAB Logic Elements
+ 23. LAB-wide Signals
+ 24. LAB Signals Sourced
+ 25. LAB Signals Sourced Out
+ 26. LAB Distinct Inputs
+ 27. Fitter Device Options
+ 28. Estimated Delay Added for Hold Timing
+ 29. Fitter Messages
+ 30. Fitter Suppressed Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------+
+; Fitter Summary                                                      ;
++--------------------------+------------------------------------------+
+; Fitter Status            ; Successful - Tue Nov  3 17:31:09 2009    ;
+; Quartus II Version       ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name            ; vga                                      ;
+; Top-level Entity Name    ; vga                                      ;
+; Family                   ; Stratix                                  ;
+; Device                   ; EP1S25F672C6                             ;
+; Timing Models            ; Final                                    ;
+; Total logic elements     ; 173 / 25,660 ( < 1 % )                   ;
+; Total pins               ; 117 / 474 ( 25 % )                       ;
+; Total virtual pins       ; 0                                        ;
+; Total memory bits        ; 0 / 1,944,576 ( 0 % )                    ;
+; DSP block 9-bit elements ; 0 / 80 ( 0 % )                           ;
+; Total PLLs               ; 0 / 6 ( 0 % )                            ;
+; Total DLLs               ; 0 / 2 ( 0 % )                            ;
++--------------------------+------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Settings                                                                                                                      ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+; Option                                                             ; Setting                        ; Default Value                  ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+; Device                                                             ; EP1S25F672C6                   ;                                ;
+; Fit Attempts to Skip                                               ; 0                              ; 0.0                            ;
+; Use smart compilation                                              ; Off                            ; Off                            ;
+; Use TimeQuest Timing Analyzer                                      ; Off                            ; Off                            ;
+; Router Timing Optimization Level                                   ; Normal                         ; Normal                         ;
+; Placement Effort Multiplier                                        ; 1.0                            ; 1.0                            ;
+; Router Effort Multiplier                                           ; 1.0                            ; 1.0                            ;
+; Optimize Hold Timing                                               ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
+; Optimize Multi-Corner Timing                                       ; Off                            ; Off                            ;
+; Optimize Timing                                                    ; Normal compilation             ; Normal compilation             ;
+; Optimize Timing for ECOs                                           ; Off                            ; Off                            ;
+; Regenerate full fit report during ECO compiles                     ; Off                            ; Off                            ;
+; Optimize IOC Register Placement for Timing                         ; On                             ; On                             ;
+; Limit to One Fitting Attempt                                       ; Off                            ; Off                            ;
+; Final Placement Optimizations                                      ; Automatically                  ; Automatically                  ;
+; Fitter Aggressive Routability Optimizations                        ; Automatically                  ; Automatically                  ;
+; Fitter Initial Placement Seed                                      ; 1                              ; 1                              ;
+; Slow Slew Rate                                                     ; Off                            ; Off                            ;
+; PCI I/O                                                            ; Off                            ; Off                            ;
+; Weak Pull-Up Resistor                                              ; Off                            ; Off                            ;
+; Enable Bus-Hold Circuitry                                          ; Off                            ; Off                            ;
+; Auto Global Memory Control Signals                                 ; Off                            ; Off                            ;
+; Auto Packed Registers                                              ; Auto                           ; Auto                           ;
+; Auto Delay Chains                                                  ; On                             ; On                             ;
+; Auto Merge PLLs                                                    ; On                             ; On                             ;
+; Perform Physical Synthesis for Combinational Logic for Performance ; Off                            ; Off                            ;
+; Perform Register Duplication for Performance                       ; Off                            ; Off                            ;
+; Perform Register Retiming for Performance                          ; Off                            ; Off                            ;
+; Perform Asynchronous Signal Pipelining                             ; Off                            ; Off                            ;
+; Fitter Effort                                                      ; Auto Fit                       ; Auto Fit                       ;
+; Physical Synthesis Effort Level                                    ; Normal                         ; Normal                         ;
+; Logic Cell Insertion - Logic Duplication                           ; Auto                           ; Auto                           ;
+; Auto Register Duplication                                          ; Auto                           ; Auto                           ;
+; Auto Global Clock                                                  ; On                             ; On                             ;
+; Auto Global Register Control Signals                               ; On                             ; On                             ;
+; Stop After Congestion Map Generation                               ; Off                            ; Off                            ;
+; Save Intermediate Fitting Results                                  ; Off                            ; Off                            ;
+; Force Fitter to Avoid Periphery Placement Warnings                 ; Off                            ; Off                            ;
++--------------------------------------------------------------------+--------------------------------+--------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation                     ;
++----------------------------+-------------+
+; Processors                 ; Number      ;
++----------------------------+-------------+
+; Number detected on machine ; 2           ;
+; Maximum allowed            ; 2           ;
+;                            ;             ;
+; Average used               ; 1.06        ;
+; Maximum used               ; 2           ;
+;                            ;             ;
+; Usage by Processor         ; % Time Used ;
+;     1 processor            ; 100.0%      ;
+;     2 processors           ;   3.2%      ;
++----------------------------+-------------+
+
+
++----------------------------------------------+
+; Incremental Compilation Preservation Summary ;
++-------------------------+--------------------+
+; Type                    ; Value              ;
++-------------------------+--------------------+
+; Placement               ;                    ;
+;     -- Requested        ; 0 / 292 ( 0.00 % ) ;
+;     -- Achieved         ; 0 / 292 ( 0.00 % ) ;
+;                         ;                    ;
+; Routing (by Connection) ;                    ;
+;     -- Requested        ; 0 / 0 ( 0.00 % )   ;
+;     -- Achieved         ; 0 / 0 ( 0.00 % )   ;
++-------------------------+--------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------------------------------+
+; Incremental Compilation Partition Settings                                                                                                       ;
++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
+; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ;
++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
+; Top            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;          ;
++----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+
+
+
++--------------------------------------------------------------------------------------------+
+; Incremental Compilation Placement Preservation                                             ;
++----------------+---------+-------------------+-------------------------+-------------------+
+; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
++----------------+---------+-------------------+-------------------------+-------------------+
+; Top            ; 292     ; 0                 ; N/A                     ; Source File       ;
++----------------+---------+-------------------+-------------------------+-------------------+
+
+
++--------------+
+; Pin-Out File ;
++--------------+
+The pin-out file can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.pin.
+
+
++------------------------------------------------------------------------------------------------+
+; Fitter Resource Usage Summary                                                                  ;
++---------------------------------------------+--------------------------------------------------+
+; Resource                                    ; Usage                                            ;
++---------------------------------------------+--------------------------------------------------+
+; Total logic elements                        ; 173 / 25,660 ( < 1 % )                           ;
+;     -- Combinational with no register       ; 92                                               ;
+;     -- Register only                        ; 0                                                ;
+;     -- Combinational with a register        ; 81                                               ;
+;                                             ;                                                  ;
+; Logic element usage by number of LUT inputs ;                                                  ;
+;     -- 4 input functions                    ; 61                                               ;
+;     -- 3 input functions                    ; 50                                               ;
+;     -- 2 input functions                    ; 58                                               ;
+;     -- 1 input functions                    ; 2                                                ;
+;     -- 0 input functions                    ; 1                                                ;
+;                                             ;                                                  ;
+; Logic elements by mode                      ;                                                  ;
+;     -- normal mode                          ; 121                                              ;
+;     -- arithmetic mode                      ; 52                                               ;
+;     -- qfbk mode                            ; 3                                                ;
+;     -- register cascade mode                ; 0                                                ;
+;     -- synchronous clear/load mode          ; 69                                               ;
+;     -- asynchronous clear/load mode         ; 22                                               ;
+;                                             ;                                                  ;
+; Total registers                             ; 81 / 28,424 ( < 1 % )                            ;
+; Total LABs                                  ; 23 / 2,566 ( < 1 % )                             ;
+; Logic elements in carry chains              ; 60                                               ;
+; User inserted logic elements                ; 0                                                ;
+; Virtual pins                                ; 0                                                ;
+; I/O pins                                    ; 117 / 474 ( 25 % )                               ;
+;     -- Clock pins                           ; 2 / 16 ( 13 % )                                  ;
+; Global signals                              ; 2                                                ;
+; M512s                                       ; 0 / 224 ( 0 % )                                  ;
+; M4Ks                                        ; 0 / 138 ( 0 % )                                  ;
+; M-RAMs                                      ; 0 / 2 ( 0 % )                                    ;
+; Total memory bits                           ; 0 / 1,944,576 ( 0 % )                            ;
+; Total RAM block bits                        ; 0 / 1,944,576 ( 0 % )                            ;
+; DSP block 9-bit elements                    ; 0 / 80 ( 0 % )                                   ;
+; PLLs                                        ; 0 / 6 ( 0 % )                                    ;
+; Global clocks                               ; 2 / 16 ( 13 % )                                  ;
+; Regional clocks                             ; 0 / 16 ( 0 % )                                   ;
+; Fast regional clocks                        ; 0 / 8 ( 0 % )                                    ;
+; SERDES transmitters                         ; 0 / 78 ( 0 % )                                   ;
+; SERDES receivers                            ; 0 / 78 ( 0 % )                                   ;
+; JTAGs                                       ; 0 / 1 ( 0 % )                                    ;
+; CRC blocks                                  ; 0 / 1 ( 0 % )                                    ;
+; Remote update blocks                        ; 0 / 1 ( 0 % )                                    ;
+; Average interconnect usage (total/H/V)      ; 0% / 0% / 0%                                     ;
+; Peak interconnect usage (total/H/V)         ; 1% / 0% / 2%                                     ;
+; Maximum fan-out node                        ; clk_pin                                          ;
+; Maximum fan-out                             ; 82                                               ;
+; Highest non-global fan-out signal           ; vga_control:vga_control_unit|toggle_sig_0_0_0_g1 ;
+; Highest non-global fan-out                  ; 21                                               ;
+; Total fan-out                               ; 865                                              ;
+; Average fan-out                             ; 2.97                                             ;
++---------------------------------------------+--------------------------------------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Input Pins                                                                                                                                                                                                                                                      ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+; Name      ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+; clk_pin   ; R3    ; 1        ; 0            ; 21           ; 0           ; 82                    ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
+; reset_pin ; P24   ; 6        ; 79           ; 21           ; 0           ; 9                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVTTL  ; Off         ; Fitter               ;
++-----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Output Pins                                                                                                                                                                                                                                                                                                             ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+; Name                 ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load  ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+; b0_pin               ; AB14  ; 11       ; 37           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; b1_pin               ; W15   ; 7        ; 46           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_b                  ; AA13  ; 11       ; 37           ; 0            ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[0]  ; M21   ; 5        ; 79           ; 29           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[1]  ; M5    ; 2        ; 0            ; 30           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[2]  ; M18   ; 5        ; 79           ; 29           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[3]  ; B11   ; 3        ; 29           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[4]  ; R9    ; 1        ; 0            ; 19           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[5]  ; AC11  ; 8        ; 27           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[6]  ; AA11  ; 8        ; 31           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[7]  ; Y11   ; 8        ; 29           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[8]  ; M7    ; 2        ; 0            ; 31           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_column_counter[9]  ; N21   ; 5        ; 79           ; 28           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_g                  ; V6    ; 1        ; 0            ; 11           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_h_enable           ; M19   ; 5        ; 79           ; 29           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync              ; M4    ; 2        ; 0            ; 30           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[0]   ; U1    ; 1        ; 0            ; 14           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[1]   ; U7    ; 1        ; 0            ; 14           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[2]   ; AE10  ; 8        ; 21           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[3]   ; U3    ; 1        ; 0            ; 13           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[4]   ; E9    ; 3        ; 17           ; 47           ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[5]   ; T4    ; 1        ; 0            ; 15           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[6]   ; U8    ; 1        ; 0            ; 14           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[7]   ; AE8   ; 8        ; 14           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[8]   ; U2    ; 1        ; 0            ; 14           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_counter[9]   ; T5    ; 1        ; 0            ; 15           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[0]     ; R6    ; 1        ; 0            ; 19           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[1]     ; AD9   ; 8        ; 17           ; 0            ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[2]     ; W9    ; 8        ; 17           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[3]     ; AC10  ; 8        ; 21           ; 0            ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[4]     ; G7    ; 3        ; 17           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[5]     ; A10   ; 3        ; 23           ; 47           ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_hsync_state[6]     ; A8    ; 3        ; 17           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[0]    ; R4    ; 1        ; 0            ; 18           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[1]    ; AF12  ; 8        ; 33           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[2]    ; AA12  ; 11       ; 37           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[3]    ; AD12  ; 8        ; 33           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[4]    ; AD15  ; 7        ; 52           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[5]    ; W10   ; 8        ; 23           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[6]    ; R23   ; 6        ; 79           ; 18           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[7]    ; A12   ; 3        ; 33           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_line_counter[8]    ; AB12  ; 11       ; 37           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_r                  ; Y18   ; 7        ; 58           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_set_column_counter ; AF9   ; 8        ; 21           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_set_hsync_counter  ; T2    ; 1        ; 0            ; 17           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_set_line_counter   ; M20   ; 5        ; 79           ; 29           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_set_vsync_counter  ; L23   ; 5        ; 79           ; 31           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_state_clk          ; N7    ; 2        ; 0            ; 29           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle             ; D11   ; 3        ; 25           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[0]  ; J5    ; 2        ; 0            ; 38           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[10] ; K3    ; 2        ; 0            ; 37           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[11] ; E11   ; 3        ; 31           ; 47           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[12] ; G9    ; 3        ; 23           ; 47           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[13] ; J1    ; 2        ; 0            ; 38           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[14] ; J6    ; 2        ; 0            ; 38           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[15] ; AB10  ; 8        ; 23           ; 0            ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[16] ; H19   ; 5        ; 79           ; 38           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[17] ; K4    ; 2        ; 0            ; 37           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[18] ; C11   ; 3        ; 25           ; 47           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[19] ; AE11  ; 8        ; 25           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[1]  ; E10   ; 3        ; 23           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[20] ; W21   ; 6        ; 79           ; 6            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[21] ; V26   ; 6        ; 79           ; 9            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[22] ; AA3   ; 1        ; 0            ; 3            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[23] ; AA25  ; 6        ; 79           ; 3            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[24] ; U22   ; 6        ; 79           ; 14           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[2]  ; F10   ; 3        ; 23           ; 47           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[3]  ; K26   ; 5        ; 79           ; 35           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[4]  ; J2    ; 2        ; 0            ; 38           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[5]  ; J26   ; 5        ; 79           ; 38           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[6]  ; J22   ; 5        ; 79           ; 37           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[7]  ; AB11  ; 8        ; 25           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[8]  ; G10   ; 3        ; 23           ; 47           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_toggle_counter[9]  ; J21   ; 5        ; 79           ; 37           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_v_enable           ; R8    ; 1        ; 0            ; 19           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync              ; P20   ; 6        ; 79           ; 19           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[0]   ; N6    ; 2        ; 0            ; 29           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[1]   ; B9    ; 3        ; 17           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[2]   ; AC9   ; 8        ; 17           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[3]   ; F9    ; 3        ; 21           ; 47           ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[4]   ; AF8   ; 8        ; 14           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[5]   ; N20   ; 5        ; 79           ; 28           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[6]   ; P6    ; 1        ; 0            ; 20           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[7]   ; M8    ; 2        ; 0            ; 29           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[8]   ; D10   ; 3        ; 21           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_counter[9]   ; AA8   ; 8        ; 17           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[0]     ; P8    ; 2        ; 0            ; 28           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[1]     ; M22   ; 5        ; 79           ; 30           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[2]     ; AB9   ; 8        ; 17           ; 0            ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[3]     ; P7    ; 1        ; 0            ; 20           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[4]     ; R7    ; 1        ; 0            ; 19           ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[5]     ; P19   ; 6        ; 79           ; 20           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; d_vsync_state[6]     ; N8    ; 2        ; 0            ; 28           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; g0_pin               ; W6    ; 1        ; 0            ; 9            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; g1_pin               ; AB17  ; 7        ; 58           ; 0            ; 4           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; g2_pin               ; U25   ; 6        ; 79           ; 13           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; hsync_pin            ; H3    ; 2        ; 0            ; 42           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; r0_pin               ; AA20  ; 7        ; 70           ; 0            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; r1_pin               ; AB19  ; 7        ; 67           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; r2_pin               ; AF7   ; 8        ; 9            ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[0]     ; AB23  ; 6        ; 79           ; 2            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[10]    ; C8    ; 3        ; 12           ; 47           ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[11]    ; K9    ; 2        ; 0            ; 35           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[12]    ; L4    ; 2        ; 0            ; 33           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[13]    ; U23   ; 6        ; 79           ; 11           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[1]     ; L6    ; 2        ; 0            ; 32           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[2]     ; A9    ; 3        ; 21           ; 47           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[3]     ; V2    ; 1        ; 0            ; 9            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[4]     ; W7    ; 1        ; 0            ; 8            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[5]     ; AE19  ; 7        ; 67           ; 0            ; 5           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[6]     ; V4    ; 1        ; 0            ; 8            ; 3           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[7]     ; E7    ; 3        ; 12           ; 47           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[8]     ; B10   ; 3        ; 21           ; 47           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; seven_seg_pin[9]     ; M9    ; 2        ; 0            ; 29           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
+; vsync_pin            ; N19   ; 6        ; 79           ; 20           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 24mA             ; Off         ; Fitter               ; 10 pF ;
++----------------------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+
+
+
++------------------------------------------------------------+
+; I/O Bank Usage                                             ;
++----------+------------------+---------------+--------------+
+; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
++----------+------------------+---------------+--------------+
+; 1        ; 22 / 61 ( 36 % ) ; 3.3V          ; --           ;
+; 2        ; 19 / 59 ( 32 % ) ; 3.3V          ; --           ;
+; 3        ; 20 / 54 ( 37 % ) ; 3.3V          ; --           ;
+; 4        ; 1 / 56 ( 2 % )   ; 3.3V          ; --           ;
+; 5        ; 13 / 59 ( 22 % ) ; 3.3V          ; --           ;
+; 6        ; 12 / 61 ( 20 % ) ; 3.3V          ; --           ;
+; 7        ; 7 / 57 ( 12 % )  ; 3.3V          ; --           ;
+; 8        ; 20 / 54 ( 37 % ) ; 3.3V          ; --           ;
+; 9        ; 0 / 6 ( 0 % )    ; 3.3V          ; --           ;
+; 11       ; 4 / 6 ( 67 % )   ; 3.3V          ; --           ;
++----------+------------------+---------------+--------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; All Package Pins                                                                                                                                                     ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+; Location ; Pad Number ; I/O Bank ; Pin Name/Usage           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; Termination ; User Assignment ; Bus Hold ; Weak Pull Up ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+; A2       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A3       ; 733        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A4       ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A5       ; 725        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A6       ; 717        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A7       ; 703        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A8       ; 702        ; 3        ; d_hsync_state[6]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A9       ; 695        ; 3        ; seven_seg_pin[2]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A10      ; 684        ; 3        ; d_hsync_state[5]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A11      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A12      ; 656        ; 3        ; d_line_counter[7]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; A13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; A15      ; 640        ; 4        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; A16      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A17      ; 602        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A18      ; 589        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A19      ; 579        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A20      ; 571        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A21      ; 564        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A22      ; 554        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A23      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; A24      ; 552        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; A25      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AA1      ; 158        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA2      ; 157        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA3      ; 160        ; 1        ; d_toggle_counter[22]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; AA4      ; 159        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA5      ; 155        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA6      ; 154        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA7      ; 195        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA8      ; 214        ; 8        ; d_vsync_counter[9]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA9      ; 223        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA10     ; 227        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA11     ; 251        ; 8        ; d_column_counter[6]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA12     ; 269        ; 11       ; d_line_counter[2]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA13     ; 273        ; 11       ; d_b                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA14     ; 271        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA15     ; 283        ; 7        ; ^nIO_PULLUP              ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AA16     ; 304        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA17     ; 316        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA18     ; 324        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA19     ; 334        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA20     ; 344        ; 7        ; r0_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AA21     ; 350        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AA22     ; 386        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA23     ; 382        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA24     ; 381        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AA25     ; 384        ; 6        ; d_toggle_counter[23]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; AA26     ; 383        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB1      ; 162        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB2      ; 161        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB3      ; 164        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB4      ; 163        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB5      ; 181        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB6      ; 184        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB7      ; 191        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB8      ; 203        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB9      ; 217        ; 8        ; d_vsync_state[2]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB10     ; 229        ; 8        ; d_toggle_counter[15]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB11     ; 231        ; 8        ; d_toggle_counter[7]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB12     ; 268        ; 11       ; d_line_counter[8]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB13     ; 272        ; 11       ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB14     ; 270        ; 11       ; b0_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB15     ; 292        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AB16     ; 309        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB17     ; 322        ; 7        ; g1_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB18     ; 323        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AB19     ; 336        ; 7        ; r1_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AB20     ; 346        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB21     ; 351        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB22     ; 365        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AB23     ; 378        ; 6        ; seven_seg_pin[0]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; AB24     ; 377        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB25     ; 380        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AB26     ; 379        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC1      ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AC2      ; 165        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC3      ; 168        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC4      ; 167        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC5      ; 171        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC6      ; 185        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC7      ; 186        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC8      ; 201        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC9      ; 215        ; 8        ; d_vsync_counter[2]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AC10     ; 224        ; 8        ; d_hsync_state[3]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AC11     ; 239        ; 8        ; d_column_counter[5]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AC12     ; 257        ; 8        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AC13     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AC14     ;            ;          ; GNDA_PLL6                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AC15     ; 293        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC16     ; 307        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC17     ; 328        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC18     ; 338        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC19     ; 339        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC20     ; 349        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC21     ; 355        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC22     ; 369        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC23     ; 368        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AC24     ; 374        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC25     ; 376        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AC26     ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AD1      ; 166        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AD2      ; 172        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD3      ; 174        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD4      ; 178        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD5      ; 170        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD6      ; 188        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD7      ; 192        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD8      ; 204        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD9      ; 216        ; 8        ; d_hsync_state[1]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AD10     ; 220        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD11     ; 247        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD12     ; 256        ; 8        ; d_line_counter[3]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AD13     ;            ;          ; VCCG_PLL6                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; AD14     ;            ;          ; VCCA_PLL6                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; AD15     ; 302        ; 7        ; d_line_counter[4]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AD16     ; 310        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD17     ; 329        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD18     ; 335        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD19     ; 337        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD20     ; 353        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD21     ; 354        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AD22     ; 370        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD23     ; 364        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD24     ; 367        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AD25     ; 373        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AD26     ; 375        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; AE1      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AE2      ; 173        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE3      ; 179        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE4      ; 176        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE5      ; 187        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AE6      ; 194        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE7      ; 189        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE8      ; 206        ; 8        ; d_hsync_counter[7]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AE9      ; 218        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; AE10     ; 222        ; 8        ; d_hsync_counter[2]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AE11     ; 232        ; 8        ; d_toggle_counter[19]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AE12     ; 259        ; 8        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AE13     ;            ; 11       ; VCC_PLL6_OUTA            ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AE14     ;            ;          ; GNDG_PLL6                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AE15     ; 274        ; 7        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AE16     ; 313        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE17     ; 319        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE18     ; 330        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE19     ; 340        ; 7        ; seven_seg_pin[5]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AE20     ; 343        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE21     ; 352        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE22     ; 363        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE23     ; 366        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE24     ; 371        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE25     ; 358        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AE26     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF2      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF3      ; 183        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF4      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF5      ; 190        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF6      ; 198        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF7      ; 197        ; 8        ; r2_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AF8      ; 207        ; 8        ; d_vsync_counter[4]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AF9      ; 219        ; 8        ; d_set_column_counter     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AF10     ; 230        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF11     ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF12     ; 258        ; 8        ; d_line_counter[1]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; AF13     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF14     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; AF15     ; 276        ; 7        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; AF16     ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF17     ; 315        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF18     ; 327        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF19     ; 331        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF20     ; 342        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF21     ; 347        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF22     ; 360        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF23     ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; AF24     ; 362        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; AF25     ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B2       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B3       ; 740        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B4       ; 736        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B5       ; 730        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B6       ; 716        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B7       ; 709        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B8       ; 704        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B9       ; 698        ; 3        ; d_vsync_counter[1]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; B10      ; 694        ; 3        ; seven_seg_pin[8]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; B11      ; 667        ; 3        ; d_column_counter[3]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; B12      ; 655        ; 3        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; B13      ;            ;          ; GNDG_PLL5                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B14      ;            ;          ; GNDA_PLL5                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; B15      ; 638        ; 4        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; B16      ; 610        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B17      ; 596        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B18      ; 582        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B19      ; 577        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B20      ; 567        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B21      ; 563        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B22      ; 551        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B23      ; 548        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B24      ; 543        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B25      ; 544        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; B26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; C1       ; 0          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; C2       ; 738        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C3       ; 731        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C4       ; 742        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C5       ; 743        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C6       ; 729        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C7       ; 728        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C8       ; 710        ; 3        ; seven_seg_pin[10]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C9       ; 699        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C10      ; 692        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C11      ; 682        ; 3        ; d_toggle_counter[18]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; C12      ; 658        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; C14      ;            ;          ; VCCG_PLL5                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; C15      ; 617        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C16      ; 605        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C17      ; 592        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C18      ; 581        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C19      ; 573        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C20      ; 559        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C21      ; 566        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C22      ; 556        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C23      ; 550        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C24      ; 547        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; C25      ; 539        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; C26      ; 541        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D1       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; D2       ; 1          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D3       ; 744        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D4       ; 741        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D5       ; 735        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D6       ; 722        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D7       ; 727        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; D8       ; 712        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D9       ; 696        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; D10      ; 691        ; 3        ; d_vsync_counter[8]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; D11      ; 683        ; 3        ; d_toggle                 ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; D12      ; 657        ; 3        ; GND+                     ;        ;              ;         ; Column I/O ; --          ;                 ; --       ; --           ;
+; D13      ;            ; 9        ; VCC_PLL5_OUTA            ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; D14      ;            ;          ; VCCA_PLL5                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; D15      ; 630        ; 4        ; #TRST                    ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; D16      ; 604        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D17      ; 600        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D18      ; 583        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D19      ; 575        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D20      ; 562        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D21      ; 561        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D22      ; 546        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D23      ; 545        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; D24      ; 538        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D25      ; 540        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; D26      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; E1       ; 4          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E2       ; 5          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E3       ; 2          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E4       ; 3          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E5       ; 726        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E6       ; 723        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E7       ; 713        ; 3        ; seven_seg_pin[7]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E8       ; 706        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E9       ; 697        ; 3        ; d_hsync_counter[4]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E10      ; 685        ; 3        ; d_toggle_counter[1]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E11      ; 662        ; 3        ; d_toggle_counter[11]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; E12      ; 646        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E13      ; 642        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E14      ; 644        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E15      ; 629        ; 4        ; #TMS                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; E16      ; 607        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E17      ; 597        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E18      ; 586        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E19      ; 578        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E20      ; 576        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E21      ; 569        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E22      ; 549        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; E23      ; 534        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E24      ; 535        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E25      ; 536        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; E26      ; 537        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F1       ; 8          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F2       ; 9          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F3       ; 6          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F4       ; 7          ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F5       ; 720        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F6       ; 719        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F7       ; 707        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F8       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; F9       ; 690        ; 3        ; d_vsync_counter[3]       ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F10      ; 687        ; 3        ; d_toggle_counter[2]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F11      ; 659        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F12      ; 645        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F13      ; 641        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F14      ; 643        ; 9        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F15      ; 632        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F16      ; 612        ; 4        ; ~DATA0~ / RESERVED_INPUT ; input  ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; F17      ; 599        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F18      ; 591        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F19      ; 590        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F20      ; 584        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F21      ; 572        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; F22      ; 560        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; F23      ; 530        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F24      ; 531        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F25      ; 532        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; F26      ; 533        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G1       ; 12         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G2       ; 13         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G3       ; 14         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G4       ; 15         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G5       ; 10         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G6       ; 11         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G7       ; 700        ; 3        ; d_hsync_state[4]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; G8       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G9       ; 688        ; 3        ; d_toggle_counter[12]     ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; G10      ; 686        ; 3        ; d_toggle_counter[8]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; G11      ; 670        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G12      ; 653        ; 3        ; ^DCLK                    ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G13      ;            ;          ; TEMPDIODEn               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G14      ; 636        ; 4        ; #TDO                     ; output ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G15      ; 631        ; 4        ; #TCK                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; G16      ; 622        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; G17      ; 601        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G18      ; 594        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G19      ; 585        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G20      ; 587        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; G21      ; 522        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G22      ; 523        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G23      ; 526        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G24      ; 527        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G25      ; 528        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; G26      ; 529        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H1       ; 16         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H2       ; 17         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H3       ; 18         ; 2        ; hsync_pin                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; H4       ; 19         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H5       ; 24         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H6       ; 23         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H7       ; 28         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H8       ; 20         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; H9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H10      ; 675        ; 3        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; H11      ; 654        ; 3        ; ^CONF_DONE               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H12      ; 652        ; 3        ; ^nCONFIG                 ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H13      ; 651        ; 3        ; ^nSTATUS                 ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H14      ;            ;          ; TEMPDIODEp               ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H15      ; 635        ; 4        ; #TDI                     ; input  ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H16      ; 621        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; H17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; H18      ; 603        ; 4        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; H19      ; 506        ; 5        ; d_toggle_counter[16]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; H20      ; 505        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H21      ; 514        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H22      ; 513        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H23      ; 518        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H24      ; 517        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H25      ; 524        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; H26      ; 525        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J1       ; 34         ; 2        ; d_toggle_counter[13]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J2       ; 33         ; 2        ; d_toggle_counter[4]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J3       ; 30         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J4       ; 29         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J5       ; 36         ; 2        ; d_toggle_counter[0]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J6       ; 35         ; 2        ; d_toggle_counter[14]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J7       ; 27         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J8       ; 48         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J11      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J12      ;            ; 3        ; VCCIO3                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J15      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J16      ;            ; 4        ; VCCIO4                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; J17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; J18      ; 521        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; J19      ; 494        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J20      ; 493        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J21      ; 504        ; 5        ; d_toggle_counter[9]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J22      ; 503        ; 5        ; d_toggle_counter[6]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; J23      ; 512        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J24      ; 511        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J25      ; 508        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; J26      ; 507        ; 5        ; d_toggle_counter[5]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; K1       ; 46         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K2       ; 45         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K3       ; 38         ; 2        ; d_toggle_counter[10]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; K4       ; 37         ; 2        ; d_toggle_counter[17]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; K5       ; 50         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K6       ; 49         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K7       ; 52         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K8       ; 51         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K9       ; 47         ; 2        ; seven_seg_pin[11]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; K10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; K18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; K19      ; 486        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K20      ; 485        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K21      ; 490        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K22      ; 489        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K23      ; 492        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K24      ; 491        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K25      ; 496        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; K26      ; 495        ; 5        ; d_toggle_counter[3]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; L1       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L2       ; 54         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L3       ; 53         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L4       ; 56         ; 2        ; seven_seg_pin[12]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; L5       ; 55         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L6       ; 60         ; 2        ; seven_seg_pin[1]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; L7       ; 59         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L8       ; 61         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; L9       ;            ; 2        ; VCCIO2                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; L17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; L18      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; L19      ; 480        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; L20      ; 482        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L21      ; 481        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L22      ; 478        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L23      ; 479        ; 5        ; d_set_vsync_counter      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; L24      ; 488        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L25      ; 487        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; L26      ;            ; 5        ; VCCIO5                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; M1       ; 81         ; 2        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M2       ;            ;          ; VCCG_PLL1                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M3       ;            ;          ; VCCA_PLL1                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M4       ; 66         ; 2        ; d_hsync                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M5       ; 67         ; 2        ; d_column_counter[1]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M6       ; 62         ; 2        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M7       ; 63         ; 2        ; d_column_counter[8]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M8       ; 72         ; 2        ; d_vsync_counter[7]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M9       ; 73         ; 2        ; seven_seg_pin[9]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; M17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; M18      ; 468        ; 5        ; d_column_counter[2]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M19      ; 469        ; 5        ; d_h_enable               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M20      ; 470        ; 5        ; d_set_line_counter       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M21      ; 471        ; 5        ; d_column_counter[0]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M22      ; 474        ; 5        ; d_vsync_state[1]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; M23      ; 475        ; 5        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; M24      ; 462        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M25      ; 463        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; M26      ; 460        ; 5        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; N1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N2       ; 78         ; 2        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; N3       ; 79         ; 2        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; N4       ;            ;          ; GNDG_PLL1                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N5       ;            ;          ; GNDA_PLL1                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N6       ; 70         ; 2        ; d_vsync_counter[0]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N7       ; 71         ; 2        ; d_state_clk              ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N8       ; 77         ; 2        ; d_vsync_state[6]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N19      ; 453        ; 6        ; vsync_pin                ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N20      ; 464        ; 5        ; d_vsync_counter[5]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N21      ; 465        ; 5        ; d_column_counter[9]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; N22      ;            ;          ; GNDG_PLL4                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N23      ;            ;          ; GNDA_PLL4                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; N24      ;            ;          ; VCCG_PLL4                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N25      ;            ;          ; VCCA_PLL4                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; N26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P1       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P2       ;            ;          ; GNDG_PLL2                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P3       ;            ;          ; GNDA_PLL2                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P4       ;            ;          ; VCCG_PLL2                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P5       ;            ;          ; VCCA_PLL2                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P6       ; 88         ; 1        ; d_vsync_counter[6]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P7       ; 89         ; 1        ; d_vsync_state[3]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P8       ; 76         ; 2        ; d_vsync_state[0]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; P19      ; 452        ; 6        ; d_vsync_state[5]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P20      ; 448        ; 6        ; d_vsync                  ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P21      ; 449        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; P22      ;            ;          ; VCCA_PLL3                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P23      ;            ;          ; VCCG_PLL3                ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; P24      ; 457        ; 6        ; reset_pin                ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; P25      ; 458        ; 6        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; P26      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R1       ; 82         ; 1        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; R2       ; 83         ; 1        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; R3       ; 84         ; 1        ; clk_pin                  ; input  ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R4       ; 94         ; 1        ; d_line_counter[0]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R5       ; 95         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R6       ; 90         ; 1        ; d_hsync_state[0]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R7       ; 91         ; 1        ; d_vsync_state[4]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R8       ; 92         ; 1        ; d_v_enable               ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R9       ; 93         ; 1        ; d_column_counter[4]      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; R17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R18      ; 443        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; R19      ; 436        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R20      ; 450        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R21      ; 451        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R22      ; 446        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; R23      ; 447        ; 6        ; d_line_counter[6]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; R24      ;            ;          ; GNDA_PLL3                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R25      ;            ;          ; GNDG_PLL3                ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; R26      ; 459        ; 6        ; GND+                     ;        ;              ;         ; Row I/O    ; --          ;                 ; --       ; --           ;
+; T1       ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T2       ; 100        ; 1        ; d_set_hsync_counter      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; T3       ; 99         ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T4       ; 108        ; 1        ; d_hsync_counter[5]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; T5       ; 107        ; 1        ; d_hsync_counter[9]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; T6       ; 106        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T7       ; 105        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T8       ; 98         ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; T9       ;            ; 1        ; VCCIO1                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T11      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T12      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T13      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T15      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T16      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; T17      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; T18      ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; T19      ; 435        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T20      ; 432        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T21      ; 431        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T22      ; 442        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T23      ; 441        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T24      ; 434        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T25      ; 433        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; T26      ;            ; 6        ; VCCIO6                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; U1       ; 112        ; 1        ; d_hsync_counter[0]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U2       ; 111        ; 1        ; d_hsync_counter[8]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U3       ; 116        ; 1        ; d_hsync_counter[3]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U4       ; 115        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U5       ; 110        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U6       ; 109        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U7       ; 114        ; 1        ; d_hsync_counter[1]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U8       ; 113        ; 1        ; d_hsync_counter[6]       ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U9       ; 117        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U10      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U11      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U12      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U14      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U15      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U16      ;            ;          ; VCCINT                   ; power  ;              ; 1.5V    ; --         ; --          ;                 ; --       ; --           ;
+; U17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; U18      ; 428        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U19      ; 427        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U20      ; 424        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U21      ; 430        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U22      ; 429        ; 6        ; d_toggle_counter[24]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U23      ; 418        ; 6        ; seven_seg_pin[13]        ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U24      ; 417        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; U25      ; 426        ; 6        ; g2_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; U26      ; 425        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V1       ; 132        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V2       ; 133        ; 1        ; seven_seg_pin[3]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; V3       ; 136        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V4       ; 137        ; 1        ; seven_seg_pin[6]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; V5       ; 124        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V6       ; 123        ; 1        ; d_g                      ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; V7       ; 127        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; V8       ; 118        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V9       ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V10      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V11      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V12      ;            ; 8        ; VCCIO8                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V13      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V14      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V15      ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V16      ;            ; 7        ; VCCIO7                   ; power  ;              ; 3.3V    ; --         ; --          ;                 ; --       ; --           ;
+; V17      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V18      ;            ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; V19      ; 423        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V20      ; 414        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; V21      ; 406        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V22      ; 407        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V23      ; 404        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V24      ; 405        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V25      ; 408        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; V26      ; 409        ; 6        ; d_toggle_counter[21]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; W1       ; 140        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W2       ; 141        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W3       ; 148        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W4       ; 149        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W5       ; 134        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W6       ; 135        ; 1        ; g0_pin                   ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; W7       ; 138        ; 1        ; seven_seg_pin[4]         ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; W8       ; 139        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W9       ; 212        ; 8        ; d_hsync_state[2]         ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; W10      ; 228        ; 8        ; d_line_counter[5]        ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; W11      ; 255        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; W12      ; 260        ; 8        ; PLL_ENA                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W13      ; 263        ; 8        ; ^MSEL2                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W14      ; 279        ; 7        ; ^nCEO                    ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W15      ; 282        ; 7        ; b1_pin                   ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; W16      ; 285        ; 7        ; ^PORSEL                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; W17      ; 311        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W18      ; 321        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; W19      ; 402        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W20      ; 403        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W21      ; 394        ; 6        ; d_toggle_counter[20]     ; output ; 3.3-V LVTTL  ;         ; Row I/O    ; Off         ; N               ; no       ; Off          ;
+; W22      ; 395        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W23      ; 392        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W24      ; 393        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W25      ; 400        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; W26      ; 401        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y1       ; 153        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y2       ; 152        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y3       ; 146        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y4       ; 147        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y5       ; 151        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y6       ; 150        ; 1        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y7       ; 156        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; Y8       ; 210        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y9       ; 209        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y10      ; 226        ; 8        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y11      ; 244        ; 8        ; d_column_counter[7]      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; Y12      ; 261        ; 8        ; ^MSEL0                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y13      ; 262        ; 8        ; ^MSEL1                   ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y14      ; 278        ; 7        ; ^nCE                     ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y15      ; 284        ; 7        ; ^VCCSEL                  ;        ;              ;         ; --         ; --          ;                 ; --       ; --           ;
+; Y16      ; 297        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y17      ; 314        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y18      ; 317        ; 7        ; d_r                      ; output ; 3.3-V LVTTL  ;         ; Column I/O ; Off         ; N               ; no       ; Off          ;
+; Y19      ; 325        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y20      ; 333        ; 7        ; GND*                     ;        ;              ;         ; Column I/O ; --          ;                 ; no       ; Off          ;
+; Y21      ; 385        ;          ; GND                      ; gnd    ;              ;         ; --         ; --          ;                 ; no       ; Off          ;
+; Y22      ; 387        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y23      ; 391        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y24      ; 390        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y25      ; 389        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
+; Y26      ; 388        ; 6        ; GND*                     ;        ;              ;         ; Row I/O    ; --          ;                 ; no       ; Off          ;
++----------+------------+----------+--------------------------+--------+--------------+---------+------------+-------------+-----------------+----------+--------------+
+Note: Pin directions (input, output or bidir) are based on device operating in user mode.
+
+
++-------------------------------------------------------------------------------+
+; Output Pin Default Load For Reported TCO                                      ;
++----------------------------------+-------+------------------------------------+
+; I/O Standard                     ; Load  ; Termination Resistance             ;
++----------------------------------+-------+------------------------------------+
+; 3.3-V LVTTL                      ; 10 pF ; Not Available                      ;
+; 3.3-V LVCMOS                     ; 10 pF ; Not Available                      ;
+; 2.5 V                            ; 10 pF ; Not Available                      ;
+; 1.8 V                            ; 10 pF ; Not Available                      ;
+; 1.5 V                            ; 10 pF ; Not Available                      ;
+; GTL                              ; 30 pF ; 25 Ohm (Parallel)                  ;
+; GTL+                             ; 30 pF ; 25 Ohm (Parallel)                  ;
+; 3.3-V PCI                        ; 10 pF ; 25 Ohm (Parallel)                  ;
+; 3.3-V PCI-X                      ; 8 pF  ; 25 Ohm (Parallel)                  ;
+; Compact PCI                      ; 10 pF ; 25 Ohm (Parallel)                  ;
+; AGP 1X                           ; 10 pF ; Not Available                      ;
+; AGP 2X                           ; 10 pF ; Not Available                      ;
+; CTT                              ; 30 pF ; 50 Ohm (Parallel)                  ;
+; SSTL-3 Class I                   ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-3 Class II                  ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-2 Class I                   ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-2 Class II                  ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-18 Class I                  ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
+; SSTL-18 Class II                 ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
+; 1.5-V HSTL Class I               ; 20 pF ; 50 Ohm (Parallel)                  ;
+; 1.5-V HSTL Class II              ; 20 pF ; 25 Ohm (Parallel)                  ;
+; 1.8-V HSTL Class I               ; 20 pF ; 50 Ohm (Parallel)                  ;
+; 1.8-V HSTL Class II              ; 20 pF ; 25 Ohm (Parallel)                  ;
+; LVDS                             ; 4 pF  ; 100 Ohm (Differential)             ;
+; Differential LVPECL              ; 4 pF  ; 100 Ohm (Differential)             ;
+; 3.3-V PCML                       ; 4 pF  ; 50 Ohm (Parallel)                  ;
+; HyperTransport                   ; 4 pF  ; 100 Ohm (Differential)             ;
+; Differential 1.5-V HSTL Class I  ; 20 pF ; (See 1.5-V HSTL Class I)           ;
+; Differential 1.8-V HSTL Class I  ; 20 pF ; (See 1.8-V HSTL Class I)           ;
+; Differential 1.8-V HSTL Class II ; 20 pF ; (See 1.8-V HSTL Class II)          ;
+; Differential SSTL-2              ; 30 pF ; (See SSTL-2)                       ;
++----------------------------------+-------+------------------------------------+
+Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                               ;
++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+; Compilation Hierarchy Node        ; Logic Cells ; LC Registers ; Memory Bits ; M512s ; M4Ks ; M-RAMs ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name               ; Library Name ;
++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+; |vga                              ; 173 (3)     ; 81           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 117  ; 0            ; 92 (1)       ; 0 (0)             ; 81 (2)           ; 60 (0)          ; 3 (0)      ; |vga                              ; work         ;
+;    |vga_control:vga_control_unit| ; 42 (42)     ; 22           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 20 (20)      ; 0 (0)             ; 22 (22)          ; 20 (20)         ; 0 (0)      ; |vga|vga_control:vga_control_unit ; work         ;
+;    |vga_driver:vga_driver_unit|   ; 128 (128)   ; 57           ; 0           ; 0     ; 0    ; 0      ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 71 (71)      ; 0 (0)             ; 57 (57)          ; 40 (40)         ; 3 (3)      ; |vga|vga_driver:vga_driver_unit   ; work         ;
++-----------------------------------+-------------+--------------+-------------+-------+------+--------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Delay Chain Summary                                                                                                                                                                                                                                                     ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+; Name                 ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; Core to Output Register ; Clock Enable to Output Enable Register ; Clock Enable to Output Register ; Clock Enable to Input Register ; TCO ; TCOE ; Falling Edge Output Enable ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+; r0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; r1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; r2_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; g2_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; b0_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; b1_pin               ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; hsync_pin            ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; vsync_pin            ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[7]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[8]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[9]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[10]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[11]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[12]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; seven_seg_pin[13]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync              ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync              ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[0]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[1]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[2]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[3]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[4]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[5]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[6]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[7]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[8]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_column_counter[9]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[0]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[1]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[2]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[3]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[4]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[5]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[6]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[7]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_line_counter[8]    ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_column_counter ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_line_counter   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[0]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[1]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[2]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[3]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[4]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[5]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[6]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[7]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[8]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_counter[9]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[0]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[1]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[2]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[3]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[4]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[5]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[6]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[7]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[8]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_counter[9]   ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_hsync_counter  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_set_vsync_counter  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_h_enable           ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_v_enable           ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_r                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_g                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_b                  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_hsync_state[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[6]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[5]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[4]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[3]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[2]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[1]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_vsync_state[0]     ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_state_clk          ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle             ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[0]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[1]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[2]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[3]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[4]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[5]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[6]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[7]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[8]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[9]  ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[10] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[11] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[12] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[13] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[14] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[15] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[16] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[17] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[18] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[19] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[20] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[21] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[22] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[23] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; d_toggle_counter[24] ; Output   ; --            ; --            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; OFF  ; OFF                        ;
+; clk_pin              ; Input    ; ON            ; ON            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; --   ; --                         ;
+; reset_pin            ; Input    ; ON            ; ON            ; --                    ; --                      ; --                                     ; --                              ; --                             ; --  ; --   ; --                         ;
++----------------------+----------+---------------+---------------+-----------------------+-------------------------+----------------------------------------+---------------------------------+--------------------------------+-----+------+----------------------------+
+
+
++-----------------------------------------------------------------------------------------------------+
+; Pad To Core Delay Chain Fanout                                                                      ;
++-----------------------------------------------------------------------+-------------------+---------+
+; Source Pin / Fanout                                                   ; Pad To Core Index ; Setting ;
++-----------------------------------------------------------------------+-------------------+---------+
+; clk_pin_in                                                            ;                   ;         ;
+; reset_pin_in                                                          ;                   ;         ;
+;      - vga_driver:vga_driver_unit|vsync_state_6_                      ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|h_sync_Z                            ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|v_sync_Z                            ; 0                 ; ON      ;
+;      - dly_counter_0_                                                 ; 0                 ; ON      ;
+;      - dly_counter_1_                                                 ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1_cZ ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1_cZ   ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa_cZ      ; 0                 ; ON      ;
+;      - vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa_cZ      ; 0                 ; ON      ;
++-----------------------------------------------------------------------+-------------------+---------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Control Signals                                                                                                                                                      ;
++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+; Name                                                        ; Location      ; Fan-Out ; Usage                     ; Global ; Global Resource Used ; Global Line Name ;
++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+; clk_pin                                                     ; PIN_R3        ; 82      ; Clock                     ; yes    ; Global Clock         ; GCLK3            ;
+; vga_control:vga_control_unit|toggle_sig_0_0_0_g1            ; LC_X24_Y37_N2 ; 21      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|G_16_i                           ; LC_X19_Y24_N8 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|G_2_i                            ; LC_X17_Y14_N3 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; LC_X18_Y22_N0 ; 10      ; Sync. clear               ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|h_enable_sig_1_0_0_0_g0_i_o4     ; LC_X28_Y25_N2 ; 1       ; Clock enable              ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0        ; LC_X17_Y14_N7 ; 6       ; Clock enable              ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1   ; LC_X18_Y22_N3 ; 9       ; Sync. clear               ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|un6_dly_counter_0_x              ; LC_X17_Y22_N4 ; 51      ; Async. clear, Sync. clear ; yes    ; Global Clock         ; GCLK2            ;
+; vga_driver:vga_driver_unit|un9_hsync_counterlt9             ; LC_X17_Y14_N2 ; 11      ; Sync. load                ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|un9_vsync_counterlt9             ; LC_X19_Y24_N2 ; 11      ; Sync. load                ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|v_enable_sig_1_0_0_0_g0_i_o4     ; LC_X17_Y21_N2 ; 1       ; Clock enable              ; no     ; --                   ; --               ;
+; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa        ; LC_X17_Y24_N7 ; 5       ; Clock enable              ; no     ; --                   ; --               ;
++-------------------------------------------------------------+---------------+---------+---------------------------+--------+----------------------+------------------+
+
+
++--------------------------------------------------------------------------------------------------------------------+
+; Global & Other Fast Signals                                                                                        ;
++------------------------------------------------+---------------+---------+----------------------+------------------+
+; Name                                           ; Location      ; Fan-Out ; Global Resource Used ; Global Line Name ;
++------------------------------------------------+---------------+---------+----------------------+------------------+
+; clk_pin                                        ; PIN_R3        ; 82      ; Global Clock         ; GCLK3            ;
+; vga_driver:vga_driver_unit|un6_dly_counter_0_x ; LC_X17_Y22_N4 ; 51      ; Global Clock         ; GCLK2            ;
++------------------------------------------------+---------------+---------+----------------------+------------------+
+
+
++-----------------------------------------------------------------------+
+; Non-Global High Fan-Out Signals                                       ;
++-------------------------------------------------------------+---------+
+; Name                                                        ; Fan-Out ;
++-------------------------------------------------------------+---------+
+; vga_control:vga_control_unit|toggle_sig_0_0_0_g1            ; 21      ;
+; ~STRATIX_FITTER_CREATED_GND~I                               ; 19      ;
+; vga_driver:vga_driver_unit|un9_vsync_counterlt9             ; 11      ;
+; vga_driver:vga_driver_unit|un9_hsync_counterlt9             ; 11      ;
+; vga_driver:vga_driver_unit|G_16_i                           ; 10      ;
+; vga_driver:vga_driver_unit|vsync_counter_next_1_sqmuxa      ; 10      ;
+; vga_driver:vga_driver_unit|G_2_i                            ; 10      ;
+; vga_driver:vga_driver_unit|hsync_counter_next_1_sqmuxa      ; 10      ;
+; vga_driver:vga_driver_unit|column_counter_next_0_sqmuxa_1_1 ; 10      ;
+; vga_driver:vga_driver_unit|un10_column_counter_siglto9      ; 10      ;
+; vga_driver:vga_driver_unit|line_counter_next_0_sqmuxa_1_1   ; 9       ;
+; vga_driver:vga_driver_unit|un10_line_counter_siglto8        ; 9       ;
+; dly_counter[1]                                              ; 9       ;
+; dly_counter[0]                                              ; 9       ;
+; reset_pin                                                   ; 9       ;
+; vga_driver:vga_driver_unit|vsync_counter_9                  ; 9       ;
+; vga_driver:vga_driver_unit|vsync_counter_0                  ; 9       ;
+; vga_driver:vga_driver_unit|hsync_counter_7                  ; 7       ;
+; vga_driver:vga_driver_unit|hsync_counter_6                  ; 7       ;
+; vga_driver:vga_driver_unit|hsync_counter_4                  ; 7       ;
+; vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0        ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_9                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_8                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_5                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_3                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_2                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_1                  ; 6       ;
+; vga_driver:vga_driver_unit|hsync_counter_0                  ; 6       ;
+; vga_driver:vga_driver_unit|vsync_state_1                    ; 6       ;
+; vga_driver:vga_driver_unit|hsync_state_1                    ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_7               ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_6               ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_5               ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_4               ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_3               ; 6       ;
+; vga_driver:vga_driver_unit|line_counter_sig_2               ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_8             ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_7             ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_4             ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_3             ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_2             ; 6       ;
+; vga_driver:vga_driver_unit|column_counter_sig_0             ; 6       ;
+; vga_driver:vga_driver_unit|vsync_state_next_2_sqmuxa        ; 5       ;
+; vga_control:vga_control_unit|toggle_counter_sig_cout[9]     ; 5       ;
+; vga_control:vga_control_unit|toggle_counter_sig_cout[8]     ; 5       ;
+; vga_driver:vga_driver_unit|vsync_state_0                    ; 5       ;
+; vga_driver:vga_driver_unit|vsync_state_4                    ; 5       ;
+; vga_driver:vga_driver_unit|hsync_state_4                    ; 5       ;
+; vga_driver:vga_driver_unit|d_set_hsync_counter              ; 5       ;
+; vga_driver:vga_driver_unit|vsync_counter_8                  ; 5       ;
++-------------------------------------------------------------+---------+
+
+
++-------------------------------------------------------+
+; Interconnect Usage Summary                            ;
++-----------------------------+-------------------------+
+; Interconnect Resource Type  ; Usage                   ;
++-----------------------------+-------------------------+
+; C16 interconnects           ; 37 / 4,620 ( < 1 % )    ;
+; C4 interconnects            ; 115 / 69,840 ( < 1 % )  ;
+; C8 interconnects            ; 82 / 15,568 ( < 1 % )   ;
+; DIFFIOCLKs                  ; 0 / 16 ( 0 % )          ;
+; DQS bus muxes               ; 0 / 102 ( 0 % )         ;
+; DQS-16 I/O buses            ; 0 / 8 ( 0 % )           ;
+; DQS-32 I/O buses            ; 0 / 4 ( 0 % )           ;
+; DQS-8 I/O buses             ; 0 / 20 ( 0 % )          ;
+; Direct links                ; 100 / 104,060 ( < 1 % ) ;
+; Fast regional clocks        ; 0 / 8 ( 0 % )           ;
+; Global clocks               ; 2 / 16 ( 13 % )         ;
+; I/O buses                   ; 18 / 320 ( 6 % )        ;
+; LUT chains                  ; 12 / 23,094 ( < 1 % )   ;
+; Local routing interconnects ; 109 / 25,660 ( < 1 % )  ;
+; R24 interconnects           ; 67 / 4,692 ( 1 % )      ;
+; R4 interconnects            ; 178 / 141,520 ( < 1 % ) ;
+; R8 interconnects            ; 53 / 22,956 ( < 1 % )   ;
+; Regional clocks             ; 0 / 16 ( 0 % )          ;
++-----------------------------+-------------------------+
+
+
++---------------------------------------------------------------------------+
+; LAB Logic Elements                                                        ;
++--------------------------------------------+------------------------------+
+; Number of Logic Elements  (Average = 7.52) ; Number of LABs  (Total = 23) ;
++--------------------------------------------+------------------------------+
+; 1                                          ; 4                            ;
+; 2                                          ; 1                            ;
+; 3                                          ; 0                            ;
+; 4                                          ; 0                            ;
+; 5                                          ; 1                            ;
+; 6                                          ; 1                            ;
+; 7                                          ; 1                            ;
+; 8                                          ; 0                            ;
+; 9                                          ; 1                            ;
+; 10                                         ; 14                           ;
++--------------------------------------------+------------------------------+
+
+
++-------------------------------------------------------------------+
+; LAB-wide Signals                                                  ;
++------------------------------------+------------------------------+
+; LAB-wide Signals  (Average = 1.74) ; Number of LABs  (Total = 23) ;
++------------------------------------+------------------------------+
+; 1 Async. clear                     ; 4                            ;
+; 1 Clock                            ; 19                           ;
+; 1 Clock enable                     ; 3                            ;
+; 1 Sync. clear                      ; 12                           ;
+; 1 Sync. load                       ; 2                            ;
++------------------------------------+------------------------------+
+
+
++----------------------------------------------------------------------------+
+; LAB Signals Sourced                                                        ;
++---------------------------------------------+------------------------------+
+; Number of Signals Sourced  (Average = 7.57) ; Number of LABs  (Total = 23) ;
++---------------------------------------------+------------------------------+
+; 0                                           ; 0                            ;
+; 1                                           ; 3                            ;
+; 2                                           ; 2                            ;
+; 3                                           ; 0                            ;
+; 4                                           ; 0                            ;
+; 5                                           ; 2                            ;
+; 6                                           ; 0                            ;
+; 7                                           ; 1                            ;
+; 8                                           ; 0                            ;
+; 9                                           ; 3                            ;
+; 10                                          ; 9                            ;
+; 11                                          ; 3                            ;
++---------------------------------------------+------------------------------+
+
+
++--------------------------------------------------------------------------------+
+; LAB Signals Sourced Out                                                        ;
++-------------------------------------------------+------------------------------+
+; Number of Signals Sourced Out  (Average = 5.61) ; Number of LABs  (Total = 23) ;
++-------------------------------------------------+------------------------------+
+; 0                                               ; 0                            ;
+; 1                                               ; 4                            ;
+; 2                                               ; 1                            ;
+; 3                                               ; 1                            ;
+; 4                                               ; 2                            ;
+; 5                                               ; 2                            ;
+; 6                                               ; 3                            ;
+; 7                                               ; 3                            ;
+; 8                                               ; 3                            ;
+; 9                                               ; 1                            ;
+; 10                                              ; 3                            ;
++-------------------------------------------------+------------------------------+
+
+
++-----------------------------------------------------------------------------+
+; LAB Distinct Inputs                                                         ;
++----------------------------------------------+------------------------------+
+; Number of Distinct Inputs  (Average = 10.57) ; Number of LABs  (Total = 23) ;
++----------------------------------------------+------------------------------+
+; 0                                            ; 0                            ;
+; 1                                            ; 0                            ;
+; 2                                            ; 0                            ;
+; 3                                            ; 1                            ;
+; 4                                            ; 2                            ;
+; 5                                            ; 1                            ;
+; 6                                            ; 2                            ;
+; 7                                            ; 0                            ;
+; 8                                            ; 0                            ;
+; 9                                            ; 3                            ;
+; 10                                           ; 2                            ;
+; 11                                           ; 2                            ;
+; 12                                           ; 0                            ;
+; 13                                           ; 4                            ;
+; 14                                           ; 0                            ;
+; 15                                           ; 1                            ;
+; 16                                           ; 0                            ;
+; 17                                           ; 1                            ;
+; 18                                           ; 0                            ;
+; 19                                           ; 1                            ;
+; 20                                           ; 0                            ;
+; 21                                           ; 1                            ;
+; 22                                           ; 1                            ;
++----------------------------------------------+------------------------------+
+
+
++-------------------------------------------------------------------------+
+; Fitter Device Options                                                   ;
++----------------------------------------------+--------------------------+
+; Option                                       ; Setting                  ;
++----------------------------------------------+--------------------------+
+; Enable user-supplied start-up clock (CLKUSR) ; Off                      ;
+; Enable device-wide reset (DEV_CLRn)          ; Off                      ;
+; Enable device-wide output enable (DEV_OE)    ; Off                      ;
+; Enable INIT_DONE output                      ; Off                      ;
+; Configuration scheme                         ; Passive Serial           ;
+; Error detection CRC                          ; Off                      ;
+; nWS, nRS, nCS, CS                            ; Unreserved               ;
+; RDYnBUSY                                     ; Unreserved               ;
+; Data[7..1]                                   ; Unreserved               ;
+; Data[0]                                      ; As input tri-stated      ;
+; Reserve all unused pins                      ; As output driving ground ;
+; Base pin-out file on sameframe device        ; Off                      ;
++----------------------------------------------+--------------------------+
+
+
++------------------------------------------------------------+
+; Estimated Delay Added for Hold Timing                      ;
++-----------------+----------------------+-------------------+
+; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
++-----------------+----------------------+-------------------+
+
+
++-----------------+
+; Fitter Messages ;
++-----------------+
+Info: *******************************************************************
+Info: Running Quartus II Fitter
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:30:37 2009
+Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga
+Info: Parallel compilation is enabled and will use 2 of the 2 processors detected
+Info: Selected device EP1S25F672C6 for design "vga"
+Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
+Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
+    Info: Device EP1S10F672C6 is compatible
+    Info: Device EP1S20F672C6 is compatible
+    Info: Device EP1S25F672C6_HARDCOPY_FPGA_PROTOTYPE is compatible
+Info: Fitter converted 1 user pins into dedicated programming pins
+    Info: Pin ~DATA0~ is reserved at location F16
+Warning: No exact pin location assignment(s) for 117 pins of 117 total pins
+    Info: Pin r0_pin not assigned to an exact location on the device
+    Info: Pin r1_pin not assigned to an exact location on the device
+    Info: Pin r2_pin not assigned to an exact location on the device
+    Info: Pin g0_pin not assigned to an exact location on the device
+    Info: Pin g1_pin not assigned to an exact location on the device
+    Info: Pin g2_pin not assigned to an exact location on the device
+    Info: Pin b0_pin not assigned to an exact location on the device
+    Info: Pin b1_pin not assigned to an exact location on the device
+    Info: Pin hsync_pin not assigned to an exact location on the device
+    Info: Pin vsync_pin not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[0] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[1] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[2] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[3] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[4] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[5] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[6] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[7] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[8] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[9] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[10] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[11] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[12] not assigned to an exact location on the device
+    Info: Pin seven_seg_pin[13] not assigned to an exact location on the device
+    Info: Pin d_hsync not assigned to an exact location on the device
+    Info: Pin d_vsync not assigned to an exact location on the device
+    Info: Pin d_column_counter[0] not assigned to an exact location on the device
+    Info: Pin d_column_counter[1] not assigned to an exact location on the device
+    Info: Pin d_column_counter[2] not assigned to an exact location on the device
+    Info: Pin d_column_counter[3] not assigned to an exact location on the device
+    Info: Pin d_column_counter[4] not assigned to an exact location on the device
+    Info: Pin d_column_counter[5] not assigned to an exact location on the device
+    Info: Pin d_column_counter[6] not assigned to an exact location on the device
+    Info: Pin d_column_counter[7] not assigned to an exact location on the device
+    Info: Pin d_column_counter[8] not assigned to an exact location on the device
+    Info: Pin d_column_counter[9] not assigned to an exact location on the device
+    Info: Pin d_line_counter[0] not assigned to an exact location on the device
+    Info: Pin d_line_counter[1] not assigned to an exact location on the device
+    Info: Pin d_line_counter[2] not assigned to an exact location on the device
+    Info: Pin d_line_counter[3] not assigned to an exact location on the device
+    Info: Pin d_line_counter[4] not assigned to an exact location on the device
+    Info: Pin d_line_counter[5] not assigned to an exact location on the device
+    Info: Pin d_line_counter[6] not assigned to an exact location on the device
+    Info: Pin d_line_counter[7] not assigned to an exact location on the device
+    Info: Pin d_line_counter[8] not assigned to an exact location on the device
+    Info: Pin d_set_column_counter not assigned to an exact location on the device
+    Info: Pin d_set_line_counter not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[0] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[1] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[2] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[3] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[4] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[5] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[6] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[7] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[8] not assigned to an exact location on the device
+    Info: Pin d_hsync_counter[9] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[0] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[1] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[2] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[3] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[4] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[5] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[6] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[7] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[8] not assigned to an exact location on the device
+    Info: Pin d_vsync_counter[9] not assigned to an exact location on the device
+    Info: Pin d_set_hsync_counter not assigned to an exact location on the device
+    Info: Pin d_set_vsync_counter not assigned to an exact location on the device
+    Info: Pin d_h_enable not assigned to an exact location on the device
+    Info: Pin d_v_enable not assigned to an exact location on the device
+    Info: Pin d_r not assigned to an exact location on the device
+    Info: Pin d_g not assigned to an exact location on the device
+    Info: Pin d_b not assigned to an exact location on the device
+    Info: Pin d_hsync_state[6] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[5] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[4] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[3] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[2] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[1] not assigned to an exact location on the device
+    Info: Pin d_hsync_state[0] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[6] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[5] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[4] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[3] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[2] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[1] not assigned to an exact location on the device
+    Info: Pin d_vsync_state[0] not assigned to an exact location on the device
+    Info: Pin d_state_clk not assigned to an exact location on the device
+    Info: Pin d_toggle not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[0] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[1] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[2] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[3] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[4] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[5] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[6] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[7] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[8] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[9] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[10] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[11] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[12] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[13] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[14] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[15] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[16] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[17] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[18] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[19] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[20] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[21] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[22] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[23] not assigned to an exact location on the device
+    Info: Pin d_toggle_counter[24] not assigned to an exact location on the device
+    Info: Pin clk_pin not assigned to an exact location on the device
+    Info: Pin reset_pin not assigned to an exact location on the device
+Info: Fitter is using the Classic Timing Analyzer
+Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time.
+Info: Completed User Assigned Global Signals Promotion Operation
+Info: Automatically promoted some destinations of signal "clk_pin" to use Global clock in PIN R3
+    Info: Destination "d_state_clk_out" may be non-global or may not use global clock
+Info: Automatically promoted some destinations of signal "vga_driver:vga_driver_unit|un6_dly_counter_0_x" to use Global clock
+    Info: Destination "seven_seg_pin_out_12_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_11_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_10_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_9_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_8_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_7_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_2_" may be non-global or may not use global clock
+    Info: Destination "seven_seg_pin_out_1_" may be non-global or may not use global clock
+    Info: Destination "vga_driver:vga_driver_unit|hsync_state_1_" may be non-global or may not use global clock
+    Info: Destination "vga_driver:vga_driver_unit|vsync_state_1_" may be non-global or may not use global clock
+    Info: Limited to 10 non-global destinations
+Info: Completed Auto Global Promotion Operation
+Info: Starting register packing
+Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option
+Info: Finished register packing
+Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
+    Info: Number of I/O pins in group: 116 (unused VREF, 3.3V VCCIO, 1 input, 115 output, 0 bidirectional)
+        Info: I/O standards used: 3.3-V LVTTL.
+Info: I/O bank details before I/O pin placement
+    Info: Statistics of I/O banks
+        Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  60 pins available
+        Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  59 pins available
+        Info: I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  54 pins available
+        Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used --  55 pins available
+        Info: I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  59 pins available
+        Info: I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  61 pins available
+        Info: I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  57 pins available
+        Info: I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  54 pins available
+        Info: I/O bank number 9 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available
+        Info: I/O bank number 11 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  6 pins available
+Info: Fitter preparation operations ending: elapsed time is 00:00:03
+Info: Fitter placement preparation operations beginning
+Info: Fitter placement preparation operations ending: elapsed time is 00:00:00
+Info: Fitter placement operations beginning
+Info: Fitter placement was successful
+Info: Fitter placement operations ending: elapsed time is 00:00:03
+Info: Slack time is -4.369 ns between source register "vga_driver:vga_driver_unit|hsync_state_0" and destination register "vga_driver:vga_driver_unit|line_counter_sig_2"
+    Info: + Largest register to register requirement is 0.814 ns
+    Info:   Shortest clock path from clock "clk_pin" to destination register is 3.707 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+        Info: Total cell delay = 1.701 ns ( 45.89 % )
+        Info: Total interconnect delay = 2.006 ns ( 54.11 % )
+    Info:   Longest clock path from clock "clk_pin" to destination register is 3.707 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+        Info: Total cell delay = 1.701 ns ( 45.89 % )
+        Info: Total interconnect delay = 2.006 ns ( 54.11 % )
+    Info:   Shortest clock path from clock "clk_pin" to source register is 3.707 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+        Info: Total cell delay = 1.701 ns ( 45.89 % )
+        Info: Total interconnect delay = 2.006 ns ( 54.11 % )
+    Info:   Longest clock path from clock "clk_pin" to source register is 3.707 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.141 ns) = 1.141 ns; Loc. = Unassigned; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(2.006 ns) + CELL(0.560 ns) = 3.707 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+        Info: Total cell delay = 1.701 ns ( 45.89 % )
+        Info: Total interconnect delay = 2.006 ns ( 54.11 % )
+    Info:   Micro clock to output delay of source is 0.176 ns
+    Info:   Micro setup delay of destination is 0.010 ns
+    Info: - Longest register to register delay is 5.183 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = Unassigned; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+        Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = Unassigned; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter'
+        Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = Unassigned; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9'
+        Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = Unassigned; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]'
+        Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = Unassigned; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+        Info: Total cell delay = 1.721 ns ( 33.20 % )
+        Info: Total interconnect delay = 3.462 ns ( 66.80 % )
+Info: Estimated most critical path is register to register delay of 5.183 ns
+    Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X18_Y22; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+    Info: 2: + IC(1.030 ns) + CELL(0.332 ns) = 1.362 ns; Loc. = LAB_X18_Y26; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter'
+    Info: 3: + IC(1.750 ns) + CELL(0.451 ns) = 3.563 ns; Loc. = LAB_X35_Y18; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9'
+    Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.012 ns; Loc. = LAB_X35_Y18; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]'
+    Info: 5: + IC(0.682 ns) + CELL(0.489 ns) = 5.183 ns; Loc. = LAB_X33_Y18; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+    Info: Total cell delay = 1.721 ns ( 33.20 % )
+    Info: Total interconnect delay = 3.462 ns ( 66.80 % )
+Info: Fitter routing operations beginning
+Info: Average interconnect usage is 0% of the available device resources
+    Info: Peak interconnect usage is 1% of the available device resources in the region that extends from location X11_Y12 to location X21_Y23
+Info: Fitter routing operations ending: elapsed time is 00:00:01
+Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
+    Info: Optimizations that may affect the design's routability were skipped
+    Info: Optimizations that may affect the design's timing were skipped
+Info: Completed Fixed Delay Chain Operation
+Info: Started post-fitting delay annotation
+Info: Delay annotation completed successfully
+Info: Completed Auto Delay Chain Operation
+Warning: Following 19 pins have nothing, GND, or VCC driving datain port -- changes to this connectivity may change fitting results
+    Info: Pin r0_pin has GND driving its datain port
+    Info: Pin r1_pin has GND driving its datain port
+    Info: Pin r2_pin has GND driving its datain port
+    Info: Pin g0_pin has GND driving its datain port
+    Info: Pin g1_pin has GND driving its datain port
+    Info: Pin g2_pin has GND driving its datain port
+    Info: Pin seven_seg_pin[0] has GND driving its datain port
+    Info: Pin seven_seg_pin[3] has GND driving its datain port
+    Info: Pin seven_seg_pin[4] has GND driving its datain port
+    Info: Pin seven_seg_pin[5] has GND driving its datain port
+    Info: Pin seven_seg_pin[6] has GND driving its datain port
+    Info: Pin seven_seg_pin[13] has GND driving its datain port
+    Info: Pin d_r has GND driving its datain port
+    Info: Pin d_g has GND driving its datain port
+    Info: Pin d_toggle_counter[20] has GND driving its datain port
+    Info: Pin d_toggle_counter[21] has GND driving its datain port
+    Info: Pin d_toggle_counter[22] has GND driving its datain port
+    Info: Pin d_toggle_counter[23] has GND driving its datain port
+    Info: Pin d_toggle_counter[24] has GND driving its datain port
+Warning: The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
+Info: Generated suppressed messages file /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg
+Info: Quartus II Fitter was successful. 0 errors, 3 warnings
+    Info: Peak virtual memory: 320 megabytes
+    Info: Processing ended: Tue Nov  3 17:31:10 2009
+    Info: Elapsed time: 00:00:33
+    Info: Total CPU time (on all processors): 00:00:30
+
+
++----------------------------+
+; Fitter Suppressed Messages ;
++----------------------------+
+The suppressed messages can be found in /homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/vga.fit.smsg.
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.fit.smsg b/bsp4/Designflow/ppr/sim/vga.fit.smsg
new file mode 100644 (file)
index 0000000..38de4e4
--- /dev/null
@@ -0,0 +1,8 @@
+Extra Info: Performing register packing on registers with non-logic cell location assignments
+Extra Info: Completed register packing on registers with non-logic cell location assignments
+Extra Info: Started Fast Input/Output/OE register processing
+Extra Info: Finished Fast Input/Output/OE register processing
+Extra Info: Start inferring scan chains for DSP blocks
+Extra Info: Inferring scan chains for DSP blocks is complete
+Extra Info: Moving registers into I/O cells, LUTs, RAM blocks, and DSP blocks to improve timing and density
+Extra Info: Finished moving registers into LUTs, I/O cells, DSP blocks, and RAM blocks
diff --git a/bsp4/Designflow/ppr/sim/vga.fit.summary b/bsp4/Designflow/ppr/sim/vga.fit.summary
new file mode 100644 (file)
index 0000000..e9f2365
--- /dev/null
@@ -0,0 +1,14 @@
+Fitter Status : Successful - Tue Nov  3 17:31:09 2009
+Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version
+Revision Name : vga
+Top-level Entity Name : vga
+Family : Stratix
+Device : EP1S25F672C6
+Timing Models : Final
+Total logic elements : 173 / 25,660 ( < 1 % )
+Total pins : 117 / 474 ( 25 % )
+Total virtual pins : 0
+Total memory bits : 0 / 1,944,576 ( 0 % )
+DSP block 9-bit elements : 0 / 80 ( 0 % )
+Total PLLs : 0 / 6 ( 0 % )
+Total DLLs : 0 / 2 ( 0 % )
diff --git a/bsp4/Designflow/ppr/sim/vga.flow.rpt b/bsp4/Designflow/ppr/sim/vga.flow.rpt
new file mode 100644 (file)
index 0000000..6df79f8
--- /dev/null
@@ -0,0 +1,126 @@
+Flow report for vga
+Tue Nov  3 17:31:40 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Flow Summary
+  3. Flow Settings
+  4. Flow Non-Default Global Settings
+  5. Flow Elapsed Time
+  6. Flow OS Summary
+  7. Flow Log
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------+
+; Flow Summary                                                        ;
++--------------------------+------------------------------------------+
+; Flow Status              ; Successful - Tue Nov  3 17:31:40 2009    ;
+; Quartus II Version       ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name            ; vga                                      ;
+; Top-level Entity Name    ; vga                                      ;
+; Family                   ; Stratix                                  ;
+; Device                   ; EP1S25F672C6                             ;
+; Timing Models            ; Final                                    ;
+; Met timing requirements  ; Yes                                      ;
+; Total logic elements     ; 173 / 25,660 ( < 1 % )                   ;
+; Total pins               ; 117 / 474 ( 25 % )                       ;
+; Total virtual pins       ; 0                                        ;
+; Total memory bits        ; 0 / 1,944,576 ( 0 % )                    ;
+; DSP block 9-bit elements ; 0 / 80 ( 0 % )                           ;
+; Total PLLs               ; 0 / 6 ( 0 % )                            ;
+; Total DLLs               ; 0 / 2 ( 0 % )                            ;
++--------------------------+------------------------------------------+
+
+
++-----------------------------------------+
+; Flow Settings                           ;
++-------------------+---------------------+
+; Option            ; Setting             ;
++-------------------+---------------------+
+; Start date & time ; 11/03/2009 17:30:31 ;
+; Main task         ; Compilation         ;
+; Revision Name     ; vga                 ;
++-------------------+---------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------+
+; Flow Non-Default Global Settings                                                                                      ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+; Assignment Name                    ; Value                       ; Default Value ; Entity Name ; Section Id           ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+; COMPILER_SIGNATURE_ID              ; 91815334056.125726583131339 ; --            ; --          ; --                   ;
+; EDA_DESIGN_ENTRY_SYNTHESIS_TOOL    ; Synplify Pro                ; <None>        ; --          ; --                   ;
+; EDA_INPUT_DATA_FORMAT              ; Vqm                         ; --            ; --          ; eda_design_synthesis ;
+; EDA_LMF_FILE                       ; synplcty.lmf                ; --            ; --          ; eda_design_synthesis ;
+; EDA_OUTPUT_DATA_FORMAT             ; Vhdl                        ; --            ; --          ; eda_simulation       ;
+; EDA_SIMULATION_TOOL                ; ModelSim (VHDL)             ; <None>        ; --          ; --                   ;
+; MAX_CORE_JUNCTION_TEMP             ; 85                          ; --            ; --          ; --                   ;
+; MIN_CORE_JUNCTION_TEMP             ; 0                           ; --            ; --          ; --                   ;
+; PARTITION_COLOR                    ; 16764057                    ; --            ; --          ; Top                  ;
+; PARTITION_NETLIST_TYPE             ; SOURCE                      ; --            ; --          ; Top                  ;
+; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off                         ; --            ; --          ; eda_blast_fpga       ;
++------------------------------------+-----------------------------+---------------+-------------+----------------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------+
+; Flow Elapsed Time                                                                                                           ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Module Name             ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Analysis & Synthesis    ; 00:00:03     ; 1.0                     ; --                  ; 00:00:02                           ;
+; Fitter                  ; 00:00:32     ; 1.1                     ; --                  ; 00:00:29                           ;
+; Assembler               ; 00:00:19     ; 1.0                     ; --                  ; 00:00:18                           ;
+; Classic Timing Analyzer ; 00:00:00     ; 1.0                     ; --                  ; 00:00:00                           ;
+; EDA Netlist Writer      ; 00:00:01     ; 1.0                     ; --                  ; 00:00:01                           ;
+; Total                   ; 00:00:55     ; --                      ; --                  ; 00:00:50                           ;
++-------------------------+--------------+-------------------------+---------------------+------------------------------------+
+
+
++------------------------------------------------------------------------------------+
+; Flow OS Summary                                                                    ;
++-------------------------+------------------+---------+------------+----------------+
+; Module Name             ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
++-------------------------+------------------+---------+------------+----------------+
+; Analysis & Synthesis    ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Fitter                  ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Assembler               ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; Classic Timing Analyzer ; ti14             ; Red Hat ; 5          ; x86_64         ;
+; EDA Netlist Writer      ; ti14             ; Red Hat ; 5          ; x86_64         ;
++-------------------------+------------------+---------+------------+----------------+
+
+
+------------
+; Flow Log ;
+------------
+quartus_map --read_settings_files=on --write_settings_files=off vga -c vga
+quartus_fit --read_settings_files=off --write_settings_files=off vga -c vga
+quartus_asm --read_settings_files=off --write_settings_files=off vga -c vga
+quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only
+quartus_eda --read_settings_files=off --write_settings_files=off vga -c vga
+
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.map.rpt b/bsp4/Designflow/ppr/sim/vga.map.rpt
new file mode 100644 (file)
index 0000000..4071852
--- /dev/null
@@ -0,0 +1,249 @@
+Analysis & Synthesis report for vga
+Tue Nov  3 17:30:34 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Analysis & Synthesis Summary
+  3. Analysis & Synthesis Settings
+  4. Analysis & Synthesis Source Files Read
+  5. Analysis & Synthesis Resource Usage Summary
+  6. Analysis & Synthesis Resource Utilization by Entity
+  7. Registers Removed During Synthesis
+  8. General Register Statistics
+  9. Analysis & Synthesis Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++------------------------------------------------------------------------+
+; Analysis & Synthesis Summary                                           ;
++-----------------------------+------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Tue Nov  3 17:30:34 2009    ;
+; Quartus II Version          ; 9.0 Build 132 02/25/2009 SJ Full Version ;
+; Revision Name               ; vga                                      ;
+; Top-level Entity Name       ; vga                                      ;
+; Family                      ; Stratix                                  ;
+; Total logic elements        ; 175                                      ;
+; Total pins                  ; 117                                      ;
+; Total virtual pins          ; 0                                        ;
+; Total memory bits           ; 0                                        ;
+; DSP block 9-bit elements    ; 0                                        ;
+; Total PLLs                  ; 0                                        ;
+; Total DLLs                  ; 0                                        ;
++-----------------------------+------------------------------------------+
+
+
++----------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings                                                                            ;
++----------------------------------------------------------------+--------------------+--------------------+
+; Option                                                         ; Setting            ; Default Value      ;
++----------------------------------------------------------------+--------------------+--------------------+
+; Device                                                         ; EP1S25F672C6       ;                    ;
+; Top-level entity name                                          ; vga                ; vga                ;
+; Family name                                                    ; Stratix            ; Stratix II         ;
+; Type of Retiming Performed During Resynthesis                  ; Full               ;                    ;
+; Resynthesis Optimization Effort                                ; Normal             ;                    ;
+; Physical Synthesis Level for Resynthesis                       ; Normal             ;                    ;
+; Use Generated Physical Constraints File                        ; On                 ;                    ;
+; Use smart compilation                                          ; Off                ; Off                ;
+; Restructure Multiplexers                                       ; Auto               ; Auto               ;
+; Create Debugging Nodes for IP Cores                            ; Off                ; Off                ;
+; Preserve fewer node names                                      ; On                 ; On                 ;
+; Disable OpenCore Plus hardware evaluation                      ; Off                ; Off                ;
+; Verilog Version                                                ; Verilog_2001       ; Verilog_2001       ;
+; VHDL Version                                                   ; VHDL93             ; VHDL93             ;
+; State Machine Processing                                       ; Auto               ; Auto               ;
+; Safe State Machine                                             ; Off                ; Off                ;
+; Extract Verilog State Machines                                 ; On                 ; On                 ;
+; Extract VHDL State Machines                                    ; On                 ; On                 ;
+; Ignore Verilog initial constructs                              ; Off                ; Off                ;
+; Iteration limit for constant Verilog loops                     ; 5000               ; 5000               ;
+; Iteration limit for non-constant Verilog loops                 ; 250                ; 250                ;
+; Add Pass-Through Logic to Inferred RAMs                        ; On                 ; On                 ;
+; Parallel Synthesis                                             ; Off                ; Off                ;
+; DSP Block Balancing                                            ; Auto               ; Auto               ;
+; NOT Gate Push-Back                                             ; On                 ; On                 ;
+; Power-Up Don't Care                                            ; On                 ; On                 ;
+; Remove Redundant Logic Cells                                   ; Off                ; Off                ;
+; Remove Duplicate Registers                                     ; On                 ; On                 ;
+; Ignore CARRY Buffers                                           ; Off                ; Off                ;
+; Ignore CASCADE Buffers                                         ; Off                ; Off                ;
+; Ignore GLOBAL Buffers                                          ; Off                ; Off                ;
+; Ignore ROW GLOBAL Buffers                                      ; Off                ; Off                ;
+; Ignore LCELL Buffers                                           ; Off                ; Off                ;
+; Ignore SOFT Buffers                                            ; On                 ; On                 ;
+; Limit AHDL Integers to 32 Bits                                 ; Off                ; Off                ;
+; Optimization Technique                                         ; Balanced           ; Balanced           ;
+; Carry Chain Length                                             ; 70                 ; 70                 ;
+; Auto Carry Chains                                              ; On                 ; On                 ;
+; Auto Open-Drain Pins                                           ; On                 ; On                 ;
+; Perform WYSIWYG Primitive Resynthesis                          ; Off                ; Off                ;
+; Auto ROM Replacement                                           ; On                 ; On                 ;
+; Auto RAM Replacement                                           ; On                 ; On                 ;
+; Auto DSP Block Replacement                                     ; On                 ; On                 ;
+; Auto Shift Register Replacement                                ; Auto               ; Auto               ;
+; Auto Clock Enable Replacement                                  ; On                 ; On                 ;
+; Strict RAM Replacement                                         ; Off                ; Off                ;
+; Allow Synchronous Control Signals                              ; On                 ; On                 ;
+; Force Use of Synchronous Clear Signals                         ; Off                ; Off                ;
+; Auto RAM Block Balancing                                       ; On                 ; On                 ;
+; Auto RAM to Logic Cell Conversion                              ; Off                ; Off                ;
+; Auto Resource Sharing                                          ; Off                ; Off                ;
+; Allow Any RAM Size For Recognition                             ; Off                ; Off                ;
+; Allow Any ROM Size For Recognition                             ; Off                ; Off                ;
+; Allow Any Shift Register Size For Recognition                  ; Off                ; Off                ;
+; Use LogicLock Constraints during Resource Balancing            ; On                 ; On                 ;
+; Ignore translate_off and synthesis_off directives              ; Off                ; Off                ;
+; Show Parameter Settings Tables in Synthesis Report             ; On                 ; On                 ;
+; Ignore Maximum Fan-Out Assignments                             ; Off                ; Off                ;
+; Synchronization Register Chain Length                          ; 2                  ; 2                  ;
+; PowerPlay Power Optimization                                   ; Normal compilation ; Normal compilation ;
+; HDL message level                                              ; Level2             ; Level2             ;
+; Suppress Register Optimization Related Messages                ; Off                ; Off                ;
+; Number of Removed Registers Reported in Synthesis Report       ; 100                ; 100                ;
+; Number of Inverted Registers Reported in Synthesis Report      ; 100                ; 100                ;
+; Clock MUX Protection                                           ; On                 ; On                 ;
+; Block Design Naming                                            ; Auto               ; Auto               ;
+; Synthesis Effort                                               ; Auto               ; Auto               ;
+; Allows Asynchronous Clear Usage For Shift Register Replacement ; On                 ; On                 ;
+; Analysis & Synthesis Message Level                             ; Medium             ; Medium             ;
++----------------------------------------------------------------+--------------------+--------------------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read                                                                                                                   ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type                          ; File Name with Absolute Path                                   ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+; ../../syn/rev_1/vga.vqm          ; yes             ; User Verilog Quartus Mapping File  ; /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm ;
++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+
+
+
++-------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary           ;
++---------------------------------------------+---------+
+; Resource                                    ; Usage   ;
++---------------------------------------------+---------+
+; Total logic elements                        ; 175     ;
+;     -- Combinational with no register       ; 94      ;
+;     -- Register only                        ; 3       ;
+;     -- Combinational with a register        ; 78      ;
+;                                             ;         ;
+; Logic element usage by number of LUT inputs ;         ;
+;     -- 4 input functions                    ; 61      ;
+;     -- 3 input functions                    ; 50      ;
+;     -- 2 input functions                    ; 58      ;
+;     -- 1 input functions                    ; 2       ;
+;     -- 0 input functions                    ; 0       ;
+;                                             ;         ;
+; Logic elements by mode                      ;         ;
+;     -- normal mode                          ; 123     ;
+;     -- arithmetic mode                      ; 52      ;
+;     -- qfbk mode                            ; 0       ;
+;     -- register cascade mode                ; 0       ;
+;     -- synchronous clear/load mode          ; 68      ;
+;     -- asynchronous clear/load mode         ; 22      ;
+;                                             ;         ;
+; Total registers                             ; 81      ;
+; Total logic cells in carry chains           ; 60      ;
+; I/O pins                                    ; 117     ;
+; Maximum fan-out node                        ; clk_pin ;
+; Maximum fan-out                             ; 82      ;
+; Total fan-out                               ; 833     ;
+; Average fan-out                             ; 2.85    ;
++---------------------------------------------+---------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                         ;
++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+; Compilation Hierarchy Node        ; Logic Cells ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; DSP 36x36 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name               ; Library Name ;
++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+; |vga                              ; 175 (2)     ; 81           ; 0           ; 0            ; 0       ; 0         ; 0         ; 117  ; 0            ; 94 (0)       ; 3 (0)             ; 78 (2)           ; 60 (0)          ; 0 (0)      ; |vga                              ; work         ;
+;    |vga_control:vga_control_unit| ; 42 (42)     ; 22           ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 20 (20)      ; 0 (0)             ; 22 (22)          ; 20 (20)         ; 0 (0)      ; |vga|vga_control:vga_control_unit ; work         ;
+;    |vga_driver:vga_driver_unit|   ; 131 (131)   ; 57           ; 0           ; 0            ; 0       ; 0         ; 0         ; 0    ; 0            ; 74 (74)      ; 3 (3)             ; 54 (54)          ; 40 (40)         ; 0 (0)      ; |vga|vga_driver:vga_driver_unit   ; work         ;
++-----------------------------------+-------------+--------------+-------------+--------------+---------+-----------+-----------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++---------------------------------------------------------------------------------------------+
+; Registers Removed During Synthesis                                                          ;
++----------------------------------------------------+----------------------------------------+
+; Register name                                      ; Reason for Removal                     ;
++----------------------------------------------------+----------------------------------------+
+; vga_control:vga_control_unit|toggle_counter_sig_24 ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|toggle_counter_sig_23 ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|toggle_counter_sig_22 ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|toggle_counter_sig_21 ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|toggle_counter_sig_20 ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|r                     ; Stuck at GND due to stuck port reg_out ;
+; vga_control:vga_control_unit|g                     ; Stuck at GND due to stuck port reg_out ;
+; Total Number of Removed Registers = 7              ;                                        ;
++----------------------------------------------------+----------------------------------------+
+
+
++------------------------------------------------------+
+; General Register Statistics                          ;
++----------------------------------------------+-------+
+; Statistic                                    ; Value ;
++----------------------------------------------+-------+
+; Total registers                              ; 81    ;
+; Number of registers using Synchronous Clear  ; 68    ;
+; Number of registers using Synchronous Load   ; 20    ;
+; Number of registers using Asynchronous Clear ; 22    ;
+; Number of registers using Asynchronous Load  ; 0     ;
+; Number of registers using Clock Enable       ; 12    ;
+; Number of registers using Preset             ; 0     ;
++----------------------------------------------+-------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus II Analysis & Synthesis
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:30:30 2009
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vga -c vga
+Info: Found 3 design units, including 3 entities, in source file ../../syn/rev_1/vga.vqm
+    Info: Found entity 1: vga_driver
+    Info: Found entity 2: vga_control
+    Info: Found entity 3: vga
+Info: Elaborating entity "vga" for the top level hierarchy
+Info: Elaborating entity "vga_driver" for hierarchy "vga_driver:vga_driver_unit"
+Info: Elaborating entity "vga_control" for hierarchy "vga_control:vga_control_unit"
+Info: Found the following redundant logic cells in design
+    Info (17048): Logic cell "vga_control:vga_control_unit|toggle_sig_0_0_0_g1"
+Info: Implemented 292 device resources after synthesis - the final resource count might be different
+    Info: Implemented 2 input pins
+    Info: Implemented 115 output pins
+    Info: Implemented 175 logic cells
+Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings
+    Info: Peak virtual memory: 185 megabytes
+    Info: Processing ended: Tue Nov  3 17:30:34 2009
+    Info: Elapsed time: 00:00:04
+    Info: Total CPU time (on all processors): 00:00:02
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.map.summary b/bsp4/Designflow/ppr/sim/vga.map.summary
new file mode 100644 (file)
index 0000000..309a2db
--- /dev/null
@@ -0,0 +1,12 @@
+Analysis & Synthesis Status : Successful - Tue Nov  3 17:30:34 2009
+Quartus II Version : 9.0 Build 132 02/25/2009 SJ Full Version
+Revision Name : vga
+Top-level Entity Name : vga
+Family : Stratix
+Total logic elements : 175
+Total pins : 117
+Total virtual pins : 0
+Total memory bits : 0
+DSP block 9-bit elements : 0
+Total PLLs : 0
+Total DLLs : 0
diff --git a/bsp4/Designflow/ppr/sim/vga.pin b/bsp4/Designflow/ppr/sim/vga.pin
new file mode 100644 (file)
index 0000000..10748b8
--- /dev/null
@@ -0,0 +1,748 @@
+ -- Copyright (C) 1991-2009 Altera Corporation
+ -- Your use of Altera Corporation's design tools, logic functions 
+ -- and other software and tools, and its AMPP partner logic 
+ -- functions, and any output files from any of the foregoing 
+ -- (including device programming or simulation files), and any 
+ -- associated documentation or information are expressly subject 
+ -- to the terms and conditions of the Altera Program License 
+ -- Subscription Agreement, Altera MegaCore Function License 
+ -- Agreement, or other applicable license agreement, including, 
+ -- without limitation, that your use is for the sole purpose of 
+ -- programming logic devices manufactured by Altera and sold by 
+ -- Altera or its authorized distributors.  Please refer to the 
+ -- applicable agreement for further details.
+ -- 
+ -- This is a Quartus II output file. It is for reporting purposes only, and is
+ -- not intended for use as a Quartus II input file. This file cannot be used
+ -- to make Quartus II pin assignments - for instructions on how to make pin
+ -- assignments, please see Quartus II help.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- NC            : No Connect. This pin has no internal connection to the device.
+ -- DNU           : Do Not Use. This pin MUST NOT be connected.
+ -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.5V).
+ -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
+ --                 of its bank.
+ --                                    Bank 1:         3.3V
+ --                                    Bank 2:         3.3V
+ --                                    Bank 3:         3.3V
+ --                                    Bank 4:         3.3V
+ --                                    Bank 5:         3.3V
+ --                                    Bank 6:         3.3V
+ --                                    Bank 7:         3.3V
+ --                                    Bank 8:         3.3V
+ --                                    Bank 9:         3.3V
+ --                                    Bank 11:        3.3V
+ -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
+ --                                    It can also be used to report unused dedicated pins. The connection
+ --                                    on the board for unused dedicated pins depends on whether this will
+ --                                    be used in a future design. One example is device migration. When
+ --                                    using device migration, refer to the device pin-tables. If it is a
+ --                                    GND pin in the pin table or if it will not be used in a future design
+ --                                    for another purpose the it MUST be connected to GND. If it is an unused
+ --                                    dedicated pin, then it can be connected to a valid signal on the board
+ --                                    (low, high, or toggling) if that signal is required for a different
+ --                                    revision of the design.
+ -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
+ --                                    This pin should be connected to GND. It may also be connected  to a
+ --                                    valid signal  on the board  (low, high, or toggling)  if that signal
+ --                                    is required for a different revision of the design.
+ -- GND*          : Unused  I/O  pin.   For transceiver I/O banks (Bank 13, 14, 15, 16 and 17),
+ --                connect each pin marked GND* either individually through a 10k Ohm resistor
+ --                to GND or tie all pins together and connect through a single 10k Ohm resistor
+ --                to GND.
+ --                For non-transceiver I/O banks, connect each pin marked GND* directly to GND
+ --                or leave it unconnected.
+ -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
+ -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
+ -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
+ -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
+ -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- Pin directions (input, output or bidir) are based on device operating in user mode.
+ ---------------------------------------------------------------------------------
+
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+CHIP  "vga"  ASSIGNED TO AN: EP1S25F672C6
+
+Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
+-------------------------------------------------------------------------------------------------------------
+GND                          : A2        : gnd    :                   :         :           :                
+GND*                         : A3        :        :                   :         : 3         :                
+VCCIO3                       : A4        : power  :                   : 3.3V    : 3         :                
+GND*                         : A5        :        :                   :         : 3         :                
+GND*                         : A6        :        :                   :         : 3         :                
+GND*                         : A7        :        :                   :         : 3         :                
+d_hsync_state[6]             : A8        : output : 3.3-V LVTTL       :         : 3         : N              
+seven_seg_pin[2]             : A9        : output : 3.3-V LVTTL       :         : 3         : N              
+d_hsync_state[5]             : A10       : output : 3.3-V LVTTL       :         : 3         : N              
+VCCIO3                       : A11       : power  :                   : 3.3V    : 3         :                
+d_line_counter[7]            : A12       : output : 3.3-V LVTTL       :         : 3         : N              
+GND                          : A13       : gnd    :                   :         :           :                
+GND                          : A14       : gnd    :                   :         :           :                
+GND+                         : A15       :        :                   :         : 4         :                
+VCCIO4                       : A16       : power  :                   : 3.3V    : 4         :                
+GND*                         : A17       :        :                   :         : 4         :                
+GND*                         : A18       :        :                   :         : 4         :                
+GND*                         : A19       :        :                   :         : 4         :                
+GND*                         : A20       :        :                   :         : 4         :                
+GND*                         : A21       :        :                   :         : 4         :                
+GND*                         : A22       :        :                   :         : 4         :                
+VCCIO4                       : A23       : power  :                   : 3.3V    : 4         :                
+GND*                         : A24       :        :                   :         : 4         :                
+GND                          : A25       : gnd    :                   :         :           :                
+GND*                         : AA1       :        :                   :         : 1         :                
+GND*                         : AA2       :        :                   :         : 1         :                
+d_toggle_counter[22]         : AA3       : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : AA4       :        :                   :         : 1         :                
+GND*                         : AA5       :        :                   :         : 1         :                
+GND*                         : AA6       :        :                   :         : 1         :                
+GND*                         : AA7       :        :                   :         : 8         :                
+d_vsync_counter[9]           : AA8       : output : 3.3-V LVTTL       :         : 8         : N              
+GND*                         : AA9       :        :                   :         : 8         :                
+GND*                         : AA10      :        :                   :         : 8         :                
+d_column_counter[6]          : AA11      : output : 3.3-V LVTTL       :         : 8         : N              
+d_line_counter[2]            : AA12      : output : 3.3-V LVTTL       :         : 11        : N              
+d_b                          : AA13      : output : 3.3-V LVTTL       :         : 11        : N              
+GND*                         : AA14      :        :                   :         : 11        :                
+nIO_PULLUP                   : AA15      :        :                   :         : 7         :                
+GND*                         : AA16      :        :                   :         : 7         :                
+GND*                         : AA17      :        :                   :         : 7         :                
+GND*                         : AA18      :        :                   :         : 7         :                
+GND*                         : AA19      :        :                   :         : 7         :                
+r0_pin                       : AA20      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AA21      :        :                   :         : 7         :                
+GND*                         : AA22      :        :                   :         : 6         :                
+GND*                         : AA23      :        :                   :         : 6         :                
+GND*                         : AA24      :        :                   :         : 6         :                
+d_toggle_counter[23]         : AA25      : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : AA26      :        :                   :         : 6         :                
+GND*                         : AB1       :        :                   :         : 1         :                
+GND*                         : AB2       :        :                   :         : 1         :                
+GND*                         : AB3       :        :                   :         : 1         :                
+GND*                         : AB4       :        :                   :         : 1         :                
+GND*                         : AB5       :        :                   :         : 8         :                
+GND*                         : AB6       :        :                   :         : 8         :                
+GND*                         : AB7       :        :                   :         : 8         :                
+GND*                         : AB8       :        :                   :         : 8         :                
+d_vsync_state[2]             : AB9       : output : 3.3-V LVTTL       :         : 8         : N              
+d_toggle_counter[15]         : AB10      : output : 3.3-V LVTTL       :         : 8         : N              
+d_toggle_counter[7]          : AB11      : output : 3.3-V LVTTL       :         : 8         : N              
+d_line_counter[8]            : AB12      : output : 3.3-V LVTTL       :         : 11        : N              
+GND*                         : AB13      :        :                   :         : 11        :                
+b0_pin                       : AB14      : output : 3.3-V LVTTL       :         : 11        : N              
+GND                          : AB15      : gnd    :                   :         :           :                
+GND*                         : AB16      :        :                   :         : 7         :                
+g1_pin                       : AB17      : output : 3.3-V LVTTL       :         : 7         : N              
+GND                          : AB18      : gnd    :                   :         :           :                
+r1_pin                       : AB19      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AB20      :        :                   :         : 7         :                
+GND*                         : AB21      :        :                   :         : 7         :                
+GND*                         : AB22      :        :                   :         : 7         :                
+seven_seg_pin[0]             : AB23      : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : AB24      :        :                   :         : 6         :                
+GND*                         : AB25      :        :                   :         : 6         :                
+GND*                         : AB26      :        :                   :         : 6         :                
+VCCIO1                       : AC1       : power  :                   : 3.3V    : 1         :                
+GND*                         : AC2       :        :                   :         : 1         :                
+GND*                         : AC3       :        :                   :         : 1         :                
+GND*                         : AC4       :        :                   :         : 1         :                
+GND*                         : AC5       :        :                   :         : 8         :                
+GND*                         : AC6       :        :                   :         : 8         :                
+GND*                         : AC7       :        :                   :         : 8         :                
+GND*                         : AC8       :        :                   :         : 8         :                
+d_vsync_counter[2]           : AC9       : output : 3.3-V LVTTL       :         : 8         : N              
+d_hsync_state[3]             : AC10      : output : 3.3-V LVTTL       :         : 8         : N              
+d_column_counter[5]          : AC11      : output : 3.3-V LVTTL       :         : 8         : N              
+GND+                         : AC12      :        :                   :         : 8         :                
+GND                          : AC13      : gnd    :                   :         :           :                
+GNDA_PLL6                    : AC14      : gnd    :                   :         :           :                
+GND*                         : AC15      :        :                   :         : 7         :                
+GND*                         : AC16      :        :                   :         : 7         :                
+GND*                         : AC17      :        :                   :         : 7         :                
+GND*                         : AC18      :        :                   :         : 7         :                
+GND*                         : AC19      :        :                   :         : 7         :                
+GND*                         : AC20      :        :                   :         : 7         :                
+GND*                         : AC21      :        :                   :         : 7         :                
+GND*                         : AC22      :        :                   :         : 7         :                
+GND*                         : AC23      :        :                   :         : 7         :                
+GND*                         : AC24      :        :                   :         : 6         :                
+GND*                         : AC25      :        :                   :         : 6         :                
+VCCIO6                       : AC26      : power  :                   : 3.3V    : 6         :                
+GND*                         : AD1       :        :                   :         : 1         :                
+GND*                         : AD2       :        :                   :         : 8         :                
+GND*                         : AD3       :        :                   :         : 8         :                
+GND*                         : AD4       :        :                   :         : 8         :                
+GND*                         : AD5       :        :                   :         : 8         :                
+GND*                         : AD6       :        :                   :         : 8         :                
+GND*                         : AD7       :        :                   :         : 8         :                
+GND*                         : AD8       :        :                   :         : 8         :                
+d_hsync_state[1]             : AD9       : output : 3.3-V LVTTL       :         : 8         : N              
+GND*                         : AD10      :        :                   :         : 8         :                
+GND*                         : AD11      :        :                   :         : 8         :                
+d_line_counter[3]            : AD12      : output : 3.3-V LVTTL       :         : 8         : N              
+VCCG_PLL6                    : AD13      : power  :                   : 1.5V    :           :                
+VCCA_PLL6                    : AD14      : power  :                   : 1.5V    :           :                
+d_line_counter[4]            : AD15      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AD16      :        :                   :         : 7         :                
+GND*                         : AD17      :        :                   :         : 7         :                
+GND*                         : AD18      :        :                   :         : 7         :                
+GND*                         : AD19      :        :                   :         : 7         :                
+GND*                         : AD20      :        :                   :         : 7         :                
+GND                          : AD21      : gnd    :                   :         :           :                
+GND*                         : AD22      :        :                   :         : 7         :                
+GND*                         : AD23      :        :                   :         : 7         :                
+GND*                         : AD24      :        :                   :         : 7         :                
+GND*                         : AD25      :        :                   :         : 6         :                
+GND*                         : AD26      :        :                   :         : 6         :                
+GND                          : AE1       : gnd    :                   :         :           :                
+GND*                         : AE2       :        :                   :         : 8         :                
+GND*                         : AE3       :        :                   :         : 8         :                
+GND*                         : AE4       :        :                   :         : 8         :                
+GND                          : AE5       : gnd    :                   :         :           :                
+GND*                         : AE6       :        :                   :         : 8         :                
+GND*                         : AE7       :        :                   :         : 8         :                
+d_hsync_counter[7]           : AE8       : output : 3.3-V LVTTL       :         : 8         : N              
+GND                          : AE9       : gnd    :                   :         :           :                
+d_hsync_counter[2]           : AE10      : output : 3.3-V LVTTL       :         : 8         : N              
+d_toggle_counter[19]         : AE11      : output : 3.3-V LVTTL       :         : 8         : N              
+GND+                         : AE12      :        :                   :         : 8         :                
+VCC_PLL6_OUTA                : AE13      : power  :                   : 3.3V    : 11        :                
+GNDG_PLL6                    : AE14      : gnd    :                   :         :           :                
+GND+                         : AE15      :        :                   :         : 7         :                
+GND*                         : AE16      :        :                   :         : 7         :                
+GND*                         : AE17      :        :                   :         : 7         :                
+GND*                         : AE18      :        :                   :         : 7         :                
+seven_seg_pin[5]             : AE19      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AE20      :        :                   :         : 7         :                
+GND*                         : AE21      :        :                   :         : 7         :                
+GND*                         : AE22      :        :                   :         : 7         :                
+GND*                         : AE23      :        :                   :         : 7         :                
+GND*                         : AE24      :        :                   :         : 7         :                
+GND*                         : AE25      :        :                   :         : 7         :                
+GND                          : AE26      : gnd    :                   :         :           :                
+GND                          : AF2       : gnd    :                   :         :           :                
+GND*                         : AF3       :        :                   :         : 8         :                
+VCCIO8                       : AF4       : power  :                   : 3.3V    : 8         :                
+GND*                         : AF5       :        :                   :         : 8         :                
+GND*                         : AF6       :        :                   :         : 8         :                
+r2_pin                       : AF7       : output : 3.3-V LVTTL       :         : 8         : N              
+d_vsync_counter[4]           : AF8       : output : 3.3-V LVTTL       :         : 8         : N              
+d_set_column_counter         : AF9       : output : 3.3-V LVTTL       :         : 8         : N              
+GND*                         : AF10      :        :                   :         : 8         :                
+VCCIO8                       : AF11      : power  :                   : 3.3V    : 8         :                
+d_line_counter[1]            : AF12      : output : 3.3-V LVTTL       :         : 8         : N              
+GND                          : AF13      : gnd    :                   :         :           :                
+GND                          : AF14      : gnd    :                   :         :           :                
+GND+                         : AF15      :        :                   :         : 7         :                
+VCCIO7                       : AF16      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF17      :        :                   :         : 7         :                
+GND*                         : AF18      :        :                   :         : 7         :                
+GND*                         : AF19      :        :                   :         : 7         :                
+GND*                         : AF20      :        :                   :         : 7         :                
+GND*                         : AF21      :        :                   :         : 7         :                
+GND*                         : AF22      :        :                   :         : 7         :                
+VCCIO7                       : AF23      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF24      :        :                   :         : 7         :                
+GND                          : AF25      : gnd    :                   :         :           :                
+GND                          : B1        : gnd    :                   :         :           :                
+GND                          : B2        : gnd    :                   :         :           :                
+GND*                         : B3        :        :                   :         : 3         :                
+GND*                         : B4        :        :                   :         : 3         :                
+GND*                         : B5        :        :                   :         : 3         :                
+GND*                         : B6        :        :                   :         : 3         :                
+GND*                         : B7        :        :                   :         : 3         :                
+GND*                         : B8        :        :                   :         : 3         :                
+d_vsync_counter[1]           : B9        : output : 3.3-V LVTTL       :         : 3         : N              
+seven_seg_pin[8]             : B10       : output : 3.3-V LVTTL       :         : 3         : N              
+d_column_counter[3]          : B11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND+                         : B12       :        :                   :         : 3         :                
+GNDG_PLL5                    : B13       : gnd    :                   :         :           :                
+GNDA_PLL5                    : B14       : gnd    :                   :         :           :                
+GND+                         : B15       :        :                   :         : 4         :                
+GND*                         : B16       :        :                   :         : 4         :                
+GND*                         : B17       :        :                   :         : 4         :                
+GND*                         : B18       :        :                   :         : 4         :                
+GND*                         : B19       :        :                   :         : 4         :                
+GND*                         : B20       :        :                   :         : 4         :                
+GND*                         : B21       :        :                   :         : 4         :                
+GND*                         : B22       :        :                   :         : 4         :                
+GND*                         : B23       :        :                   :         : 4         :                
+GND*                         : B24       :        :                   :         : 4         :                
+GND*                         : B25       :        :                   :         : 4         :                
+GND                          : B26       : gnd    :                   :         :           :                
+GND*                         : C1        :        :                   :         : 2         :                
+GND*                         : C2        :        :                   :         : 3         :                
+GND*                         : C3        :        :                   :         : 3         :                
+GND*                         : C4        :        :                   :         : 3         :                
+GND*                         : C5        :        :                   :         : 3         :                
+GND*                         : C6        :        :                   :         : 3         :                
+GND*                         : C7        :        :                   :         : 3         :                
+seven_seg_pin[10]            : C8        : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : C9        :        :                   :         : 3         :                
+GND*                         : C10       :        :                   :         : 3         :                
+d_toggle_counter[18]         : C11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : C12       :        :                   :         : 3         :                
+GND                          : C13       : gnd    :                   :         :           :                
+VCCG_PLL5                    : C14       : power  :                   : 1.5V    :           :                
+GND*                         : C15       :        :                   :         : 4         :                
+GND*                         : C16       :        :                   :         : 4         :                
+GND*                         : C17       :        :                   :         : 4         :                
+GND*                         : C18       :        :                   :         : 4         :                
+GND*                         : C19       :        :                   :         : 4         :                
+GND*                         : C20       :        :                   :         : 4         :                
+GND*                         : C21       :        :                   :         : 4         :                
+GND*                         : C22       :        :                   :         : 4         :                
+GND*                         : C23       :        :                   :         : 4         :                
+GND*                         : C24       :        :                   :         : 4         :                
+GND*                         : C25       :        :                   :         : 5         :                
+GND*                         : C26       :        :                   :         : 5         :                
+VCCIO2                       : D1        : power  :                   : 3.3V    : 2         :                
+GND*                         : D2        :        :                   :         : 2         :                
+GND*                         : D3        :        :                   :         : 3         :                
+GND*                         : D4        :        :                   :         : 3         :                
+GND*                         : D5        :        :                   :         : 3         :                
+GND*                         : D6        :        :                   :         : 3         :                
+GND                          : D7        : gnd    :                   :         :           :                
+GND*                         : D8        :        :                   :         : 3         :                
+GND                          : D9        : gnd    :                   :         :           :                
+d_vsync_counter[8]           : D10       : output : 3.3-V LVTTL       :         : 3         : N              
+d_toggle                     : D11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND+                         : D12       :        :                   :         : 3         :                
+VCC_PLL5_OUTA                : D13       : power  :                   : 3.3V    : 9         :                
+VCCA_PLL5                    : D14       : power  :                   : 1.5V    :           :                
+TRST                         : D15       : input  :                   :         : 4         :                
+GND*                         : D16       :        :                   :         : 4         :                
+GND*                         : D17       :        :                   :         : 4         :                
+GND*                         : D18       :        :                   :         : 4         :                
+GND*                         : D19       :        :                   :         : 4         :                
+GND*                         : D20       :        :                   :         : 4         :                
+GND*                         : D21       :        :                   :         : 4         :                
+GND*                         : D22       :        :                   :         : 4         :                
+GND*                         : D23       :        :                   :         : 4         :                
+GND*                         : D24       :        :                   :         : 5         :                
+GND*                         : D25       :        :                   :         : 5         :                
+VCCIO5                       : D26       : power  :                   : 3.3V    : 5         :                
+GND*                         : E1        :        :                   :         : 2         :                
+GND*                         : E2        :        :                   :         : 2         :                
+GND*                         : E3        :        :                   :         : 2         :                
+GND*                         : E4        :        :                   :         : 2         :                
+GND*                         : E5        :        :                   :         : 3         :                
+GND*                         : E6        :        :                   :         : 3         :                
+seven_seg_pin[7]             : E7        : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : E8        :        :                   :         : 3         :                
+d_hsync_counter[4]           : E9        : output : 3.3-V LVTTL       :         : 3         : N              
+d_toggle_counter[1]          : E10       : output : 3.3-V LVTTL       :         : 3         : N              
+d_toggle_counter[11]         : E11       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : E12       :        :                   :         : 9         :                
+GND*                         : E13       :        :                   :         : 9         :                
+GND*                         : E14       :        :                   :         : 9         :                
+TMS                          : E15       : input  :                   :         : 4         :                
+GND*                         : E16       :        :                   :         : 4         :                
+GND*                         : E17       :        :                   :         : 4         :                
+GND*                         : E18       :        :                   :         : 4         :                
+GND*                         : E19       :        :                   :         : 4         :                
+GND*                         : E20       :        :                   :         : 4         :                
+GND*                         : E21       :        :                   :         : 4         :                
+GND*                         : E22       :        :                   :         : 4         :                
+GND*                         : E23       :        :                   :         : 5         :                
+GND*                         : E24       :        :                   :         : 5         :                
+GND*                         : E25       :        :                   :         : 5         :                
+GND*                         : E26       :        :                   :         : 5         :                
+GND*                         : F1        :        :                   :         : 2         :                
+GND*                         : F2        :        :                   :         : 2         :                
+GND*                         : F3        :        :                   :         : 2         :                
+GND*                         : F4        :        :                   :         : 2         :                
+GND*                         : F5        :        :                   :         : 3         :                
+GND*                         : F6        :        :                   :         : 3         :                
+GND*                         : F7        :        :                   :         : 3         :                
+GND                          : F8        : gnd    :                   :         :           :                
+d_vsync_counter[3]           : F9        : output : 3.3-V LVTTL       :         : 3         : N              
+d_toggle_counter[2]          : F10       : output : 3.3-V LVTTL       :         : 3         : N              
+GND                          : F11       : gnd    :                   :         :           :                
+GND*                         : F12       :        :                   :         : 9         :                
+GND*                         : F13       :        :                   :         : 9         :                
+GND*                         : F14       :        :                   :         : 9         :                
+GND*                         : F15       :        :                   :         : 4         :                
+~DATA0~ / RESERVED_INPUT     : F16       : input  : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : F17       :        :                   :         : 4         :                
+GND                          : F18       : gnd    :                   :         :           :                
+GND*                         : F19       :        :                   :         : 4         :                
+GND*                         : F20       :        :                   :         : 4         :                
+GND*                         : F21       :        :                   :         : 4         :                
+GND                          : F22       : gnd    :                   :         :           :                
+GND*                         : F23       :        :                   :         : 5         :                
+GND*                         : F24       :        :                   :         : 5         :                
+GND*                         : F25       :        :                   :         : 5         :                
+GND*                         : F26       :        :                   :         : 5         :                
+GND*                         : G1        :        :                   :         : 2         :                
+GND*                         : G2        :        :                   :         : 2         :                
+GND*                         : G3        :        :                   :         : 2         :                
+GND*                         : G4        :        :                   :         : 2         :                
+GND*                         : G5        :        :                   :         : 2         :                
+GND*                         : G6        :        :                   :         : 2         :                
+d_hsync_state[4]             : G7        : output : 3.3-V LVTTL       :         : 3         : N              
+GND                          : G8        : gnd    :                   :         :           :                
+d_toggle_counter[12]         : G9        : output : 3.3-V LVTTL       :         : 3         : N              
+d_toggle_counter[8]          : G10       : output : 3.3-V LVTTL       :         : 3         : N              
+GND*                         : G11       :        :                   :         : 3         :                
+DCLK                         : G12       :        :                   :         : 3         :                
+TEMPDIODEn                   : G13       :        :                   :         :           :                
+TDO                          : G14       : output :                   :         : 4         :                
+TCK                          : G15       : input  :                   :         : 4         :                
+GND                          : G16       : gnd    :                   :         :           :                
+GND*                         : G17       :        :                   :         : 4         :                
+GND*                         : G18       :        :                   :         : 4         :                
+GND*                         : G19       :        :                   :         : 4         :                
+GND*                         : G20       :        :                   :         : 4         :                
+GND*                         : G21       :        :                   :         : 5         :                
+GND*                         : G22       :        :                   :         : 5         :                
+GND*                         : G23       :        :                   :         : 5         :                
+GND*                         : G24       :        :                   :         : 5         :                
+GND*                         : G25       :        :                   :         : 5         :                
+GND*                         : G26       :        :                   :         : 5         :                
+GND*                         : H1        :        :                   :         : 2         :                
+GND*                         : H2        :        :                   :         : 2         :                
+hsync_pin                    : H3        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : H4        :        :                   :         : 2         :                
+GND*                         : H5        :        :                   :         : 2         :                
+GND*                         : H6        :        :                   :         : 2         :                
+GND*                         : H7        :        :                   :         : 2         :                
+GND                          : H8        : gnd    :                   :         :           :                
+GND                          : H9        : gnd    :                   :         :           :                
+GND*                         : H10       :        :                   :         : 3         :                
+CONF_DONE                    : H11       :        :                   :         : 3         :                
+nCONFIG                      : H12       :        :                   :         : 3         :                
+nSTATUS                      : H13       :        :                   :         : 3         :                
+TEMPDIODEp                   : H14       :        :                   :         :           :                
+TDI                          : H15       : input  :                   :         : 4         :                
+GND*                         : H16       :        :                   :         : 4         :                
+GND                          : H17       : gnd    :                   :         :           :                
+GND*                         : H18       :        :                   :         : 4         :                
+d_toggle_counter[16]         : H19       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : H20       :        :                   :         : 5         :                
+GND*                         : H21       :        :                   :         : 5         :                
+GND*                         : H22       :        :                   :         : 5         :                
+GND*                         : H23       :        :                   :         : 5         :                
+GND*                         : H24       :        :                   :         : 5         :                
+GND*                         : H25       :        :                   :         : 5         :                
+GND*                         : H26       :        :                   :         : 5         :                
+d_toggle_counter[13]         : J1        : output : 3.3-V LVTTL       :         : 2         : N              
+d_toggle_counter[4]          : J2        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : J3        :        :                   :         : 2         :                
+GND*                         : J4        :        :                   :         : 2         :                
+d_toggle_counter[0]          : J5        : output : 3.3-V LVTTL       :         : 2         : N              
+d_toggle_counter[14]         : J6        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : J7        :        :                   :         : 2         :                
+GND*                         : J8        :        :                   :         : 2         :                
+GND                          : J9        : gnd    :                   :         :           :                
+GND                          : J10       : gnd    :                   :         :           :                
+VCCIO3                       : J11       : power  :                   : 3.3V    : 3         :                
+VCCIO3                       : J12       : power  :                   : 3.3V    : 3         :                
+GND                          : J13       : gnd    :                   :         :           :                
+GND                          : J14       : gnd    :                   :         :           :                
+VCCIO4                       : J15       : power  :                   : 3.3V    : 4         :                
+VCCIO4                       : J16       : power  :                   : 3.3V    : 4         :                
+GND                          : J17       : gnd    :                   :         :           :                
+GND                          : J18       : gnd    :                   :         :           :                
+GND*                         : J19       :        :                   :         : 5         :                
+GND*                         : J20       :        :                   :         : 5         :                
+d_toggle_counter[9]          : J21       : output : 3.3-V LVTTL       :         : 5         : N              
+d_toggle_counter[6]          : J22       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : J23       :        :                   :         : 5         :                
+GND*                         : J24       :        :                   :         : 5         :                
+GND*                         : J25       :        :                   :         : 5         :                
+d_toggle_counter[5]          : J26       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : K1        :        :                   :         : 2         :                
+GND*                         : K2        :        :                   :         : 2         :                
+d_toggle_counter[10]         : K3        : output : 3.3-V LVTTL       :         : 2         : N              
+d_toggle_counter[17]         : K4        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : K5        :        :                   :         : 2         :                
+GND*                         : K6        :        :                   :         : 2         :                
+GND*                         : K7        :        :                   :         : 2         :                
+GND*                         : K8        :        :                   :         : 2         :                
+seven_seg_pin[11]            : K9        : output : 3.3-V LVTTL       :         : 2         : N              
+GND                          : K10       : gnd    :                   :         :           :                
+VCCINT                       : K11       : power  :                   : 1.5V    :           :                
+GND                          : K12       : gnd    :                   :         :           :                
+VCCINT                       : K13       : power  :                   : 1.5V    :           :                
+GND                          : K14       : gnd    :                   :         :           :                
+VCCINT                       : K15       : power  :                   : 1.5V    :           :                
+GND                          : K16       : gnd    :                   :         :           :                
+VCCINT                       : K17       : power  :                   : 1.5V    :           :                
+GND                          : K18       : gnd    :                   :         :           :                
+GND*                         : K19       :        :                   :         : 5         :                
+GND*                         : K20       :        :                   :         : 5         :                
+GND*                         : K21       :        :                   :         : 5         :                
+GND*                         : K22       :        :                   :         : 5         :                
+GND*                         : K23       :        :                   :         : 5         :                
+GND*                         : K24       :        :                   :         : 5         :                
+GND*                         : K25       :        :                   :         : 5         :                
+d_toggle_counter[3]          : K26       : output : 3.3-V LVTTL       :         : 5         : N              
+VCCIO2                       : L1        : power  :                   : 3.3V    : 2         :                
+GND*                         : L2        :        :                   :         : 2         :                
+GND*                         : L3        :        :                   :         : 2         :                
+seven_seg_pin[12]            : L4        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : L5        :        :                   :         : 2         :                
+seven_seg_pin[1]             : L6        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : L7        :        :                   :         : 2         :                
+GND                          : L8        : gnd    :                   :         :           :                
+VCCIO2                       : L9        : power  :                   : 3.3V    : 2         :                
+VCCINT                       : L10       : power  :                   : 1.5V    :           :                
+GND                          : L11       : gnd    :                   :         :           :                
+VCCINT                       : L12       : power  :                   : 1.5V    :           :                
+GND                          : L13       : gnd    :                   :         :           :                
+VCCINT                       : L14       : power  :                   : 1.5V    :           :                
+GND                          : L15       : gnd    :                   :         :           :                
+VCCINT                       : L16       : power  :                   : 1.5V    :           :                
+GND                          : L17       : gnd    :                   :         :           :                
+VCCIO5                       : L18       : power  :                   : 3.3V    : 5         :                
+GND                          : L19       : gnd    :                   :         :           :                
+GND*                         : L20       :        :                   :         : 5         :                
+GND*                         : L21       :        :                   :         : 5         :                
+GND*                         : L22       :        :                   :         : 5         :                
+d_set_vsync_counter          : L23       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : L24       :        :                   :         : 5         :                
+GND*                         : L25       :        :                   :         : 5         :                
+VCCIO5                       : L26       : power  :                   : 3.3V    : 5         :                
+GND+                         : M1        :        :                   :         : 2         :                
+VCCG_PLL1                    : M2        : power  :                   : 1.5V    :           :                
+VCCA_PLL1                    : M3        : power  :                   : 1.5V    :           :                
+d_hsync                      : M4        : output : 3.3-V LVTTL       :         : 2         : N              
+d_column_counter[1]          : M5        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : M6        :        :                   :         : 2         :                
+d_column_counter[8]          : M7        : output : 3.3-V LVTTL       :         : 2         : N              
+d_vsync_counter[7]           : M8        : output : 3.3-V LVTTL       :         : 2         : N              
+seven_seg_pin[9]             : M9        : output : 3.3-V LVTTL       :         : 2         : N              
+GND                          : M10       : gnd    :                   :         :           :                
+VCCINT                       : M11       : power  :                   : 1.5V    :           :                
+GND                          : M12       : gnd    :                   :         :           :                
+VCCINT                       : M13       : power  :                   : 1.5V    :           :                
+GND                          : M14       : gnd    :                   :         :           :                
+VCCINT                       : M15       : power  :                   : 1.5V    :           :                
+GND                          : M16       : gnd    :                   :         :           :                
+VCCINT                       : M17       : power  :                   : 1.5V    :           :                
+d_column_counter[2]          : M18       : output : 3.3-V LVTTL       :         : 5         : N              
+d_h_enable                   : M19       : output : 3.3-V LVTTL       :         : 5         : N              
+d_set_line_counter           : M20       : output : 3.3-V LVTTL       :         : 5         : N              
+d_column_counter[0]          : M21       : output : 3.3-V LVTTL       :         : 5         : N              
+d_vsync_state[1]             : M22       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : M23       :        :                   :         : 5         :                
+GND+                         : M24       :        :                   :         : 5         :                
+GND+                         : M25       :        :                   :         : 5         :                
+GND+                         : M26       :        :                   :         : 5         :                
+GND                          : N1        : gnd    :                   :         :           :                
+GND+                         : N2        :        :                   :         : 2         :                
+GND+                         : N3        :        :                   :         : 2         :                
+GNDG_PLL1                    : N4        : gnd    :                   :         :           :                
+GNDA_PLL1                    : N5        : gnd    :                   :         :           :                
+d_vsync_counter[0]           : N6        : output : 3.3-V LVTTL       :         : 2         : N              
+d_state_clk                  : N7        : output : 3.3-V LVTTL       :         : 2         : N              
+d_vsync_state[6]             : N8        : output : 3.3-V LVTTL       :         : 2         : N              
+GND                          : N9        : gnd    :                   :         :           :                
+VCCINT                       : N10       : power  :                   : 1.5V    :           :                
+GND                          : N11       : gnd    :                   :         :           :                
+VCCINT                       : N12       : power  :                   : 1.5V    :           :                
+GND                          : N13       : gnd    :                   :         :           :                
+VCCINT                       : N14       : power  :                   : 1.5V    :           :                
+GND                          : N15       : gnd    :                   :         :           :                
+VCCINT                       : N16       : power  :                   : 1.5V    :           :                
+GND                          : N17       : gnd    :                   :         :           :                
+GND                          : N18       : gnd    :                   :         :           :                
+vsync_pin                    : N19       : output : 3.3-V LVTTL       :         : 6         : N              
+d_vsync_counter[5]           : N20       : output : 3.3-V LVTTL       :         : 5         : N              
+d_column_counter[9]          : N21       : output : 3.3-V LVTTL       :         : 5         : N              
+GNDG_PLL4                    : N22       : gnd    :                   :         :           :                
+GNDA_PLL4                    : N23       : gnd    :                   :         :           :                
+VCCG_PLL4                    : N24       : power  :                   : 1.5V    :           :                
+VCCA_PLL4                    : N25       : power  :                   : 1.5V    :           :                
+GND                          : N26       : gnd    :                   :         :           :                
+GND                          : P1        : gnd    :                   :         :           :                
+GNDG_PLL2                    : P2        : gnd    :                   :         :           :                
+GNDA_PLL2                    : P3        : gnd    :                   :         :           :                
+VCCG_PLL2                    : P4        : power  :                   : 1.5V    :           :                
+VCCA_PLL2                    : P5        : power  :                   : 1.5V    :           :                
+d_vsync_counter[6]           : P6        : output : 3.3-V LVTTL       :         : 1         : N              
+d_vsync_state[3]             : P7        : output : 3.3-V LVTTL       :         : 1         : N              
+d_vsync_state[0]             : P8        : output : 3.3-V LVTTL       :         : 2         : N              
+GND                          : P9        : gnd    :                   :         :           :                
+GND                          : P10       : gnd    :                   :         :           :                
+VCCINT                       : P11       : power  :                   : 1.5V    :           :                
+GND                          : P12       : gnd    :                   :         :           :                
+VCCINT                       : P13       : power  :                   : 1.5V    :           :                
+GND                          : P14       : gnd    :                   :         :           :                
+VCCINT                       : P15       : power  :                   : 1.5V    :           :                
+GND                          : P16       : gnd    :                   :         :           :                
+VCCINT                       : P17       : power  :                   : 1.5V    :           :                
+GND                          : P18       : gnd    :                   :         :           :                
+d_vsync_state[5]             : P19       : output : 3.3-V LVTTL       :         : 6         : N              
+d_vsync                      : P20       : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : P21       :        :                   :         : 6         :                
+VCCA_PLL3                    : P22       : power  :                   : 1.5V    :           :                
+VCCG_PLL3                    : P23       : power  :                   : 1.5V    :           :                
+reset_pin                    : P24       : input  : 3.3-V LVTTL       :         : 6         : N              
+GND+                         : P25       :        :                   :         : 6         :                
+GND                          : P26       : gnd    :                   :         :           :                
+GND+                         : R1        :        :                   :         : 1         :                
+GND+                         : R2        :        :                   :         : 1         :                
+clk_pin                      : R3        : input  : 3.3-V LVTTL       :         : 1         : N              
+d_line_counter[0]            : R4        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : R5        :        :                   :         : 1         :                
+d_hsync_state[0]             : R6        : output : 3.3-V LVTTL       :         : 1         : N              
+d_vsync_state[4]             : R7        : output : 3.3-V LVTTL       :         : 1         : N              
+d_v_enable                   : R8        : output : 3.3-V LVTTL       :         : 1         : N              
+d_column_counter[4]          : R9        : output : 3.3-V LVTTL       :         : 1         : N              
+VCCINT                       : R10       : power  :                   : 1.5V    :           :                
+GND                          : R11       : gnd    :                   :         :           :                
+VCCINT                       : R12       : power  :                   : 1.5V    :           :                
+GND                          : R13       : gnd    :                   :         :           :                
+VCCINT                       : R14       : power  :                   : 1.5V    :           :                
+GND                          : R15       : gnd    :                   :         :           :                
+VCCINT                       : R16       : power  :                   : 1.5V    :           :                
+GND                          : R17       : gnd    :                   :         :           :                
+GND                          : R18       : gnd    :                   :         :           :                
+GND*                         : R19       :        :                   :         : 6         :                
+GND*                         : R20       :        :                   :         : 6         :                
+GND*                         : R21       :        :                   :         : 6         :                
+GND*                         : R22       :        :                   :         : 6         :                
+d_line_counter[6]            : R23       : output : 3.3-V LVTTL       :         : 6         : N              
+GNDA_PLL3                    : R24       : gnd    :                   :         :           :                
+GNDG_PLL3                    : R25       : gnd    :                   :         :           :                
+GND+                         : R26       :        :                   :         : 6         :                
+VCCIO1                       : T1        : power  :                   : 3.3V    : 1         :                
+d_set_hsync_counter          : T2        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : T3        :        :                   :         : 1         :                
+d_hsync_counter[5]           : T4        : output : 3.3-V LVTTL       :         : 1         : N              
+d_hsync_counter[9]           : T5        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : T6        :        :                   :         : 1         :                
+GND*                         : T7        :        :                   :         : 1         :                
+GND                          : T8        : gnd    :                   :         :           :                
+VCCIO1                       : T9        : power  :                   : 3.3V    : 1         :                
+GND                          : T10       : gnd    :                   :         :           :                
+VCCINT                       : T11       : power  :                   : 1.5V    :           :                
+GND                          : T12       : gnd    :                   :         :           :                
+VCCINT                       : T13       : power  :                   : 1.5V    :           :                
+GND                          : T14       : gnd    :                   :         :           :                
+VCCINT                       : T15       : power  :                   : 1.5V    :           :                
+GND                          : T16       : gnd    :                   :         :           :                
+VCCINT                       : T17       : power  :                   : 1.5V    :           :                
+VCCIO6                       : T18       : power  :                   : 3.3V    : 6         :                
+GND*                         : T19       :        :                   :         : 6         :                
+GND*                         : T20       :        :                   :         : 6         :                
+GND*                         : T21       :        :                   :         : 6         :                
+GND*                         : T22       :        :                   :         : 6         :                
+GND*                         : T23       :        :                   :         : 6         :                
+GND*                         : T24       :        :                   :         : 6         :                
+GND*                         : T25       :        :                   :         : 6         :                
+VCCIO6                       : T26       : power  :                   : 3.3V    : 6         :                
+d_hsync_counter[0]           : U1        : output : 3.3-V LVTTL       :         : 1         : N              
+d_hsync_counter[8]           : U2        : output : 3.3-V LVTTL       :         : 1         : N              
+d_hsync_counter[3]           : U3        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : U4        :        :                   :         : 1         :                
+GND*                         : U5        :        :                   :         : 1         :                
+GND*                         : U6        :        :                   :         : 1         :                
+d_hsync_counter[1]           : U7        : output : 3.3-V LVTTL       :         : 1         : N              
+d_hsync_counter[6]           : U8        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : U9        :        :                   :         : 1         :                
+VCCINT                       : U10       : power  :                   : 1.5V    :           :                
+GND                          : U11       : gnd    :                   :         :           :                
+VCCINT                       : U12       : power  :                   : 1.5V    :           :                
+GND                          : U13       : gnd    :                   :         :           :                
+VCCINT                       : U14       : power  :                   : 1.5V    :           :                
+GND                          : U15       : gnd    :                   :         :           :                
+VCCINT                       : U16       : power  :                   : 1.5V    :           :                
+GND                          : U17       : gnd    :                   :         :           :                
+GND*                         : U18       :        :                   :         : 6         :                
+GND*                         : U19       :        :                   :         : 6         :                
+GND*                         : U20       :        :                   :         : 6         :                
+GND*                         : U21       :        :                   :         : 6         :                
+d_toggle_counter[24]         : U22       : output : 3.3-V LVTTL       :         : 6         : N              
+seven_seg_pin[13]            : U23       : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : U24       :        :                   :         : 6         :                
+g2_pin                       : U25       : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : U26       :        :                   :         : 6         :                
+GND*                         : V1        :        :                   :         : 1         :                
+seven_seg_pin[3]             : V2        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : V3        :        :                   :         : 1         :                
+seven_seg_pin[6]             : V4        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : V5        :        :                   :         : 1         :                
+d_g                          : V6        : output : 3.3-V LVTTL       :         : 1         : N              
+GND                          : V7        : gnd    :                   :         :           :                
+GND*                         : V8        :        :                   :         : 1         :                
+GND                          : V9        : gnd    :                   :         :           :                
+GND                          : V10       : gnd    :                   :         :           :                
+VCCIO8                       : V11       : power  :                   : 3.3V    : 8         :                
+VCCIO8                       : V12       : power  :                   : 3.3V    : 8         :                
+GND                          : V13       : gnd    :                   :         :           :                
+GND                          : V14       : gnd    :                   :         :           :                
+VCCIO7                       : V15       : power  :                   : 3.3V    : 7         :                
+VCCIO7                       : V16       : power  :                   : 3.3V    : 7         :                
+GND                          : V17       : gnd    :                   :         :           :                
+GND                          : V18       : gnd    :                   :         :           :                
+GND*                         : V19       :        :                   :         : 6         :                
+GND                          : V20       : gnd    :                   :         :           :                
+GND*                         : V21       :        :                   :         : 6         :                
+GND*                         : V22       :        :                   :         : 6         :                
+GND*                         : V23       :        :                   :         : 6         :                
+GND*                         : V24       :        :                   :         : 6         :                
+GND*                         : V25       :        :                   :         : 6         :                
+d_toggle_counter[21]         : V26       : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : W1        :        :                   :         : 1         :                
+GND*                         : W2        :        :                   :         : 1         :                
+GND*                         : W3        :        :                   :         : 1         :                
+GND*                         : W4        :        :                   :         : 1         :                
+GND*                         : W5        :        :                   :         : 1         :                
+g0_pin                       : W6        : output : 3.3-V LVTTL       :         : 1         : N              
+seven_seg_pin[4]             : W7        : output : 3.3-V LVTTL       :         : 1         : N              
+GND*                         : W8        :        :                   :         : 1         :                
+d_hsync_state[2]             : W9        : output : 3.3-V LVTTL       :         : 8         : N              
+d_line_counter[5]            : W10       : output : 3.3-V LVTTL       :         : 8         : N              
+GND                          : W11       : gnd    :                   :         :           :                
+PLL_ENA                      : W12       :        :                   :         : 8         :                
+MSEL2                        : W13       :        :                   :         : 8         :                
+nCEO                         : W14       :        :                   :         : 7         :                
+b1_pin                       : W15       : output : 3.3-V LVTTL       :         : 7         : N              
+PORSEL                       : W16       :        :                   :         : 7         :                
+GND*                         : W17       :        :                   :         : 7         :                
+GND*                         : W18       :        :                   :         : 7         :                
+GND*                         : W19       :        :                   :         : 6         :                
+GND*                         : W20       :        :                   :         : 6         :                
+d_toggle_counter[20]         : W21       : output : 3.3-V LVTTL       :         : 6         : N              
+GND*                         : W22       :        :                   :         : 6         :                
+GND*                         : W23       :        :                   :         : 6         :                
+GND*                         : W24       :        :                   :         : 6         :                
+GND*                         : W25       :        :                   :         : 6         :                
+GND*                         : W26       :        :                   :         : 6         :                
+GND*                         : Y1        :        :                   :         : 1         :                
+GND*                         : Y2        :        :                   :         : 1         :                
+GND*                         : Y3        :        :                   :         : 1         :                
+GND*                         : Y4        :        :                   :         : 1         :                
+GND*                         : Y5        :        :                   :         : 1         :                
+GND*                         : Y6        :        :                   :         : 1         :                
+GND                          : Y7        : gnd    :                   :         :           :                
+GND*                         : Y8        :        :                   :         : 8         :                
+GND*                         : Y9        :        :                   :         : 8         :                
+GND*                         : Y10       :        :                   :         : 8         :                
+d_column_counter[7]          : Y11       : output : 3.3-V LVTTL       :         : 8         : N              
+MSEL0                        : Y12       :        :                   :         : 8         :                
+MSEL1                        : Y13       :        :                   :         : 8         :                
+nCE                          : Y14       :        :                   :         : 7         :                
+VCCSEL                       : Y15       :        :                   :         : 7         :                
+GND*                         : Y16       :        :                   :         : 7         :                
+GND*                         : Y17       :        :                   :         : 7         :                
+d_r                          : Y18       : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : Y19       :        :                   :         : 7         :                
+GND*                         : Y20       :        :                   :         : 7         :                
+GND                          : Y21       : gnd    :                   :         :           :                
+GND*                         : Y22       :        :                   :         : 6         :                
+GND*                         : Y23       :        :                   :         : 6         :                
+GND*                         : Y24       :        :                   :         : 6         :                
+GND*                         : Y25       :        :                   :         : 6         :                
+GND*                         : Y26       :        :                   :         : 6         :                
diff --git a/bsp4/Designflow/ppr/sim/vga.pof b/bsp4/Designflow/ppr/sim/vga.pof
new file mode 100644 (file)
index 0000000..3689c14
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/vga.pof differ
diff --git a/bsp4/Designflow/ppr/sim/vga.qpf b/bsp4/Designflow/ppr/sim/vga.qpf
new file mode 100644 (file)
index 0000000..2624438
--- /dev/null
@@ -0,0 +1,30 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2009 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Altera Program License 
+# Subscription Agreement, Altera MegaCore Function License 
+# Agreement, or other applicable license agreement, including, 
+# without limitation, that your use is for the sole purpose of 
+# programming logic devices manufactured by Altera and sold by 
+# Altera or its authorized distributors.  Please refer to the 
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II
+# Version 9.0 Build 132 02/25/2009 SJ Full Version
+# Date created = 17:30:04  November 03, 2009
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "9.0"
+DATE = "17:30:04  November 03, 2009"
+
+# Revisions
+
+PROJECT_REVISION = "vga"
diff --git a/bsp4/Designflow/ppr/sim/vga.qsf b/bsp4/Designflow/ppr/sim/vga.qsf
new file mode 100644 (file)
index 0000000..47a2758
--- /dev/null
@@ -0,0 +1,61 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 1991-2009 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Altera Program License 
+# Subscription Agreement, Altera MegaCore Function License 
+# Agreement, or other applicable license agreement, including, 
+# without limitation, that your use is for the sole purpose of 
+# programming logic devices manufactured by Altera and sold by 
+# Altera or its authorized distributors.  Please refer to the 
+# applicable agreement for further details.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus II
+# Version 9.0 Build 132 02/25/2009 SJ Full Version
+# Date created = 17:30:04  November 03, 2009
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+#              vga_assignment_defaults.qdf
+#    If this file doesn't exist, see file:
+#              assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+#    file is updated automatically by the Quartus II software
+#    and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY Stratix
+set_global_assignment -name DEVICE EP1S25F672C6
+set_global_assignment -name TOP_LEVEL_ENTITY vga
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:30:04  NOVEMBER 03, 2009"
+set_global_assignment -name LAST_QUARTUS_VERSION 9.0
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro"
+set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis
+set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
+set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
+set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672
+set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
+set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/sim/vga.qws b/bsp4/Designflow/ppr/sim/vga.qws
new file mode 100644 (file)
index 0000000..9bb7bd7
--- /dev/null
@@ -0,0 +1,11 @@
+
+
+[ProjectWorkspace]
+ptn_Child1=Frames
+
+[ProjectWorkspace.Frames]
+ptn_Child1=ChildFrames
+
+[ProjectWorkspace.Frames.ChildFrames]
+ptn_Child1=Document-0
+ptn_Child2=Document-1
\ No newline at end of file
diff --git a/bsp4/Designflow/ppr/sim/vga.sof b/bsp4/Designflow/ppr/sim/vga.sof
new file mode 100644 (file)
index 0000000..ab7f888
Binary files /dev/null and b/bsp4/Designflow/ppr/sim/vga.sof differ
diff --git a/bsp4/Designflow/ppr/sim/vga.tan.rpt b/bsp4/Designflow/ppr/sim/vga.tan.rpt
new file mode 100644 (file)
index 0000000..66d43b8
--- /dev/null
@@ -0,0 +1,671 @@
+Classic Timing Analyzer report for vga
+Tue Nov  3 17:31:35 2009
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+
+
+---------------------
+; Table of Contents ;
+---------------------
+  1. Legal Notice
+  2. Timing Analyzer Summary
+  3. Timing Analyzer Settings
+  4. Clock Settings Summary
+  5. Parallel Compilation
+  6. Clock Setup: 'clk_pin'
+  7. tsu
+  8. tco
+  9. tpd
+ 10. th
+ 11. Timing Analyzer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 1991-2009 Altera Corporation
+Your use of Altera Corporation's design tools, logic functions 
+and other software and tools, and its AMPP partner logic 
+functions, and any output files from any of the foregoing 
+(including device programming or simulation files), and any 
+associated documentation or information are expressly subject 
+to the terms and conditions of the Altera Program License 
+Subscription Agreement, Altera MegaCore Function License 
+Agreement, or other applicable license agreement, including, 
+without limitation, that your use is for the sole purpose of 
+programming logic devices manufactured by Altera and sold by 
+Altera or its authorized distributors.  Please refer to the 
+applicable agreement for further details.
+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Timing Analyzer Summary                                                                                                                                                                                                   ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+
+; Type                         ; Slack ; Required Time ; Actual Time                      ; From                                     ; To                                            ; From Clock ; To Clock ; Failed Paths ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+
+; Worst-case tsu               ; N/A   ; None          ; 7.334 ns                         ; reset_pin                                ; vga_driver:vga_driver_unit|hsync_state_0      ; --         ; clk_pin  ; 0            ;
+; Worst-case tco               ; N/A   ; None          ; 10.905 ns                        ; vga_driver:vga_driver_unit|vsync_state_0 ; d_set_vsync_counter                           ; clk_pin    ; --       ; 0            ;
+; Worst-case tpd               ; N/A   ; None          ; 12.465 ns                        ; reset_pin                                ; seven_seg_pin[2]                              ; --         ; --       ; 0            ;
+; Worst-case th                ; N/A   ; None          ; -3.191 ns                        ; reset_pin                                ; vga_driver:vga_driver_unit|vsync_state_6      ; --         ; clk_pin  ; 0            ;
+; Clock Setup: 'clk_pin'       ; N/A   ; None          ; 182.42 MHz ( period = 5.482 ns ) ; vga_driver:vga_driver_unit|hsync_state_0 ; vga_driver:vga_driver_unit|line_counter_sig_2 ; clk_pin    ; clk_pin  ; 0            ;
+; Total number of failed paths ;       ;               ;                                  ;                                          ;                                               ;            ;          ; 0            ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------+-----------------------------------------------+------------+----------+--------------+
+
+
++--------------------------------------------------------------------------------------------------------------------+
+; Timing Analyzer Settings                                                                                           ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+; Option                                                              ; Setting            ; From ; To ; Entity Name ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+; Device Name                                                         ; EP1S25F672C6       ;      ;    ;             ;
+; Timing Models                                                       ; Final              ;      ;    ;             ;
+; Default hold multicycle                                             ; Same as Multicycle ;      ;    ;             ;
+; Cut paths between unrelated clock domains                           ; On                 ;      ;    ;             ;
+; Cut off read during write signal paths                              ; On                 ;      ;    ;             ;
+; Cut off feedback from I/O pins                                      ; On                 ;      ;    ;             ;
+; Report Combined Fast/Slow Timing                                    ; Off                ;      ;    ;             ;
+; Ignore Clock Settings                                               ; Off                ;      ;    ;             ;
+; Analyze latches as synchronous elements                             ; On                 ;      ;    ;             ;
+; Enable Recovery/Removal analysis                                    ; Off                ;      ;    ;             ;
+; Enable Clock Latency                                                ; Off                ;      ;    ;             ;
+; Use TimeQuest Timing Analyzer                                       ; Off                ;      ;    ;             ;
+; Minimum Core Junction Temperature                                   ; 0                  ;      ;    ;             ;
+; Maximum Core Junction Temperature                                   ; 85                 ;      ;    ;             ;
+; Number of source nodes to report per destination node               ; 10                 ;      ;    ;             ;
+; Number of destination nodes to report                               ; 10                 ;      ;    ;             ;
+; Number of paths to report                                           ; 200                ;      ;    ;             ;
+; Report Minimum Timing Checks                                        ; Off                ;      ;    ;             ;
+; Use Fast Timing Models                                              ; Off                ;      ;    ;             ;
+; Report IO Paths Separately                                          ; Off                ;      ;    ;             ;
+; Perform Multicorner Analysis                                        ; Off                ;      ;    ;             ;
+; Reports the worst-case path for each clock domain and analysis      ; Off                ;      ;    ;             ;
+; Removes common clock path pessimism (CCPP) during slack computation ; Off                ;      ;    ;             ;
+; Output I/O Timing Endpoint                                          ; Near End           ;      ;    ;             ;
++---------------------------------------------------------------------+--------------------+------+----+-------------+
+
+
++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Settings Summary                                                                                                                                                             ;
++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
+; Clock Node Name ; Clock Setting Name ; Type     ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ;
++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
+; clk_pin         ;                    ; User Pin ; None             ; 0.000 ns      ; 0.000 ns     ; --       ; N/A                   ; N/A                 ; N/A    ;              ;
++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
+
+
++------------------------------------------+
+; Parallel Compilation                     ;
++----------------------------+-------------+
+; Processors                 ; Number      ;
++----------------------------+-------------+
+; Number detected on machine ; 2           ;
+; Maximum allowed            ; 2           ;
+;                            ;             ;
+; Average used               ; 1.00        ;
+; Maximum used               ; 1           ;
+;                            ;             ;
+; Usage by Processor         ; % Time Used ;
+;     1 processor            ; 100.0%      ;
+;     2 processors           ;   0.0%      ;
++----------------------------+-------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Setup: 'clk_pin'                                                                                                                                                                                                                                                                                        ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
+; Slack                                   ; Actual fmax (period)                                ; From                                            ; To                                              ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
+; N/A                                     ; 182.42 MHz ( period = 5.482 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_2   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.270 ns                ;
+; N/A                                     ; 188.08 MHz ( period = 5.317 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_1      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.127 ns                ;
+; N/A                                     ; 188.08 MHz ( period = 5.317 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_1      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.127 ns                ;
+; N/A                                     ; 188.08 MHz ( period = 5.317 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_1      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.127 ns                ;
+; N/A                                     ; 188.57 MHz ( period = 5.303 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.176 ns                ;
+; N/A                                     ; 188.57 MHz ( period = 5.303 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.176 ns                ;
+; N/A                                     ; 189.25 MHz ( period = 5.284 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_7   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.072 ns                ;
+; N/A                                     ; 190.84 MHz ( period = 5.240 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.113 ns                ;
+; N/A                                     ; 190.84 MHz ( period = 5.240 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.113 ns                ;
+; N/A                                     ; 191.13 MHz ( period = 5.232 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.046 ns                ;
+; N/A                                     ; 191.13 MHz ( period = 5.232 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.046 ns                ;
+; N/A                                     ; 191.24 MHz ( period = 5.229 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_4   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.017 ns                ;
+; N/A                                     ; 191.75 MHz ( period = 5.215 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.088 ns                ;
+; N/A                                     ; 191.75 MHz ( period = 5.215 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.088 ns                ;
+; N/A                                     ; 192.27 MHz ( period = 5.201 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_8   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.989 ns                ;
+; N/A                                     ; 192.49 MHz ( period = 5.195 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_5   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.983 ns                ;
+; N/A                                     ; 192.94 MHz ( period = 5.183 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.993 ns                ;
+; N/A                                     ; 192.94 MHz ( period = 5.183 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.993 ns                ;
+; N/A                                     ; 192.94 MHz ( period = 5.183 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.993 ns                ;
+; N/A                                     ; 193.42 MHz ( period = 5.170 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.043 ns                ;
+; N/A                                     ; 193.42 MHz ( period = 5.170 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 5.043 ns                ;
+; N/A                                     ; 194.17 MHz ( period = 5.150 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.964 ns                ;
+; N/A                                     ; 194.17 MHz ( period = 5.150 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.964 ns                ;
+; N/A                                     ; 194.55 MHz ( period = 5.140 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_6   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.928 ns                ;
+; N/A                                     ; 194.70 MHz ( period = 5.136 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_3   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.924 ns                ;
+; N/A                                     ; 195.85 MHz ( period = 5.106 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_4      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.916 ns                ;
+; N/A                                     ; 195.85 MHz ( period = 5.106 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_4      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.916 ns                ;
+; N/A                                     ; 195.85 MHz ( period = 5.106 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_4      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.916 ns                ;
+; N/A                                     ; 196.66 MHz ( period = 5.085 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.899 ns                ;
+; N/A                                     ; 196.66 MHz ( period = 5.085 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.899 ns                ;
+; N/A                                     ; 196.66 MHz ( period = 5.085 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.899 ns                ;
+; N/A                                     ; 196.66 MHz ( period = 5.085 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_4      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.958 ns                ;
+; N/A                                     ; 196.66 MHz ( period = 5.085 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_4      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.958 ns                ;
+; N/A                                     ; 197.04 MHz ( period = 5.075 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_1   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.863 ns                ;
+; N/A                                     ; 197.20 MHz ( period = 5.071 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_0      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.944 ns                ;
+; N/A                                     ; 197.20 MHz ( period = 5.071 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_0      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.944 ns                ;
+; N/A                                     ; 199.12 MHz ( period = 5.022 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.836 ns                ;
+; N/A                                     ; 199.12 MHz ( period = 5.022 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.836 ns                ;
+; N/A                                     ; 199.12 MHz ( period = 5.022 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.836 ns                ;
+; N/A                                     ; 199.44 MHz ( period = 5.014 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.769 ns                ;
+; N/A                                     ; 199.44 MHz ( period = 5.014 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.769 ns                ;
+; N/A                                     ; 199.44 MHz ( period = 5.014 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.769 ns                ;
+; N/A                                     ; 200.12 MHz ( period = 4.997 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.811 ns                ;
+; N/A                                     ; 200.12 MHz ( period = 4.997 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.811 ns                ;
+; N/A                                     ; 200.12 MHz ( period = 4.997 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.811 ns                ;
+; N/A                                     ; 201.01 MHz ( period = 4.975 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.848 ns                ;
+; N/A                                     ; 201.01 MHz ( period = 4.975 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.848 ns                ;
+; N/A                                     ; 201.29 MHz ( period = 4.968 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_9      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.841 ns                ;
+; N/A                                     ; 201.29 MHz ( period = 4.968 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_9      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.841 ns                ;
+; N/A                                     ; 201.33 MHz ( period = 4.967 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_3      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.777 ns                ;
+; N/A                                     ; 201.33 MHz ( period = 4.967 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_3      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.777 ns                ;
+; N/A                                     ; 201.33 MHz ( period = 4.967 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_3      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.777 ns                ;
+; N/A                                     ; 201.94 MHz ( period = 4.952 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.766 ns                ;
+; N/A                                     ; 201.94 MHz ( period = 4.952 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.766 ns                ;
+; N/A                                     ; 201.94 MHz ( period = 4.952 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.766 ns                ;
+; N/A                                     ; 202.76 MHz ( period = 4.932 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.687 ns                ;
+; N/A                                     ; 202.76 MHz ( period = 4.932 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.687 ns                ;
+; N/A                                     ; 202.76 MHz ( period = 4.932 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.687 ns                ;
+; N/A                                     ; 204.12 MHz ( period = 4.899 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_1   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.753 ns                ;
+; N/A                                     ; 204.12 MHz ( period = 4.899 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_2   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.753 ns                ;
+; N/A                                     ; 204.12 MHz ( period = 4.899 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_3   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.753 ns                ;
+; N/A                                     ; 204.50 MHz ( period = 4.890 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.645 ns                ;
+; N/A                                     ; 204.54 MHz ( period = 4.889 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.644 ns                ;
+; N/A                                     ; 204.62 MHz ( period = 4.887 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.642 ns                ;
+; N/A                                     ; 204.67 MHz ( period = 4.886 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.641 ns                ;
+; N/A                                     ; 204.71 MHz ( period = 4.885 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.640 ns                ;
+; N/A                                     ; 204.79 MHz ( period = 4.883 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.638 ns                ;
+; N/A                                     ; 204.88 MHz ( period = 4.881 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.636 ns                ;
+; N/A                                     ; 205.00 MHz ( period = 4.878 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.633 ns                ;
+; N/A                                     ; 205.13 MHz ( period = 4.875 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.630 ns                ;
+; N/A                                     ; 205.25 MHz ( period = 4.872 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|hsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.627 ns                ;
+; N/A                                     ; 205.47 MHz ( period = 4.867 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_4      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.681 ns                ;
+; N/A                                     ; 205.47 MHz ( period = 4.867 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_4      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.681 ns                ;
+; N/A                                     ; 205.47 MHz ( period = 4.867 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_4      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.681 ns                ;
+; N/A                                     ; 206.06 MHz ( period = 4.853 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_0      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.667 ns                ;
+; N/A                                     ; 206.06 MHz ( period = 4.853 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_0      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.667 ns                ;
+; N/A                                     ; 206.06 MHz ( period = 4.853 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_0      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.667 ns                ;
+; N/A                                     ; 206.14 MHz ( period = 4.851 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_8      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.724 ns                ;
+; N/A                                     ; 206.14 MHz ( period = 4.851 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_8      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.724 ns                ;
+; N/A                                     ; 206.27 MHz ( period = 4.848 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_7      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.658 ns                ;
+; N/A                                     ; 206.27 MHz ( period = 4.848 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_7      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.658 ns                ;
+; N/A                                     ; 206.27 MHz ( period = 4.848 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_7      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.658 ns                ;
+; N/A                                     ; 207.38 MHz ( period = 4.822 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_6      ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.695 ns                ;
+; N/A                                     ; 207.38 MHz ( period = 4.822 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_6      ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.695 ns                ;
+; N/A                                     ; 207.47 MHz ( period = 4.820 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_1      ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.630 ns                ;
+; N/A                                     ; 209.82 MHz ( period = 4.766 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_8      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.576 ns                ;
+; N/A                                     ; 209.82 MHz ( period = 4.766 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_8      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.576 ns                ;
+; N/A                                     ; 209.82 MHz ( period = 4.766 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_8      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.576 ns                ;
+; N/A                                     ; 210.22 MHz ( period = 4.757 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.571 ns                ;
+; N/A                                     ; 210.22 MHz ( period = 4.757 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.571 ns                ;
+; N/A                                     ; 210.22 MHz ( period = 4.757 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.571 ns                ;
+; N/A                                     ; 210.39 MHz ( period = 4.753 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_0      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.563 ns                ;
+; N/A                                     ; 210.39 MHz ( period = 4.753 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_0      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.563 ns                ;
+; N/A                                     ; 210.39 MHz ( period = 4.753 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_0      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.563 ns                ;
+; N/A                                     ; 210.53 MHz ( period = 4.750 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_9      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.564 ns                ;
+; N/A                                     ; 210.53 MHz ( period = 4.750 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_9      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.564 ns                ;
+; N/A                                     ; 210.53 MHz ( period = 4.750 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_9      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.564 ns                ;
+; N/A                                     ; 211.15 MHz ( period = 4.736 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_0   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.590 ns                ;
+; N/A                                     ; 211.15 MHz ( period = 4.736 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_4   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.590 ns                ;
+; N/A                                     ; 211.15 MHz ( period = 4.736 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_6   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.590 ns                ;
+; N/A                                     ; 211.15 MHz ( period = 4.736 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_7   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.590 ns                ;
+; N/A                                     ; 211.15 MHz ( period = 4.736 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_8   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.590 ns                ;
+; N/A                                     ; 211.46 MHz ( period = 4.729 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|line_counter_sig_0   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.517 ns                ;
+; N/A                                     ; 213.40 MHz ( period = 4.686 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.496 ns                ;
+; N/A                                     ; 213.68 MHz ( period = 4.680 ns )                    ; vga_driver:vga_driver_unit|vsync_state_1        ; vga_driver:vga_driver_unit|line_counter_sig_5   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.534 ns                ;
+; N/A                                     ; 213.95 MHz ( period = 4.674 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.492 ns                ;
+; N/A                                     ; 213.95 MHz ( period = 4.674 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.492 ns                ;
+; N/A                                     ; 213.95 MHz ( period = 4.674 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.492 ns                ;
+; N/A                                     ; 213.95 MHz ( period = 4.674 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.492 ns                ;
+; N/A                                     ; 213.95 MHz ( period = 4.674 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.492 ns                ;
+; N/A                                     ; 215.84 MHz ( period = 4.633 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_8      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.447 ns                ;
+; N/A                                     ; 215.84 MHz ( period = 4.633 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_8      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.447 ns                ;
+; N/A                                     ; 215.84 MHz ( period = 4.633 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_8      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.447 ns                ;
+; N/A                                     ; 216.26 MHz ( period = 4.624 ns )                    ; vga_driver:vga_driver_unit|column_counter_sig_6 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.435 ns                ;
+; N/A                                     ; 216.31 MHz ( period = 4.623 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_9      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.433 ns                ;
+; N/A                                     ; 216.31 MHz ( period = 4.623 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_9      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.433 ns                ;
+; N/A                                     ; 216.31 MHz ( period = 4.623 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_9      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.433 ns                ;
+; N/A                                     ; 216.68 MHz ( period = 4.615 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_6      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.425 ns                ;
+; N/A                                     ; 216.68 MHz ( period = 4.615 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_6      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.425 ns                ;
+; N/A                                     ; 216.68 MHz ( period = 4.615 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_6      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.425 ns                ;
+; N/A                                     ; 216.97 MHz ( period = 4.609 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_4      ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.419 ns                ;
+; N/A                                     ; 217.16 MHz ( period = 4.605 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.419 ns                ;
+; N/A                                     ; 217.16 MHz ( period = 4.605 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.419 ns                ;
+; N/A                                     ; 217.16 MHz ( period = 4.605 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.419 ns                ;
+; N/A                                     ; 217.20 MHz ( period = 4.604 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_6      ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.418 ns                ;
+; N/A                                     ; 217.20 MHz ( period = 4.604 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_6      ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.418 ns                ;
+; N/A                                     ; 217.20 MHz ( period = 4.604 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_6      ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.418 ns                ;
+; N/A                                     ; 218.29 MHz ( period = 4.581 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_1      ; vga_driver:vga_driver_unit|vsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.395 ns                ;
+; N/A                                     ; 219.83 MHz ( period = 4.549 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|h_enable_sig         ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.297 ns                ;
+; N/A                                     ; 220.41 MHz ( period = 4.537 ns )                    ; vga_driver:vga_driver_unit|column_counter_sig_5 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.348 ns                ;
+; N/A                                     ; 220.70 MHz ( period = 4.531 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.349 ns                ;
+; N/A                                     ; 220.90 MHz ( period = 4.527 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_3      ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.341 ns                ;
+; N/A                                     ; 221.09 MHz ( period = 4.523 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.337 ns                ;
+; N/A                                     ; 221.09 MHz ( period = 4.523 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.337 ns                ;
+; N/A                                     ; 221.09 MHz ( period = 4.523 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.337 ns                ;
+; N/A                                     ; 221.58 MHz ( period = 4.513 ns )                    ; vga_driver:vga_driver_unit|line_counter_sig_5   ; vga_control:vga_control_unit|b                  ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.287 ns                ;
+; N/A                                     ; 222.12 MHz ( period = 4.502 ns )                    ; vga_driver:vga_driver_unit|column_counter_sig_6 ; vga_control:vga_control_unit|b                  ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.316 ns                ;
+; N/A                                     ; 222.92 MHz ( period = 4.486 ns )                    ; vga_driver:vga_driver_unit|column_counter_sig_4 ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.300 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.51 MHz ( period = 4.474 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_5      ; vga_driver:vga_driver_unit|hsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.288 ns                ;
+; N/A                                     ; 223.71 MHz ( period = 4.470 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_3      ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.280 ns                ;
+; N/A                                     ; 223.76 MHz ( period = 4.469 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.287 ns                ;
+; N/A                                     ; 223.86 MHz ( period = 4.467 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|h_enable_sig         ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.215 ns                ;
+; N/A                                     ; 224.01 MHz ( period = 4.464 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_1      ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.278 ns                ;
+; N/A                                     ; 224.42 MHz ( period = 4.456 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.211 ns                ;
+; N/A                                     ; 224.87 MHz ( period = 4.447 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.261 ns                ;
+; N/A                                     ; 224.92 MHz ( period = 4.446 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_5      ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.256 ns                ;
+; N/A                                     ; 224.92 MHz ( period = 4.446 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_5      ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.256 ns                ;
+; N/A                                     ; 224.92 MHz ( period = 4.446 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_5      ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.256 ns                ;
+; N/A                                     ; 225.28 MHz ( period = 4.439 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_7      ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.253 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 225.73 MHz ( period = 4.430 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|hsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.185 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.45 MHz ( period = 4.416 ns )                    ; vga_driver:vga_driver_unit|vsync_counter_2      ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.230 ns                ;
+; N/A                                     ; 226.50 MHz ( period = 4.415 ns )                    ; vga_driver:vga_driver_unit|column_counter_sig_5 ; vga_control:vga_control_unit|b                  ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.229 ns                ;
+; N/A                                     ; 226.60 MHz ( period = 4.413 ns )                    ; vga_driver:vga_driver_unit|line_counter_sig_2   ; vga_control:vga_control_unit|b                  ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.187 ns                ;
+; N/A                                     ; 226.91 MHz ( period = 4.407 ns )                    ; vga_driver:vga_driver_unit|hsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.225 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_5      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_6      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_7      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_8      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 226.96 MHz ( period = 4.406 ns )                    ; dly_counter[1]                                  ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.224 ns                ;
+; N/A                                     ; 227.58 MHz ( period = 4.394 ns )                    ; vga_driver:vga_driver_unit|hsync_counter_2      ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.208 ns                ;
+; N/A                                     ; 227.95 MHz ( period = 4.387 ns )                    ; vga_driver:vga_driver_unit|hsync_state_6        ; vga_driver:vga_driver_unit|line_counter_sig_2   ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.199 ns                ;
+; N/A                                     ; 228.26 MHz ( period = 4.381 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_0      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.199 ns                ;
+; N/A                                     ; 228.36 MHz ( period = 4.379 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_1      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.197 ns                ;
+; N/A                                     ; 228.41 MHz ( period = 4.378 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.196 ns                ;
+; N/A                                     ; 228.47 MHz ( period = 4.377 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.195 ns                ;
+; N/A                                     ; 228.52 MHz ( period = 4.376 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.194 ns                ;
+; N/A                                     ; 228.62 MHz ( period = 4.374 ns )                    ; vga_driver:vga_driver_unit|vsync_state_0        ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.192 ns                ;
+; N/A                                     ; 228.62 MHz ( period = 4.374 ns )                    ; dly_counter[0]                                  ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin    ; clk_pin  ; None                        ; None                      ; 4.129 ns                ;
+; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                 ;                                                 ;            ;          ;                             ;                           ;                         ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------+-------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
+
+
++------------------------------------------------------------------------------------------------------------+
+; tsu                                                                                                        ;
++-------+--------------+------------+-----------+-------------------------------------------------+----------+
+; Slack ; Required tsu ; Actual tsu ; From      ; To                                              ; To Clock ;
++-------+--------------+------------+-----------+-------------------------------------------------+----------+
+; N/A   ; None         ; 7.334 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin  ;
+; N/A   ; None         ; 7.334 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin  ;
+; N/A   ; None         ; 7.116 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin  ;
+; N/A   ; None         ; 7.116 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin  ;
+; N/A   ; None         ; 7.116 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin  ;
+; N/A   ; None         ; 6.707 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin  ;
+; N/A   ; None         ; 6.707 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin  ;
+; N/A   ; None         ; 6.707 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin  ;
+; N/A   ; None         ; 6.651 ns   ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig         ; clk_pin  ;
+; N/A   ; None         ; 6.558 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8      ; clk_pin  ;
+; N/A   ; None         ; 6.532 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8      ; clk_pin  ;
+; N/A   ; None         ; 6.508 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin  ;
+; N/A   ; None         ; 6.251 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1   ; clk_pin  ;
+; N/A   ; None         ; 6.251 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2   ; clk_pin  ;
+; N/A   ; None         ; 6.251 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3   ; clk_pin  ;
+; N/A   ; None         ; 6.210 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin  ;
+; N/A   ; None         ; 6.088 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0   ; clk_pin  ;
+; N/A   ; None         ; 6.088 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4   ; clk_pin  ;
+; N/A   ; None         ; 6.088 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6   ; clk_pin  ;
+; N/A   ; None         ; 6.088 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7   ; clk_pin  ;
+; N/A   ; None         ; 6.088 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8   ; clk_pin  ;
+; N/A   ; None         ; 6.032 ns   ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5   ; clk_pin  ;
+; N/A   ; None         ; 6.018 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin  ;
+; N/A   ; None         ; 6.018 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin  ;
+; N/A   ; None         ; 6.018 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin  ;
+; N/A   ; None         ; 5.982 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin  ;
+; N/A   ; None         ; 5.973 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin  ;
+; N/A   ; None         ; 5.973 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin  ;
+; N/A   ; None         ; 5.973 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin  ;
+; N/A   ; None         ; 5.973 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin  ;
+; N/A   ; None         ; 5.971 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3        ; clk_pin  ;
+; N/A   ; None         ; 5.685 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1        ; clk_pin  ;
+; N/A   ; None         ; 5.675 ns   ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig         ; clk_pin  ;
+; N/A   ; None         ; 5.612 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin  ;
+; N/A   ; None         ; 5.612 ns   ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin  ;
+; N/A   ; None         ; 5.307 ns   ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6        ; clk_pin  ;
+; N/A   ; None         ; 3.646 ns   ; reset_pin ; dly_counter[1]                                  ; clk_pin  ;
+; N/A   ; None         ; 3.424 ns   ; reset_pin ; vga_driver:vga_driver_unit|h_sync               ; clk_pin  ;
+; N/A   ; None         ; 3.305 ns   ; reset_pin ; vga_driver:vga_driver_unit|v_sync               ; clk_pin  ;
+; N/A   ; None         ; 3.303 ns   ; reset_pin ; dly_counter[0]                                  ; clk_pin  ;
+; N/A   ; None         ; 3.301 ns   ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6        ; clk_pin  ;
++-------+--------------+------------+-----------+-------------------------------------------------+----------+
+
+
++----------------------------------------------------------------------------------------------------------------------------+
+; tco                                                                                                                        ;
++-------+--------------+------------+----------------------------------------------------+----------------------+------------+
+; Slack ; Required tco ; Actual tco ; From                                               ; To                   ; From Clock ;
++-------+--------------+------------+----------------------------------------------------+----------------------+------------+
+; N/A   ; None         ; 10.905 ns  ; vga_driver:vga_driver_unit|vsync_state_0           ; d_set_vsync_counter  ; clk_pin    ;
+; N/A   ; None         ; 10.784 ns  ; vga_driver:vga_driver_unit|vsync_state_6           ; d_set_vsync_counter  ; clk_pin    ;
+; N/A   ; None         ; 10.487 ns  ; vga_driver:vga_driver_unit|hsync_state_0           ; d_set_hsync_counter  ; clk_pin    ;
+; N/A   ; None         ; 10.462 ns  ; vga_driver:vga_driver_unit|hsync_state_5           ; d_hsync_state[5]     ; clk_pin    ;
+; N/A   ; None         ; 10.363 ns  ; dly_counter[1]                                     ; seven_seg_pin[8]     ; clk_pin    ;
+; N/A   ; None         ; 10.363 ns  ; dly_counter[1]                                     ; seven_seg_pin[2]     ; clk_pin    ;
+; N/A   ; None         ; 10.288 ns  ; dly_counter[1]                                     ; seven_seg_pin[10]    ; clk_pin    ;
+; N/A   ; None         ; 10.288 ns  ; dly_counter[1]                                     ; seven_seg_pin[7]     ; clk_pin    ;
+; N/A   ; None         ; 10.281 ns  ; dly_counter[0]                                     ; seven_seg_pin[8]     ; clk_pin    ;
+; N/A   ; None         ; 10.281 ns  ; dly_counter[0]                                     ; seven_seg_pin[2]     ; clk_pin    ;
+; N/A   ; None         ; 10.206 ns  ; dly_counter[0]                                     ; seven_seg_pin[10]    ; clk_pin    ;
+; N/A   ; None         ; 10.206 ns  ; dly_counter[0]                                     ; seven_seg_pin[7]     ; clk_pin    ;
+; N/A   ; None         ; 9.960 ns   ; vga_driver:vga_driver_unit|hsync_state_4           ; d_hsync_state[4]     ; clk_pin    ;
+; N/A   ; None         ; 9.722 ns   ; vga_driver:vga_driver_unit|v_sync                  ; vsync_pin            ; clk_pin    ;
+; N/A   ; None         ; 9.551 ns   ; vga_driver:vga_driver_unit|column_counter_sig_7    ; d_column_counter[7]  ; clk_pin    ;
+; N/A   ; None         ; 9.508 ns   ; vga_driver:vga_driver_unit|vsync_counter_5         ; d_vsync_counter[5]   ; clk_pin    ;
+; N/A   ; None         ; 9.487 ns   ; dly_counter[1]                                     ; seven_seg_pin[11]    ; clk_pin    ;
+; N/A   ; None         ; 9.450 ns   ; dly_counter[1]                                     ; seven_seg_pin[12]    ; clk_pin    ;
+; N/A   ; None         ; 9.432 ns   ; vga_driver:vga_driver_unit|hsync_counter_4         ; d_hsync_counter[4]   ; clk_pin    ;
+; N/A   ; None         ; 9.429 ns   ; dly_counter[1]                                     ; seven_seg_pin[1]     ; clk_pin    ;
+; N/A   ; None         ; 9.405 ns   ; dly_counter[0]                                     ; seven_seg_pin[11]    ; clk_pin    ;
+; N/A   ; None         ; 9.392 ns   ; vga_driver:vga_driver_unit|hsync_state_6           ; d_set_hsync_counter  ; clk_pin    ;
+; N/A   ; None         ; 9.368 ns   ; dly_counter[0]                                     ; seven_seg_pin[12]    ; clk_pin    ;
+; N/A   ; None         ; 9.367 ns   ; vga_control:vga_control_unit|toggle_counter_sig_15 ; d_toggle_counter[15] ; clk_pin    ;
+; N/A   ; None         ; 9.356 ns   ; dly_counter[1]                                     ; seven_seg_pin[9]     ; clk_pin    ;
+; N/A   ; None         ; 9.347 ns   ; dly_counter[0]                                     ; seven_seg_pin[1]     ; clk_pin    ;
+; N/A   ; None         ; 9.341 ns   ; vga_driver:vga_driver_unit|h_sync                  ; hsync_pin            ; clk_pin    ;
+; N/A   ; None         ; 9.320 ns   ; vga_control:vga_control_unit|toggle_counter_sig_19 ; d_toggle_counter[19] ; clk_pin    ;
+; N/A   ; None         ; 9.286 ns   ; vga_driver:vga_driver_unit|vsync_state_1           ; d_vsync_state[1]     ; clk_pin    ;
+; N/A   ; None         ; 9.274 ns   ; dly_counter[0]                                     ; seven_seg_pin[9]     ; clk_pin    ;
+; N/A   ; None         ; 9.266 ns   ; vga_driver:vga_driver_unit|vsync_state_1           ; d_set_line_counter   ; clk_pin    ;
+; N/A   ; None         ; 9.191 ns   ; vga_control:vga_control_unit|b                     ; d_b                  ; clk_pin    ;
+; N/A   ; None         ; 9.191 ns   ; vga_control:vga_control_unit|b                     ; b0_pin               ; clk_pin    ;
+; N/A   ; None         ; 9.189 ns   ; vga_driver:vga_driver_unit|line_counter_sig_7      ; d_line_counter[7]    ; clk_pin    ;
+; N/A   ; None         ; 9.157 ns   ; vga_control:vga_control_unit|toggle_counter_sig_3  ; d_toggle_counter[3]  ; clk_pin    ;
+; N/A   ; None         ; 9.090 ns   ; vga_driver:vga_driver_unit|line_counter_sig_5      ; d_line_counter[5]    ; clk_pin    ;
+; N/A   ; None         ; 9.081 ns   ; vga_driver:vga_driver_unit|column_counter_sig_0    ; d_column_counter[0]  ; clk_pin    ;
+; N/A   ; None         ; 9.059 ns   ; vga_driver:vga_driver_unit|column_counter_sig_1    ; d_column_counter[1]  ; clk_pin    ;
+; N/A   ; None         ; 9.055 ns   ; vga_driver:vga_driver_unit|line_counter_sig_4      ; d_line_counter[4]    ; clk_pin    ;
+; N/A   ; None         ; 9.047 ns   ; vga_driver:vga_driver_unit|column_counter_sig_8    ; d_column_counter[8]  ; clk_pin    ;
+; N/A   ; None         ; 9.041 ns   ; vga_driver:vga_driver_unit|v_sync                  ; d_vsync              ; clk_pin    ;
+; N/A   ; None         ; 9.025 ns   ; vga_control:vga_control_unit|toggle_counter_sig_7  ; d_toggle_counter[7]  ; clk_pin    ;
+; N/A   ; None         ; 9.007 ns   ; vga_driver:vga_driver_unit|vsync_state_5           ; d_vsync_state[5]     ; clk_pin    ;
+; N/A   ; None         ; 8.995 ns   ; vga_control:vga_control_unit|b                     ; b1_pin               ; clk_pin    ;
+; N/A   ; None         ; 8.916 ns   ; vga_control:vga_control_unit|toggle_counter_sig_16 ; d_toggle_counter[16] ; clk_pin    ;
+; N/A   ; None         ; 8.860 ns   ; vga_driver:vga_driver_unit|vsync_counter_4         ; d_vsync_counter[4]   ; clk_pin    ;
+; N/A   ; None         ; 8.835 ns   ; vga_driver:vga_driver_unit|vsync_counter_8         ; d_vsync_counter[8]   ; clk_pin    ;
+; N/A   ; None         ; 8.828 ns   ; vga_driver:vga_driver_unit|vsync_counter_9         ; d_vsync_counter[9]   ; clk_pin    ;
+; N/A   ; None         ; 8.823 ns   ; vga_driver:vga_driver_unit|column_counter_sig_2    ; d_column_counter[2]  ; clk_pin    ;
+; N/A   ; None         ; 8.819 ns   ; vga_driver:vga_driver_unit|vsync_counter_1         ; d_vsync_counter[1]   ; clk_pin    ;
+; N/A   ; None         ; 8.816 ns   ; vga_driver:vga_driver_unit|vsync_state_6           ; d_vsync_state[6]     ; clk_pin    ;
+; N/A   ; None         ; 8.788 ns   ; vga_driver:vga_driver_unit|h_enable_sig            ; d_h_enable           ; clk_pin    ;
+; N/A   ; None         ; 8.778 ns   ; vga_driver:vga_driver_unit|vsync_counter_3         ; d_vsync_counter[3]   ; clk_pin    ;
+; N/A   ; None         ; 8.761 ns   ; vga_driver:vga_driver_unit|column_counter_sig_9    ; d_column_counter[9]  ; clk_pin    ;
+; N/A   ; None         ; 8.742 ns   ; vga_driver:vga_driver_unit|column_counter_sig_4    ; d_column_counter[4]  ; clk_pin    ;
+; N/A   ; None         ; 8.719 ns   ; vga_driver:vga_driver_unit|vsync_counter_2         ; d_vsync_counter[2]   ; clk_pin    ;
+; N/A   ; None         ; 8.702 ns   ; vga_driver:vga_driver_unit|hsync_counter_8         ; d_hsync_counter[8]   ; clk_pin    ;
+; N/A   ; None         ; 8.684 ns   ; vga_control:vga_control_unit|toggle_counter_sig_5  ; d_toggle_counter[5]  ; clk_pin    ;
+; N/A   ; None         ; 8.639 ns   ; vga_driver:vga_driver_unit|vsync_counter_7         ; d_vsync_counter[7]   ; clk_pin    ;
+; N/A   ; None         ; 8.625 ns   ; vga_driver:vga_driver_unit|vsync_counter_0         ; d_vsync_counter[0]   ; clk_pin    ;
+; N/A   ; None         ; 8.610 ns   ; vga_driver:vga_driver_unit|line_counter_sig_2      ; d_line_counter[2]    ; clk_pin    ;
+; N/A   ; None         ; 8.565 ns   ; vga_control:vga_control_unit|toggle_counter_sig_14 ; d_toggle_counter[14] ; clk_pin    ;
+; N/A   ; None         ; 8.538 ns   ; vga_driver:vga_driver_unit|vsync_state_4           ; d_vsync_state[4]     ; clk_pin    ;
+; N/A   ; None         ; 8.536 ns   ; vga_driver:vga_driver_unit|vsync_state_2           ; d_vsync_state[2]     ; clk_pin    ;
+; N/A   ; None         ; 8.523 ns   ; vga_driver:vga_driver_unit|column_counter_sig_6    ; d_column_counter[6]  ; clk_pin    ;
+; N/A   ; None         ; 8.521 ns   ; vga_driver:vga_driver_unit|hsync_state_2           ; d_hsync_state[2]     ; clk_pin    ;
+; N/A   ; None         ; 8.461 ns   ; vga_control:vga_control_unit|toggle_counter_sig_9  ; d_toggle_counter[9]  ; clk_pin    ;
+; N/A   ; None         ; 8.455 ns   ; vga_driver:vga_driver_unit|column_counter_sig_5    ; d_column_counter[5]  ; clk_pin    ;
+; N/A   ; None         ; 8.435 ns   ; vga_driver:vga_driver_unit|hsync_state_1           ; d_hsync_state[1]     ; clk_pin    ;
+; N/A   ; None         ; 8.434 ns   ; vga_control:vga_control_unit|toggle_counter_sig_1  ; d_toggle_counter[1]  ; clk_pin    ;
+; N/A   ; None         ; 8.433 ns   ; vga_driver:vga_driver_unit|hsync_counter_2         ; d_hsync_counter[2]   ; clk_pin    ;
+; N/A   ; None         ; 8.429 ns   ; vga_driver:vga_driver_unit|column_counter_sig_3    ; d_column_counter[3]  ; clk_pin    ;
+; N/A   ; None         ; 8.374 ns   ; vga_driver:vga_driver_unit|hsync_state_6           ; d_hsync_state[6]     ; clk_pin    ;
+; N/A   ; None         ; 8.357 ns   ; vga_driver:vga_driver_unit|h_sync                  ; d_hsync              ; clk_pin    ;
+; N/A   ; None         ; 8.334 ns   ; vga_control:vga_control_unit|toggle_counter_sig_6  ; d_toggle_counter[6]  ; clk_pin    ;
+; N/A   ; None         ; 8.308 ns   ; vga_driver:vga_driver_unit|line_counter_sig_6      ; d_line_counter[6]    ; clk_pin    ;
+; N/A   ; None         ; 8.303 ns   ; vga_driver:vga_driver_unit|hsync_state_1           ; d_set_column_counter ; clk_pin    ;
+; N/A   ; None         ; 8.302 ns   ; vga_driver:vga_driver_unit|vsync_state_3           ; d_vsync_state[3]     ; clk_pin    ;
+; N/A   ; None         ; 8.298 ns   ; vga_driver:vga_driver_unit|vsync_state_0           ; d_vsync_state[0]     ; clk_pin    ;
+; N/A   ; None         ; 8.225 ns   ; vga_control:vga_control_unit|toggle_counter_sig_11 ; d_toggle_counter[11] ; clk_pin    ;
+; N/A   ; None         ; 8.205 ns   ; vga_control:vga_control_unit|toggle_counter_sig_2  ; d_toggle_counter[2]  ; clk_pin    ;
+; N/A   ; None         ; 8.199 ns   ; vga_control:vga_control_unit|toggle_counter_sig_8  ; d_toggle_counter[8]  ; clk_pin    ;
+; N/A   ; None         ; 8.192 ns   ; vga_driver:vga_driver_unit|hsync_counter_3         ; d_hsync_counter[3]   ; clk_pin    ;
+; N/A   ; None         ; 8.175 ns   ; vga_driver:vga_driver_unit|hsync_state_3           ; d_hsync_state[3]     ; clk_pin    ;
+; N/A   ; None         ; 8.154 ns   ; vga_driver:vga_driver_unit|hsync_counter_7         ; d_hsync_counter[7]   ; clk_pin    ;
+; N/A   ; None         ; 8.116 ns   ; vga_driver:vga_driver_unit|line_counter_sig_1      ; d_line_counter[1]    ; clk_pin    ;
+; N/A   ; None         ; 8.107 ns   ; vga_control:vga_control_unit|toggle_counter_sig_0  ; d_toggle_counter[0]  ; clk_pin    ;
+; N/A   ; None         ; 8.098 ns   ; vga_driver:vga_driver_unit|line_counter_sig_8      ; d_line_counter[8]    ; clk_pin    ;
+; N/A   ; None         ; 8.081 ns   ; vga_driver:vga_driver_unit|line_counter_sig_3      ; d_line_counter[3]    ; clk_pin    ;
+; N/A   ; None         ; 8.077 ns   ; vga_driver:vga_driver_unit|hsync_state_0           ; d_hsync_state[0]     ; clk_pin    ;
+; N/A   ; None         ; 8.060 ns   ; vga_driver:vga_driver_unit|v_enable_sig            ; d_v_enable           ; clk_pin    ;
+; N/A   ; None         ; 8.054 ns   ; vga_driver:vga_driver_unit|vsync_counter_6         ; d_vsync_counter[6]   ; clk_pin    ;
+; N/A   ; None         ; 7.980 ns   ; vga_driver:vga_driver_unit|hsync_counter_9         ; d_hsync_counter[9]   ; clk_pin    ;
+; N/A   ; None         ; 7.968 ns   ; vga_driver:vga_driver_unit|hsync_counter_5         ; d_hsync_counter[5]   ; clk_pin    ;
+; N/A   ; None         ; 7.965 ns   ; vga_control:vga_control_unit|toggle_counter_sig_4  ; d_toggle_counter[4]  ; clk_pin    ;
+; N/A   ; None         ; 7.937 ns   ; vga_control:vga_control_unit|toggle_counter_sig_18 ; d_toggle_counter[18] ; clk_pin    ;
+; N/A   ; None         ; 7.917 ns   ; vga_control:vga_control_unit|toggle_counter_sig_13 ; d_toggle_counter[13] ; clk_pin    ;
+; N/A   ; None         ; 7.898 ns   ; vga_driver:vga_driver_unit|line_counter_sig_0      ; d_line_counter[0]    ; clk_pin    ;
+; N/A   ; None         ; 7.763 ns   ; vga_driver:vga_driver_unit|hsync_counter_6         ; d_hsync_counter[6]   ; clk_pin    ;
+; N/A   ; None         ; 7.733 ns   ; vga_driver:vga_driver_unit|hsync_counter_0         ; d_hsync_counter[0]   ; clk_pin    ;
+; N/A   ; None         ; 7.709 ns   ; vga_control:vga_control_unit|toggle_counter_sig_10 ; d_toggle_counter[10] ; clk_pin    ;
+; N/A   ; None         ; 7.706 ns   ; vga_control:vga_control_unit|toggle_counter_sig_17 ; d_toggle_counter[17] ; clk_pin    ;
+; N/A   ; None         ; 7.664 ns   ; vga_control:vga_control_unit|toggle_sig            ; d_toggle             ; clk_pin    ;
+; N/A   ; None         ; 7.654 ns   ; vga_control:vga_control_unit|toggle_counter_sig_12 ; d_toggle_counter[12] ; clk_pin    ;
+; N/A   ; None         ; 7.499 ns   ; vga_driver:vga_driver_unit|hsync_counter_1         ; d_hsync_counter[1]   ; clk_pin    ;
++-------+--------------+------------+----------------------------------------------------+----------------------+------------+
+
+
++-----------------------------------------------------------------------------+
+; tpd                                                                         ;
++-------+-------------------+-----------------+-----------+-------------------+
+; Slack ; Required P2P Time ; Actual P2P Time ; From      ; To                ;
++-------+-------------------+-----------------+-----------+-------------------+
+; N/A   ; None              ; 12.465 ns       ; reset_pin ; seven_seg_pin[8]  ;
+; N/A   ; None              ; 12.465 ns       ; reset_pin ; seven_seg_pin[2]  ;
+; N/A   ; None              ; 12.390 ns       ; reset_pin ; seven_seg_pin[10] ;
+; N/A   ; None              ; 12.390 ns       ; reset_pin ; seven_seg_pin[7]  ;
+; N/A   ; None              ; 11.589 ns       ; reset_pin ; seven_seg_pin[11] ;
+; N/A   ; None              ; 11.552 ns       ; reset_pin ; seven_seg_pin[12] ;
+; N/A   ; None              ; 11.531 ns       ; reset_pin ; seven_seg_pin[1]  ;
+; N/A   ; None              ; 11.458 ns       ; reset_pin ; seven_seg_pin[9]  ;
+; N/A   ; None              ; 5.951 ns        ; clk_pin   ; d_state_clk       ;
++-------+-------------------+-----------------+-----------+-------------------+
+
+
++------------------------------------------------------------------------------------------------------------------+
+; th                                                                                                               ;
++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+
+; Minimum Slack ; Required th ; Actual th ; From      ; To                                              ; To Clock ;
++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+
+; N/A           ; None        ; -3.191 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_6        ; clk_pin  ;
+; N/A           ; None        ; -3.193 ns ; reset_pin ; dly_counter[0]                                  ; clk_pin  ;
+; N/A           ; None        ; -3.195 ns ; reset_pin ; vga_driver:vga_driver_unit|v_sync               ; clk_pin  ;
+; N/A           ; None        ; -3.314 ns ; reset_pin ; vga_driver:vga_driver_unit|h_sync               ; clk_pin  ;
+; N/A           ; None        ; -3.536 ns ; reset_pin ; dly_counter[1]                                  ; clk_pin  ;
+; N/A           ; None        ; -3.995 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_0        ; clk_pin  ;
+; N/A           ; None        ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_5        ; clk_pin  ;
+; N/A           ; None        ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_4        ; clk_pin  ;
+; N/A           ; None        ; -4.196 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_2        ; clk_pin  ;
+; N/A           ; None        ; -4.499 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_5      ; clk_pin  ;
+; N/A           ; None        ; -4.501 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_6      ; clk_pin  ;
+; N/A           ; None        ; -4.504 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_7      ; clk_pin  ;
+; N/A           ; None        ; -4.507 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_8      ; clk_pin  ;
+; N/A           ; None        ; -4.510 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_9      ; clk_pin  ;
+; N/A           ; None        ; -4.512 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_4      ; clk_pin  ;
+; N/A           ; None        ; -4.513 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_3      ; clk_pin  ;
+; N/A           ; None        ; -4.514 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_2      ; clk_pin  ;
+; N/A           ; None        ; -4.515 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_1      ; clk_pin  ;
+; N/A           ; None        ; -4.517 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_counter_0      ; clk_pin  ;
+; N/A           ; None        ; -4.867 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_2        ; clk_pin  ;
+; N/A           ; None        ; -4.867 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_0        ; clk_pin  ;
+; N/A           ; None        ; -4.926 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_5      ; clk_pin  ;
+; N/A           ; None        ; -4.929 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_6      ; clk_pin  ;
+; N/A           ; None        ; -4.932 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_7      ; clk_pin  ;
+; N/A           ; None        ; -4.935 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_8      ; clk_pin  ;
+; N/A           ; None        ; -4.937 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_9      ; clk_pin  ;
+; N/A           ; None        ; -4.939 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_4      ; clk_pin  ;
+; N/A           ; None        ; -4.940 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_3      ; clk_pin  ;
+; N/A           ; None        ; -4.941 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_2      ; clk_pin  ;
+; N/A           ; None        ; -4.943 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_1      ; clk_pin  ;
+; N/A           ; None        ; -4.944 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_counter_0      ; clk_pin  ;
+; N/A           ; None        ; -5.043 ns ; reset_pin ; vga_driver:vga_driver_unit|v_enable_sig         ; clk_pin  ;
+; N/A           ; None        ; -5.197 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_6        ; clk_pin  ;
+; N/A           ; None        ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_1        ; clk_pin  ;
+; N/A           ; None        ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_5        ; clk_pin  ;
+; N/A           ; None        ; -5.416 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_4        ; clk_pin  ;
+; N/A           ; None        ; -5.502 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_7 ; clk_pin  ;
+; N/A           ; None        ; -5.502 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_8 ; clk_pin  ;
+; N/A           ; None        ; -5.575 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_1        ; clk_pin  ;
+; N/A           ; None        ; -5.674 ns ; reset_pin ; vga_driver:vga_driver_unit|hsync_state_3        ; clk_pin  ;
+; N/A           ; None        ; -5.819 ns ; reset_pin ; vga_driver:vga_driver_unit|vsync_state_3        ; clk_pin  ;
+; N/A           ; None        ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_0 ; clk_pin  ;
+; N/A           ; None        ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_1 ; clk_pin  ;
+; N/A           ; None        ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_2 ; clk_pin  ;
+; N/A           ; None        ; -5.863 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_3 ; clk_pin  ;
+; N/A           ; None        ; -5.872 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_4 ; clk_pin  ;
+; N/A           ; None        ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_5 ; clk_pin  ;
+; N/A           ; None        ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_6 ; clk_pin  ;
+; N/A           ; None        ; -5.908 ns ; reset_pin ; vga_driver:vga_driver_unit|column_counter_sig_9 ; clk_pin  ;
+; N/A           ; None        ; -5.922 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_5   ; clk_pin  ;
+; N/A           ; None        ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_0   ; clk_pin  ;
+; N/A           ; None        ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_4   ; clk_pin  ;
+; N/A           ; None        ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_6   ; clk_pin  ;
+; N/A           ; None        ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_7   ; clk_pin  ;
+; N/A           ; None        ; -5.978 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_8   ; clk_pin  ;
+; N/A           ; None        ; -6.013 ns ; reset_pin ; vga_driver:vga_driver_unit|h_enable_sig         ; clk_pin  ;
+; N/A           ; None        ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_1   ; clk_pin  ;
+; N/A           ; None        ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_2   ; clk_pin  ;
+; N/A           ; None        ; -6.141 ns ; reset_pin ; vga_driver:vga_driver_unit|line_counter_sig_3   ; clk_pin  ;
++---------------+-------------+-----------+-----------+-------------------------------------------------+----------+
+
+
++--------------------------+
+; Timing Analyzer Messages ;
++--------------------------+
+Info: *******************************************************************
+Info: Running Quartus II Classic Timing Analyzer
+    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
+    Info: Processing started: Tue Nov  3 17:31:35 2009
+Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off vga -c vga --timing_analysis_only
+Info: Parallel compilation is enabled and will use 2 of the 2 processors detected
+Warning: Found pins functioning as undefined clocks and/or memory enables
+    Info: Assuming node "clk_pin" is an undefined clock
+Info: Clock "clk_pin" has Internal fmax of 182.42 MHz between source register "vga_driver:vga_driver_unit|hsync_state_0" and destination register "vga_driver:vga_driver_unit|line_counter_sig_2" (period= 5.482 ns)
+    Info: + Longest register to register delay is 5.270 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+        Info: 2: + IC(1.275 ns) + CELL(0.087 ns) = 1.362 ns; Loc. = LC_X18_Y26_N6; Fanout = 10; COMB Node = 'vga_driver:vga_driver_unit|d_set_hsync_counter'
+        Info: 3: + IC(1.959 ns) + CELL(0.451 ns) = 3.772 ns; Loc. = LC_X35_Y18_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_cout[1]~COUT1_9'
+        Info: 4: + IC(0.000 ns) + CELL(0.449 ns) = 4.221 ns; Loc. = LC_X35_Y18_N6; Fanout = 1; COMB Node = 'vga_driver:vga_driver_unit|un1_line_counter_sig_combout[3]'
+        Info: 5: + IC(0.814 ns) + CELL(0.235 ns) = 5.270 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+        Info: Total cell delay = 1.222 ns ( 23.19 % )
+        Info: Total interconnect delay = 4.048 ns ( 76.81 % )
+    Info: - Smallest clock skew is -0.026 ns
+        Info: + Shortest clock path from clock "clk_pin" to destination register is 3.314 ns
+            Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'
+            Info: 2: + IC(1.886 ns) + CELL(0.560 ns) = 3.314 ns; Loc. = LC_X33_Y18_N5; Fanout = 9; REG Node = 'vga_driver:vga_driver_unit|line_counter_sig_2'
+            Info: Total cell delay = 1.428 ns ( 43.09 % )
+            Info: Total interconnect delay = 1.886 ns ( 56.91 % )
+        Info: - Longest clock path from clock "clk_pin" to source register is 3.340 ns
+            Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'
+            Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N2; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_0'
+            Info: Total cell delay = 1.428 ns ( 42.75 % )
+            Info: Total interconnect delay = 1.912 ns ( 57.25 % )
+    Info: + Micro clock to output delay of source is 0.176 ns
+    Info: + Micro setup delay of destination is 0.010 ns
+Info: tsu for register "vga_driver:vga_driver_unit|hsync_state_2" (data pin = "reset_pin", clock pin = "clk_pin") is 7.334 ns
+    Info: + Longest pin to register delay is 10.664 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'
+        Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x'
+        Info: 3: + IC(1.806 ns) + CELL(0.459 ns) = 8.748 ns; Loc. = LC_X17_Y14_N7; Fanout = 6; COMB Node = 'vga_driver:vga_driver_unit|hsync_state_3_0_0_0__g0_0'
+        Info: 4: + IC(1.190 ns) + CELL(0.726 ns) = 10.664 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_2'
+        Info: Total cell delay = 2.140 ns ( 20.07 % )
+        Info: Total interconnect delay = 8.524 ns ( 79.93 % )
+    Info: + Micro setup delay of destination is 0.010 ns
+    Info: - Shortest clock path from clock "clk_pin" to destination register is 3.340 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X18_Y22_N1; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|hsync_state_2'
+        Info: Total cell delay = 1.428 ns ( 42.75 % )
+        Info: Total interconnect delay = 1.912 ns ( 57.25 % )
+Info: tco from clock "clk_pin" to destination pin "d_set_vsync_counter" through register "vga_driver:vga_driver_unit|vsync_state_0" is 10.905 ns
+    Info: + Longest clock path from clock "clk_pin" to source register is 3.340 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0'
+        Info: Total cell delay = 1.428 ns ( 42.75 % )
+        Info: Total interconnect delay = 1.912 ns ( 57.25 % )
+    Info: + Micro clock to output delay of source is 0.176 ns
+    Info: + Longest register to pin delay is 7.389 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X17_Y22_N5; Fanout = 5; REG Node = 'vga_driver:vga_driver_unit|vsync_state_0'
+        Info: 2: + IC(1.442 ns) + CELL(0.213 ns) = 1.655 ns; Loc. = LC_X19_Y24_N5; Fanout = 2; COMB Node = 'vga_driver:vga_driver_unit|d_set_vsync_counter'
+        Info: 3: + IC(3.239 ns) + CELL(2.495 ns) = 7.389 ns; Loc. = PIN_L23; Fanout = 0; PIN Node = 'd_set_vsync_counter'
+        Info: Total cell delay = 2.708 ns ( 36.65 % )
+        Info: Total interconnect delay = 4.681 ns ( 63.35 % )
+Info: Longest tpd from source pin "reset_pin" to destination pin "seven_seg_pin[8]" is 12.465 ns
+    Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'
+    Info: 2: + IC(5.528 ns) + CELL(0.087 ns) = 6.483 ns; Loc. = LC_X17_Y22_N4; Fanout = 51; COMB Node = 'vga_driver:vga_driver_unit|un6_dly_counter_0_x'
+    Info: 3: + IC(3.478 ns) + CELL(2.504 ns) = 12.465 ns; Loc. = PIN_B10; Fanout = 0; PIN Node = 'seven_seg_pin[8]'
+    Info: Total cell delay = 3.459 ns ( 27.75 % )
+    Info: Total interconnect delay = 9.006 ns ( 72.25 % )
+Info: th for register "vga_driver:vga_driver_unit|vsync_state_6" (data pin = "reset_pin", clock pin = "clk_pin") is -3.191 ns
+    Info: + Longest clock path from clock "clk_pin" to destination register is 3.340 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_R3; Fanout = 82; CLK Node = 'clk_pin'
+        Info: 2: + IC(1.912 ns) + CELL(0.560 ns) = 3.340 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|vsync_state_6'
+        Info: Total cell delay = 1.428 ns ( 42.75 % )
+        Info: Total interconnect delay = 1.912 ns ( 57.25 % )
+    Info: + Micro hold delay of destination is 0.100 ns
+    Info: - Shortest pin to register delay is 6.631 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.868 ns) = 0.868 ns; Loc. = PIN_P24; Fanout = 10; PIN Node = 'reset_pin'
+        Info: 2: + IC(5.528 ns) + CELL(0.235 ns) = 6.631 ns; Loc. = LC_X17_Y22_N4; Fanout = 4; REG Node = 'vga_driver:vga_driver_unit|vsync_state_6'
+        Info: Total cell delay = 1.103 ns ( 16.63 % )
+        Info: Total interconnect delay = 5.528 ns ( 83.37 % )
+Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning
+    Info: Peak virtual memory: 141 megabytes
+    Info: Processing ended: Tue Nov  3 17:31:36 2009
+    Info: Elapsed time: 00:00:01
+    Info: Total CPU time (on all processors): 00:00:01
+
+
diff --git a/bsp4/Designflow/ppr/sim/vga.tan.summary b/bsp4/Designflow/ppr/sim/vga.tan.summary
new file mode 100644 (file)
index 0000000..00a1e6c
--- /dev/null
@@ -0,0 +1,66 @@
+--------------------------------------------------------------------------------------
+Timing Analyzer Summary
+--------------------------------------------------------------------------------------
+
+Type           : Worst-case tsu
+Slack          : N/A
+Required Time  : None
+Actual Time    : 7.334 ns
+From           : reset_pin
+To             : vga_driver:vga_driver_unit|hsync_state_0
+From Clock     : --
+To Clock       : clk_pin
+Failed Paths   : 0
+
+Type           : Worst-case tco
+Slack          : N/A
+Required Time  : None
+Actual Time    : 10.905 ns
+From           : vga_driver:vga_driver_unit|vsync_state_0
+To             : d_set_vsync_counter
+From Clock     : clk_pin
+To Clock       : --
+Failed Paths   : 0
+
+Type           : Worst-case tpd
+Slack          : N/A
+Required Time  : None
+Actual Time    : 12.465 ns
+From           : reset_pin
+To             : seven_seg_pin[2]
+From Clock     : --
+To Clock       : --
+Failed Paths   : 0
+
+Type           : Worst-case th
+Slack          : N/A
+Required Time  : None
+Actual Time    : -3.191 ns
+From           : reset_pin
+To             : vga_driver:vga_driver_unit|vsync_state_6
+From Clock     : --
+To Clock       : clk_pin
+Failed Paths   : 0
+
+Type           : Clock Setup: 'clk_pin'
+Slack          : N/A
+Required Time  : None
+Actual Time    : 182.42 MHz ( period = 5.482 ns )
+From           : vga_driver:vga_driver_unit|hsync_state_0
+To             : vga_driver:vga_driver_unit|line_counter_sig_2
+From Clock     : clk_pin
+To Clock       : clk_pin
+Failed Paths   : 0
+
+Type           : Total number of failed paths
+Slack          : 
+Required Time  : 
+Actual Time    : 
+From           : 
+To             : 
+From Clock     : 
+To Clock       : 
+Failed Paths   : 0
+
+--------------------------------------------------------------------------------------
+
diff --git a/bsp4/Designflow/sim/beh/modelsim.ini b/bsp4/Designflow/sim/beh/modelsim.ini
new file mode 100644 (file)
index 0000000..0a48df5
--- /dev/null
@@ -0,0 +1,1305 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+others = $MODEL_TECH/../modelsim.ini
+;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
+;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
+;mvc_lib = $MODEL_TECH/../mvc_lib
+
+work = work
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Value of 3 or 2008 for VHDL-2008
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Turn off PSL assertion warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Treat as errors:
+;   case statement static warnings
+;   warnings caused by aggregates that are not locally static
+; Overrides NoCaseStaticError, NoOthersStaticError settings.
+; PedanticErrors = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Perform default binding at compile time.
+; Default is to do default binding at load time.
+; BindAtCompile = 1;
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+; Run the 0-in compiler on the VHDL source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Turn on code coverage in VHDL design units. Default is off.
+; Coverage = sbceft
+
+; Turn off code coverage in VHDL subprograms. Default is on.
+; CoverageSub = 0
+
+; Automatically exclude VHDL case statement default branches. 
+; Default is to not exclude.
+; CoverExcludeDefault = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Inform code coverage optimizations to respect VHDL 'H' and 'L'
+; values on signals in conditions and expressions, and to not automatically
+; convert them to '1' and '0'. Default is to not convert.
+; CoverRespectHandL = 0
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a VHDL condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+; Use this directory for compiler temporary files instead of "work/_temp"
+; CompilerTempDir = /tmp
+
+; Add VHDL-AMS declarations to package STANDARD
+; Default is not to add
+; AmsStandard = 1
+
+; Range and length checking will be performed on array indices and discrete
+; ranges, and when violations are found within subprograms, errors will be
+; reported. Default is to issue warnings for violations, because subprograms
+; may not be invoked.
+; NoDeferSubpgmCheck = 0
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/report/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/report/UCDB etc. This does not affect ;
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0 
+
+[vlog]
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn on `protect compiler directive processing.
+; Default is to ignore `protect directives.
+; Protect = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn on bad option warning. Default is off.
+; Show_BadOptionWarning = 1
+
+; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
+; vlog95compat = 1
+
+; Turn off PSL warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Set the threshold for automatically identifying sparse Verilog memories.
+; A memory with depth equal to or more than the sparse memory threshold gets
+; marked as sparse automatically, unless specified otherwise in source code
+; or by +nosparse commandline option of vlog or vopt.
+; The default is 1M.  (i.e. memories with depth equal
+; to or greater than 1M are marked as sparse)
+; SparseMemThreshold = 1048576 
+
+; Set the maximum number of iterations permitted for a generate loop.
+; Restricting this permits the implementation to recognize infinite
+; generate loops.
+; GenerateLoopIterationMax = 100000
+
+; Set the maximum depth permitted for a recursive generate instantiation.
+; Restricting this permits the implementation to recognize infinite
+; recursions.
+; GenerateRecursionDepthMax = 200
+
+; Run the 0-in compiler on the Verilog source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Set the option to treat all files specified in a vlog invocation as a
+; single compilation unit. The default value is set to 0 which will treat
+; each file as a separate compilation unit as specified in the P1800 draft standard.
+; MultiFileCompilationUnit = 1
+
+; Turn on code coverage in Verilog design units. Default is off.
+; Coverage = sbceft
+
+; Automatically exclude Verilog case statement default branches. 
+; Default is to not automatically exclude defaults.
+; CoverExcludeDefault = 1
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a Verilog condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+
+; Turn on code coverage in VLOG `celldefine modules and modules included
+; using vlog -v and -y. Default is off.
+; CoverCells = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on. This is a number from 1 to 4, with the following
+; meanings (the default is 3):
+;    1 -- Turn off all optimizations that affect coverage reports.
+;    2 -- Allow optimizations that allow large performance improvements 
+;         by invoking sequential processes only when the data changes. 
+;         This may make major reductions in coverage counts.
+;    3 -- In addition, allow optimizations that may change expressions or 
+;         remove some statements. Allow constant propagation. Allow VHDL
+;         subprogram inlining and VHDL FF recognition. 
+;    4 -- In addition, allow optimizations that may remove major regions of 
+;         code by changing assignments to built-ins or removing unused
+;         signals. Change Verilog gates to continuous assignments.
+; CoverOpt = 3
+
+; Specify the override for the default value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then LRM default
+; value of 0 (zero) is used. This is a compile time option.
+; SVCrossNumPrintMissingDefault = 0
+
+; Setting following to 1 would cause creation of variables which
+; would represent the value of Coverpoint expressions. This is used
+; in conjunction with "SVCoverpointExprVariablePrefix" option
+; in the modelsim.ini
+; EnableSVCoverpointExprVariable = 0
+
+; Specify the override for the prefix used in forming the variable names
+; which represent the Coverpoint expressions. This is used in conjunction with 
+; "EnableSVCoverpointExprVariable" option of the modelsim.ini
+; The default prefix is "expr".
+; The variable name is
+;    variable name => <prefix>_<coverpoint name>
+; SVCoverpointExprVariablePrefix = expr
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross option.goal (defined to be 100 in the LRM).
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupGoalDefault = 100
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupTypeGoalDefault = 100
+
+; Specify the override for the default value of "strobe" option for the
+; Covergroup Type. This is a compile time option which forces "strobe" to
+; a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero). NOTE: This can be overriden by a runtime
+; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
+; SVCovergroupStrobeDefault = 0
+
+; Specify the override for the default value of "merge_instances" option for
+; the Covergroup Type. This is a compile time option which forces 
+; "merge_instances" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupMergeInstancesDefault = 0
+
+; Specify the override for the default value of "per_instance" option for the
+; Covergroup variables. This is a compile time option which forces "per_instance"
+; to a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero).
+; SVCovergroupPerInstanceDefault = 0
+
+; Specify the override for the default value of "get_inst_coverage" option for the
+; Covergroup variables. This is a compile time option which forces 
+; "get_inst_coverage" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupGetInstCoverageDefault = 0
+
+;
+; A space separated list of resource libraries that contain precompiled
+; packages.  The behavior is identical to using the "-L" switch.
+; 
+; LibrarySearchPath = <path/lib> [<path/lib> ...]
+LibrarySearchPath = mtiAvm mtiOvm mtiUPF
+
+; The behavior is identical to the "-mixedansiports" switch.  Default is off.
+; MixedAnsiPorts = 1
+
+; Enable SystemVerilog 3.1a $typeof() function. Default is off.
+; EnableTypeOf = 1
+
+; Only allow lower case pragmas. Default is disabled.
+; AcceptLowerCasePragmaOnly = 1
+
+; Set the maximum depth permitted for a recursive include file nesting.
+; IncludeRecursionDepthMax = 5
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Turn off detections of FSMs having x-assignment.
+; FsmXAssign = 0
+
+; List of file suffixes which will be read as SystemVerilog.  White space
+; in extensions can be specified with a back-slash: "\ ".  Back-slashes
+; can be specified with two consecutive back-slashes: "\\";
+; SVFileExtensions = sv svp svh
+
+; This setting is the same as the vlog -sv command line switch.
+; Enables SystemVerilog features and keywords when true (1).
+; When false (0), the rules of IEEE Std 1364-2001 are followed and 
+; SystemVerilog keywords are ignored. 
+; Svlog = 0
+
+; Prints attribute placed upon SV packages during package import
+; when true (1).  The attribute will be ignored when this
+; entry is false (0). The attribute name is "package_load_message".
+; The value of this attribute is a string literal.
+; Default is true (1).
+; PrintSVPackageLoadingAttribute = 1
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[sccom]
+; Enable use of SCV include files and library.  Default is off.
+; UseScv = 1
+
+; Add C++ compiler options to the sccom command line by using this variable.
+; CppOptions = -g
+
+; Use custom C++ compiler located at this path rather than the default path.
+; The path should point directly at a compiler executable.
+; CppPath = /usr/bin/g++
+
+; Enable verbose messages from sccom.  Default is off.
+; SccomVerbose = 1
+
+; sccom logfile.  Default is no logfile.
+; SccomLogfile = sccom.log
+
+; Enable use of SC_MS include files and library.  Default is off.
+; UseScMs = 1
+
+[vopt]
+; Turn on code coverage in vopt.  Default is off. 
+; Coverage = sbceft
+
+; Control compiler optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a vopt condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[vsim]
+; vopt flow
+; Set to turn on automatic optimization of a design.
+; Default is on
+VoptFlow = 1
+
+; vopt automatic SDF
+; If automatic design optimization is on, enables automatic compilation
+; of SDF files.
+; Default is on, uncomment to turn off.
+; VoptAutoSDFCompile = 0
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ns
+
+; Disable certain code coverage exclusions automatically. 
+; Assertions and FSM are exluded from the code coverage by default
+; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
+; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
+; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
+; Or specify comma or space separated list
+;AutoExclusionsDisable = fsm,assertions
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Control PSL and Verilog Assume directives during simulation
+; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
+; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
+; SimulateAssumeDirectives = 1 
+
+; Control the simulation of PSL and SVA
+; These switches can be overridden by the vsim command line switches:
+;    -psl, -nopsl, -sva, -nosva.
+; Set SimulatePSL = 0 to disable PSL simulation
+; Set SimulatePSL = 1 to enable PSL simulation (default)
+; SimulatePSL = 1 
+; Set SimulateSVA = 0 to disable SVA simulation
+; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
+; SimulateSVA = 1 
+
+; Directives to license manager can be set either as single value or as
+; space separated multi-values:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license is not available
+; viewsim       Try for viewer license but accept simulator license(s) instead
+;               of queuing for viewer license (PE ONLY)
+; noviewer     Disable checkout of msimviewer and vsim-viewer license 
+;              features (PE ONLY)
+; noslvhdl     Disable checkout of qhsimvh and vsim license features
+; noslvlog     Disable checkout of qhsimvl and vsimvlog license features
+; nomix                Disable checkout of msimhdlmix and hdlmix license features
+; nolnl                Disable checkout of msimhdlsim and hdlsim license features
+; mixedonly    Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license 
+;              features
+; lnlonly      Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
+;              hdlmix license features
+; Single value:
+; License = plus
+; Multi-value:
+; License = noqueue plus
+
+; Stop the simulator after a VHDL/Verilog immediate assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; VHDL assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %i - Instance pathname with process
+; %O - Process name
+; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
+; %P - Instance or Region path without leaf process
+; %F - File
+; %L - Line number of assertion or, if assertion is in a subprogram, line
+;      from which the call is made
+; %% - Print '%' character
+; If specific format for assertion level is defined, use its format.
+; If specific format is not defined for assertion level:
+; - and if failure occurs during elaboration, use MessageFormatBreakLine;
+; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
+;   level), use MessageFormatBreak;
+; - otherwise, use MessageFormat.
+; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
+; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+
+; Error File - alternate file for storing error messages
+; ErrorFile = error.log
+
+
+; Simulation Breakpoint messages
+; This flag controls the display of function names when reporting the location
+; where the simulator stops do to a breakpoint or fatal error.
+; Example w/function name:  # Break in Process ctr at counter.vhd line 44
+; Example wo/function name: # Break at counter.vhd line 44
+ShowFunctions = 1
+
+; Default radix for all windows and commands.
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; VSIM Shutdown file
+; Filename to save u/i formats and configurations.
+; ShutdownFile = restart.do
+; To explicitly disable auto save:
+; ShutdownFile = --disable-auto-save
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example: sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Specify a unique path separator for the Signal Spy set of functions. 
+; The default will be to use the PathSeparator variable.
+; Must not be the same character as DatasetSeparator.
+; SignalSpyPathSeparator = /
+
+; Used to control parsing of HDL identifiers input to the tool.
+; This includes CLI commands, vsim/vopt/vlog/vcom options,
+; string arguments to FLI/VPI/DPI calls, etc.
+; If set to 1, accept either Verilog escaped Id syntax or
+; VHDL extended id syntax, regardless of source language.
+; If set to 0, the syntax of the source language must be used.
+; Each identifier in a hierarchical name may need different syntax,
+; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
+;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
+; GenerousIdentifierParsing = 1
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Disable System Verilog assertion messages
+; IgnoreSVAInfo = 1 
+; IgnoreSVAWarning = 1
+; IgnoreSVAError = 1
+; IgnoreSVAFatal = 1
+
+; Do not print any additional information from Severity System tasks.
+; Only the message provided by the user is printed along with severity
+; information.
+; SVAPrintOnlyUserMessage = 1;
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings when changing VHDL constants and generics
+; Default is 1 to generate warning messages
+; WarnConstantChange = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
+; The term "out-of-the-blue" refers to SystemVerilog export function calls
+; made from C functions that don't have the proper context setup
+; (as is the case when running under "DPI-C" import functions).
+; When this is enabled, one can call a DPI export function
+; (but not task) from any C code.
+; the setting of this variable can be one of the following values:
+; 0 : dpioutoftheblue call is disabled (default)
+; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
+; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
+; DpiOutOfTheBlue = 1
+
+; Specify whether continuous assignments are run before other normal priority
+; processes scheduled in the same iteration. This event ordering minimizes race
+; differences between optimized and non-optimized designs, and is the default
+; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
+; ImmediateContinuousAssign to 0.
+; The default is 1 (enabled).
+; ImmediateContinuousAssign = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Which default VPI object model should the tool conform to?
+; The 1364 modes are Verilog-only, for backwards compatibility with older
+; libraries, and SystemVerilog objects are not available in these modes.
+; 
+; In the absence of a user-specified default, the tool default is the
+; latest available LRM behavior.
+; Options for PliCompatDefault are:
+;  VPI_COMPATIBILITY_VERSION_1364v1995
+;  VPI_COMPATIBILITY_VERSION_1364v2001
+;  VPI_COMPATIBILITY_VERSION_1364v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2008
+;
+; Synonyms for each string are also recognized:
+;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
+;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
+;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
+
+
+; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
+; DefaultRestartOptions = -force
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Specify whether or not a WLF file should be indexed during 
+; simulation.  If set to 0, the WLF file will not be indexed.
+; The default is 1, indexed the WLF file.
+; WLFIndex = 0
+
+; Specify whether or not a WLF file should be optimized during 
+; simulation.  If set to 0, the WLF file will not be optimized.
+; The default is 1, optimize the WLF file.
+; WLFOptimize = 0
+
+; Specify the name of the WLF file.
+; The default is vsim.wlf
+; WLFFilename = vsim.wlf
+
+; Specify the WLF reader cache size limit for each open WLF file.  
+; The size is giving in megabytes.  A value of 0 turns off the
+; WLF cache. 
+; WLFSimCacheSize allows a different cache size to be set for 
+; simulation WLF file independent of post-simulation WLF file 
+; viewing.  If WLFSimCacheSize is not set it defaults to the
+; WLFCacheSize setting.
+; The default WLFCacheSize setting is enabled to 256M per open WLF file.
+; WLFCacheSize = 2000
+; WLFSimCacheSize = 500
+
+; Specify the WLF file event collapse mode.
+; 0 = Preserve all events and event order. (same as -wlfnocollapse)
+; 1 = Only record values of logged objects at the end of a simulator iteration. 
+;     (same as -wlfcollapsedelta)
+; 2 = Only record values of logged objects at the end of a simulator time step. 
+;     (same as -wlfcollapsetime)
+; The default is 1.
+; WLFCollapseMode = 0
+
+; Specify whether WLF file logging can use threads on multi-processor machines
+; if 0, no threads will be used, if 1, threads will be used if the system has
+; more than one processor
+; WLFUseThreads = 1
+
+; Turn on/off undebuggable SystemC type warnings. Default is on.
+; ShowUndebuggableScTypeWarning = 0
+
+; Turn on/off unassociated SystemC name warnings. Default is off.
+; ShowUnassociatedScNameWarning = 1
+
+; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
+; ScShowIeeeDeprecationWarnings = 1
+
+; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
+; ScEnableScSignalWriteCheck = 1
+
+; Set SystemC default time unit.
+; Set to fs, ps, ns, us, ms, or sec with optional 
+; prefix of 1, 10, or 100.  The default is 1 ns.
+; The ScTimeUnit value is honored if it is coarser than Resolution.
+; If ScTimeUnit is finer than Resolution, it is set to the value
+; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
+; then the default time unit will be 1 ns.  However if Resolution 
+; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
+ScTimeUnit = ns
+
+; Set SystemC sc_main stack size. The stack size is set as an integer
+; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
+; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
+; on the amount of data on the sc_main() stack and the memory required
+; to succesfully execute the longest function call chain of sc_main().
+ScMainStackSize = 10 Mb
+
+; Turn on/off execution of remainder of sc_main upon quitting the current
+; simulation session. If the cumulative length of sc_main() in terms of 
+; simulation time units is less than the length of the current simulation
+; run upon quit or restart, sc_main() will be in the middle of execution.
+; This switch gives the option to execute the remainder of sc_main upon
+; quitting simulation. The drawback of not running sc_main till the end
+; is memory leaks for objects created by sc_main. If on, the remainder of
+; sc_main will be executed ignoring all delays. This may cause the simulator
+; to crash if the code in sc_main is dependent on some simulation state.
+; Default is on.
+ScMainFinishOnQuit = 1
+
+; Set the SCV relationship name that will be used to identify phase
+; relations.  If the name given to a transactor relation matches this
+; name, the transactions involved will be treated as phase transactions
+ScvPhaseRelationName = mti_phase
+
+; Customize the vsim kernel shutdown behavior at the end of the simulation.
+; Some common causes of the end of simulation are $finish (implicit or explicit), 
+; sc_stop(), tf_dofinish(), and assertion failures. 
+; This should be set to "ask", "exit", or "stop". The default is "ask".
+; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
+;            In GUI mode, a dialog box will pop up and ask for user confirmation 
+;            whether or not to quit the simulation.
+; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
+;            post-simulation tasks easier.
+; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
+; "final" -- Run SystemVerilog final blocks then behave as "stop".
+; Note: these ini variables can be overriden by the vsim command 
+;       line switch "-onfinish <ask|stop|exit>".
+OnFinish = ask
+
+; Print pending deferred assertion messages. 
+; Deferred assertion messages may be scheduled after the $finish in the same 
+; time step. Deferred assertions scheduled to print after the $finish are 
+; printed before exiting with severity level NOTE since it's not known whether
+; the assertion is still valid due to being printed in the active region
+; instead of the reactive region where they are normally printed.
+; OnFinishPendingAssert = 1;
+
+; Print "simstats" result at the end of simulation before shutdown.
+; If this is enabled, the simstats result will be printed out before shutdown.
+; The default is off.
+; PrintSimStats = 1
+
+; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Run simulator in assertion debug mode. Default is off.
+; AssertionDebug = 1
+
+; Turn on/off PSL/SVA concurrent assertion pass enable. 
+; For SVA, Default is on when the assertion has a pass action block, or
+; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
+; For PSL, Default is on only when vsim switch "-assertdebug" is used
+; and the vopt "+acc=a" flag is active.
+; AssertionPassEnable = 0 
+
+; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
+; AssertionFailEnable = 0
+
+; Set PSL/SVA concurrent assertion pass limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionPassLimit = 1
+
+; Set PSL/SVA concurrent assertion fail limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionFailLimit = 1
+
+; Turn on/off PSL concurrent assertion pass log. Default is off.
+; The flag does not affect SVA
+; AssertionPassLog = 1
+
+; Turn on/off PSL concurrent assertion fail log. Default is on.
+; The flag does not affect SVA
+; AssertionFailLog = 0
+
+; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
+; AssertionFailLocalVarLog = 0
+
+; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
+; 0 = Continue  1 = Break  2 = Exit
+; AssertionFailAction = 1
+
+; Enable the active thread monitor in the waveform display when assertion debug is enabled.
+; AssertionActiveThreadMonitor = 1
+
+; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
+; AssertionActiveThreadMonitorLimit = 5
+
+
+; As per strict 1850-2005 PSL LRM, an always property can either pass
+; or fail. However, by default, Questa reports multiple passes and
+; multiple fails on top always/never property (always/never operator
+; is the top operator under Verification Directive). The reason
+; being that Questa reports passes and fails on per attempt of the
+; top always/never property. Use the following flag to instruct
+; Questa to strictly follow LRM. With this flag, all assert/never
+; directives will start an attempt once at start of simulation.
+; The attempt can either fail, match or match vacuously.
+; For e.g. if always is the top operator under assert, the always will
+; keep on checking the property at every clock. If the property under
+; always fails, the directive will be considered failed and no more 
+; checking will be done for that directive. A top always property,
+; if it does not fail, will show a pass at end of simulation.
+; The default value is '0' (i.e. zero is off). For example:
+; PslOneAttempt = 1
+
+; Specify the number of clock ticks to represent infinite clock ticks.
+; This affects eventually!, until! and until_!. If at End of Simulation
+; (EOS) an active strong-property has not clocked this number of
+; clock ticks then neither pass or fail (vacuous match) is returned
+; else respective fail/pass is returned. The default value is '0' (zero)
+; which effectively does not check for clock tick condition. For example:
+; PslInfinityThreshold = 5000
+
+; Control how many thread start times will be preserved for ATV viewing for a given assertion
+; instance.  Default is -1 (ALL).
+; ATVStartTimeKeepCount = -1
+
+; Turn on/off code coverage
+; CodeCoverage = 0
+
+; Count all code coverage condition and expression truth table rows that match.
+; CoverCountAll = 1
+
+; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
+; is to include them.
+; ToggleNoIntegers = 1
+
+; Set the maximum number of values that are collected for toggle coverage of
+; VHDL integers. Default is 100;
+; ToggleMaxIntValues = 100
+
+; Set the maximum number of values that are collected for toggle coverage of
+; Verilog real. Default is 100;
+; ToggleMaxRealValues = 100
+
+; Turn on automatic inclusion of Verilog integers in toggle coverage, except
+; for enumeration types. Default is to include them.
+; ToggleVlogIntegers = 0
+
+; Turn on automatic inclusion of Verilog real type in toggle coverage, except
+; for shortreal types. Default is to not include them.
+; ToggleVlogReal = 1
+
+; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
+; Default is to not include them.
+; ToggleFixedSizeArray = 1
+
+; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
+; are included for toggle coverage. This leads to a longer simulation time with bigger
+; arrays covered with toggle coverage. Default is 1024.
+; ToggleMaxFixedSizeArray = 1024
+
+; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
+; TogglePackedAsVec = 0
+
+; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
+; ToggleVlogEnumBits = 0
+
+; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
+; For unlimited width, set to 0.
+; ToggleWidthLimit = 128
+
+; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
+; reached this count, further activity on the bit is ignored. Default is 1.
+; For unlimited counts, set to 0.
+; ToggleCountLimit = 1
+
+; Turn on/off all PSL/SVA cover directive enables.  Default is on.
+; CoverEnable = 0
+
+; Turn on/off PSL/SVA cover log.  Default is off "0".
+; CoverLog = 1
+
+; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
+; CoverAtLeast = 2
+
+; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
+; Any positive integer, -1 for infinity.
+; CoverLimit = 1
+
+; Specify the coverage database filename.
+; Default is "" (i.e. database is NOT automatically saved on close). 
+; UCDBFilename = vsim.ucdb
+
+; Specify the maximum limit for the number of Cross (bin) products reported
+; in XML and UCDB report against a Cross. A warning is issued if the limit
+; is crossed.
+; MaxReportRhsSVCrossProducts = 1000
+
+; Specify the override for the "auto_bin_max" option for the Covergroups.
+; If not specified then value from Covergroup "option" is used.
+; SVCoverpointAutoBinMax = 64
+
+; Specify the override for the value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then value
+; specified in the "option.cross_num_print_missing" is used. This
+; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
+; value specified by user in source file and any SVCrossNumPrintMissingDefault
+; specified in modelsim.ini.
+; SVCrossNumPrintMissing = 0
+
+; Specify whether to use the value of "cross_num_print_missing"
+; option in report and GUI for the Cross in Covergroups. If not specified then 
+; cross_num_print_missing is ignored for creating reports and displaying 
+; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
+; UseSVCrossNumPrintMissing = 0
+
+; Specify the override for the value of "strobe" option for the
+; Covergroup Type. If not specified then value in "type_option.strobe"
+; will be used. This is runtime option which forces "strobe" to
+; user specified value and supersedes user specified values in the
+; SystemVerilog Code. NOTE: This also overrides the compile time
+; default value override specified using "SVCovergroupStrobeDefault"
+; SVCovergroupStrobe = 0
+
+; Override for explicit assignments in source code to "option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
+; SVCovergroupGoal = 100
+
+; Override for explicit assignments in source code to "type_option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
+; SVCovergroupTypeGoal = 100
+
+; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
+; builtin functions, and report. This setting changes the default values of
+; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
+; behavior if explicit assignments are not made on option.get_inst_coverage and
+; type_option.merge_instances by the user. There are two vsim command line
+; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
+; The default value of this variable is 1
+; SVCovergroup63Compatibility = 1
+
+; Enable or disable generation of more detailed information about the sampling
+; of covergroup, cross, and coverpoints. It provides the details of the number
+; of times the covergroup instance and type were sampled, as well as details
+; about why covergroup, cross and coverpoint were not covered. A non-zero value
+; is to enable this feature. 0 is to disable this feature. Default is 0
+; SVCovergroupSampleInfo = 0
+
+; Specify the maximum number of Coverpoint bins in whole design for
+; all Covergroups.
+; MaxSVCoverpointBinsDesign = 2147483648 
+
+; Specify maximum number of Coverpoint bins in any instance of a Covergroup
+; MaxSVCoverpointBinsInst = 2147483648
+
+; Specify the maximum number of Cross bins in whole design for
+; all Covergroups.
+; MaxSVCrossBinsDesign = 2147483648 
+
+; Specify maximum number of Cross bins in any instance of a Covergroup
+; MaxSVCrossBinsInst = 2147483648
+
+; Set weight for all PSL/SVA cover directives.  Default is 1.
+; CoverWeight = 2
+
+; Check vsim plusargs.  Default is 0 (off).
+; 0 = Don't check plusargs
+; 1 = Warning on unrecognized plusarg
+; 2 = Error and exit on unrecognized plusarg
+; CheckPlusargs = 1
+
+; Load the specified shared objects with the RTLD_GLOBAL flag.
+; This gives global visibility to all symbols in the shared objects,
+; meaning that subsequently loaded shared objects can bind to symbols
+; in the global shared objects.  The list of shared objects should
+; be whitespace delimited.  This option is not supported on the
+; Windows or AIX platforms.
+; GlobalSharedObjectList = example1.so example2.so example3.so
+
+; Run the 0in tools from within the simulator. 
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0in runtime tool.
+; Default value set to "".
+; ZeroInOptions = ""
+
+; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
+; Sv_Seed = 0
+
+; Maximum size of dynamic arrays that are resized during randomize().
+; The default is 1000. A value of 0 indicates no limit.
+; SolveArrayResizeMax = 1000
+
+; Error message severity when randomize() failure is detected (SystemVerilog).
+; The default is 0 (no error).
+; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+; SolveFailSeverity = 0
+
+; Enable/disable debug information for randomize() failures (SystemVerilog).
+; The default is 0 (disabled). Set to 1 to enable.
+; SolveFailDebug = 0
+
+; When SolveFailDebug is enabled, this value specifies the algorithm used to
+; discover conflicts between constraints for randomize() failures.
+; The default is "many".
+;
+; Valid schemes are:
+;    "many" = best for determining conflicts due to many related constraints
+;    "few"  = best for determining conflicts due to few related constraints
+;
+; SolveFailDebugScheme = many
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum number of constraint subsets that will be tested for
+; conflicts.
+; The default is 0 (no limit).
+; SolveFailDebugLimit = 0
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum size of constraint subsets that will be tested for
+; conflicts.
+; The default value is 0 (no limit).
+; SolveFailDebugMaxSet = 0
+
+; Maximum size of the solution graph that may be generated during randomize().
+; This value can be used to force randomize() to abort if the memory
+; requirements of the constraint scenario exceeds the specified limit. This
+; value is specified in 1000s of nodes.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxSize = 10000
+
+; Maximum number of evaluations that may be performed on the solution graph
+; generated during randomize(). This value can be used to force randomize() to
+; abort if the complexity of the constraint scenario (in time) exceeds the
+; specified limit. This value is specified in 10000s of evaluations.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxEval = 10000
+
+; Use SolveFlags to specify options that will guide the behavior of the
+; constraint solver. These options may improve the performance of the
+; constraint solver for some testcases, and decrease the performance of
+; the constraint solver for others.
+; The default value is "" (no options).
+;
+; Valid flags are:
+;    i = disable bit interleaving for >, >=, <, <= constraints
+;    n = disable bit interleaving for all constraints
+;    r = reverse bit interleaving
+;
+; SolveFlags =
+
+; Specify random sequence compatiblity with a prior letter release. This 
+; option is used to get the same random sequences during simulation as
+; as a prior letter release. Only prior letter releases (of the current
+; number release) are allowed.
+; Note: To achieve the same random sequences, solver optimizations and/or
+; bug fixes introduced since the specified release may be disabled - 
+; yielding the performance / behavior of the prior release.
+; Default value set to "" (random compatibility not required).
+; SolveRev =
+
+; Environment variable expansion of command line arguments has been depricated 
+; in favor shell level expansion.  Universal environment variable expansion 
+; inside -f files is support and continued support for MGC Location Maps provide
+; alternative methods for handling flexible pathnames.
+; The following line may be uncommented and the value set to 1 to re-enable this 
+; deprecated behavior.  The default value is 0.
+; DeprecatedEnvironmentVariableExpansion = 0
+
+; Turn on/off collapsing of bus ports in VCD dumpports output
+DumpportsCollapse = 1
+
+; Location of Multi-Level Verification Component (MVC) installation. 
+; The default location is the product installation directory.
+; MvcHome = $MODEL_TECH/...
+
+[lmc]
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software
+libsm = $MODEL_TECH/libsm.sl
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libsm = $MODEL_TECH/libsm.dll
+;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
+; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
+;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
+; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
+;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
+; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
+;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/linux.lib/libswift.so
+
+; The simulator's interface to Logic Modeling's hardware modeler SFI software
+libhm = $MODEL_TECH/libhm.sl
+; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
+; libhm = $MODEL_TECH/libhm.dll
+;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
+; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
+;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
+; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
+;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
+; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
+;  Logic Modeling's hardware modeler SFI software (Windows NT)
+; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
+;  Logic Modeling's hardware modeler SFI software (Linux)
+; libsfi = <sfi_dir>/lib/linux/libsfi.so
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; suppress can be used to achieve +nowarn<CODE> functionality
+; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+;   suppress = 3009,CNNODP,3043,TFMPC
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of Verilog display system task messages and
+; PLI/FLI print function call messages.  The system tasks include
+; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
+; also include the analogous file I/O tasks that write to STDOUT 
+; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
+; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
+; is to have messages appear only in the transcript.  The other 
+; settings are to send messages to the wlf file only (messages that
+; are recorded in the wlf file can be viewed in the MsgViewer) or 
+; to both the transcript and the wlf file.  The valid values are
+;    tran  {transcript only (default)}
+;    wlf   {wlf file only}
+;    both  {transcript and wlf file}
+; displaymsgmode = tran
+
+; Control transcripting of elaboration/runtime messages not
+; addressed by the displaymsgmode setting.  The default is to 
+; have messages appear in the transcript and recorded in the wlf
+; file (messages that are recorded in the wlf file can be viewed
+; in the MsgViewer).  The other settings are to send messages 
+; only to the transcript or only to the wlf file.  The valid 
+; values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
diff --git a/bsp4/Designflow/sim/beh/vsim.wlf b/bsp4/Designflow/sim/beh/vsim.wlf
new file mode 100644 (file)
index 0000000..82ca25c
Binary files /dev/null and b/bsp4/Designflow/sim/beh/vsim.wlf differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/_deps b/bsp4/Designflow/sim/beh/work/@_opt/_deps
new file mode 100644 (file)
index 0000000..912b3ba
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/_deps differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g b/bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g
new file mode 100644 (file)
index 0000000..9cce48a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt088w1g differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb b/bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb
new file mode 100644 (file)
index 0000000..0302d12
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt09rzvb differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07 b/bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07
new file mode 100644 (file)
index 0000000..49eef3a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt0wdg07 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt1c269c b/bsp4/Designflow/sim/beh/work/@_opt/vopt1c269c
new file mode 100644 (file)
index 0000000..3b61e8b
--- /dev/null
@@ -0,0 +1,417 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Pmath_complex
+Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+Z2 OL;C;6.5b;42
+31
+b1
+Z3 Mx1 4 work 9 math_real
+Z4 OP;C;6.5b;42
+Z5 w1208391546
+Z6 d$MODEL_TECH/..
+Z7 8vhdl_src/ieee/1076-2code.vhd
+Z8 Fvhdl_src/ieee/1076-2code.vhd
+l0
+L687
+V1a;R8Z_kc3Q7^>9;gKVIV0
+Z9 OE;C;6.5b;42
+Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..}
+Z11 tExplicit 1
+!s100 j6YPGc@:alQm=gAZDnLd<2
+Bbody
+DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0
+R1
+R2
+31
+R3
+R4
+l0
+L3719
+VIMmI^hXJEW@Uoa4kJFX:K1
+R9
+R10
+R11
+nbody
+!s100 GRUnO8ScI[9kFB=Ki3;5f2
+Pmath_real
+R2
+31
+b1
+R4
+R5
+R6
+R7
+R8
+l0
+L55
+VzjAF7SKfg_RPI0GT^n1N`1
+R9
+R10
+R11
+!s100 ?h[BJdc9h<H[IRQe:3oKI1
+Bbody
+DBx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+R2
+31
+R4
+l0
+L1772
+V:TOmE?QHig?1Xi[gFIA[l1
+R9
+R10
+R11
+nbody
+!s100 k8]3?:F=XKke_dV>AMLfn1
+Pnumeric_bit
+R2
+31
+b1
+R4
+Z12 w1242971927
+R6
+Z13 8vhdl_src/ieee/mti_numeric_bit.vhd
+Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd
+l0
+L58
+V0:R3B671ke]N`8]?lK_c_1
+R9
+Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3
+R11
+!s100 b164i8a]Ti[DoEJ?8VoH00
+Bbody
+DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1
+R2
+31
+R4
+l0
+L1045
+VMl`J4ca2be3ejNXY`>k4Y1
+R9
+R15
+R11
+nbody
+!s100 G_bI[L810b3Q]LV2V2za01
+Pnumeric_std
+Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+b1
+Z17 Mx1 4 ieee 14 std_logic_1164
+R4
+R12
+R6
+Z18 8vhdl_src/ieee/mti_numeric_std.vhd
+Z19 Fvhdl_src/ieee/mti_numeric_std.vhd
+l0
+L57
+V=NSdli^?T5OD8;4F<blj<3
+R9
+R15
+R11
+!s100 VoXZ=H`a=49gQGdC[Y9Z21
+Bbody
+DBx4 work 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
+R16
+R2
+31
+R17
+R4
+l0
+L1100
+V;m@IM<mVXokEM:EdoJkM40
+R9
+R15
+R11
+nbody
+!s100 1cgbZWo^oXbeE6NO65mZ=1
+Pstd_logic_1164
+R2
+31
+b1
+R4
+R12
+R6
+Z20 8vhdl_src/ieee/stdlogic.vhd
+Z21 Fvhdl_src/ieee/stdlogic.vhd
+l0
+L36
+VGH1=`jDDBJ=`LM;:Ak`kf2
+R9
+R10
+R11
+!s100 Z6;nC83Z4f^^XJaZ:TVAb1
+Bbody
+DBx4 work 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+R4
+l0
+L169
+V?YNEkS<^lY?<6LBZLFa8D0
+R9
+R10
+R11
+nbody
+!s100 6leLR2`?2Fd;N4T0X@_oa3
+Pstd_logic_arith
+R16
+R2
+31
+b1
+R17
+R4
+R12
+R6
+Z22 8vhdl_src/synopsys/mti_std_logic_arith.vhd
+Z23 Fvhdl_src/synopsys/mti_std_logic_arith.vhd
+l0
+L25
+VGJbAT?7@hRQU9IQ702DT]2
+R9
+R10
+R11
+!s100 Sa7R1jMegK@3B0AV8`ReA0
+Bbody
+DBx4 work 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+R17
+R4
+l0
+L620
+V@]n`Xb_DgYnHKLT95S1dB1
+R9
+R10
+R11
+nbody
+!s100 P1PiLbE11nL731z_^XjK92
+Pstd_logic_misc
+Z24 DPx8 synopsys 10 attributes 0 22 2Q8I4L@H0S1aHEXkjUYDC1
+R16
+R2
+31
+b1
+Z25 Mx2 4 ieee 14 std_logic_1164
+Z26 Mx1 8 synopsys 10 attributes
+R4
+R12
+R6
+Z27 8vhdl_src/synopsys/mti_std_logic_misc.vhd
+Z28 Fvhdl_src/synopsys/mti_std_logic_misc.vhd
+l0
+L24
+VD2f;@P3IKJA9T^H8HI[9K0
+R9
+R10
+R11
+!s100 1zB4YNJ<`YghL_A>3aVEY0
+Bbody
+DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0
+R24
+R16
+R2
+31
+R25
+R26
+R4
+l0
+L173
+Vd@dC3[2h4nN7HB2XD:8CM1
+R9
+R10
+R11
+nbody
+!s100 Nh<M=F4GQcbj[<UaS33LA1
+Pstd_logic_signed
+Z29 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+b1
+R25
+Z30 Mx1 4 ieee 15 std_logic_arith
+R4
+R12
+R6
+Z31 8vhdl_src/synopsys/mti_std_logic_signed.vhd
+Z32 Fvhdl_src/synopsys/mti_std_logic_signed.vhd
+l0
+L35
+V<9<Kcl:S52:oW`F]FQhb20
+R9
+R10
+R11
+!s100 mSh:b6d=DKVg2KeEQH^kd0
+Bbody
+DBx4 work 16 std_logic_signed 0 22 <9<Kcl:S52:oW`F]FQhb20
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L232
+VDR>6>65S7FR:e[I>ADUQO1
+R9
+R10
+R11
+nbody
+!s100 ]?UNFEkZD:LZf;=G2=^OM3
+Pstd_logic_textio
+R16
+Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R2
+31
+b1
+Z34 Mx2 3 std 6 textio
+R17
+R4
+R12
+R6
+Z35 8vhdl_src/synopsys/std_logic_textio.vhd
+Z36 Fvhdl_src/synopsys/std_logic_textio.vhd
+l0
+L22
+V8YS?iX`WD1REQG`ZRYQGB2
+R9
+R10
+R11
+!s100 <34OlBOka?E186MPPbJ<F1
+Bbody
+DBx4 work 16 std_logic_textio 0 22 8YS?iX`WD1REQG`ZRYQGB2
+R16
+R33
+R2
+31
+R34
+R17
+R4
+l0
+L70
+Vj9DSczGXI>dbiF;m2[GMa2
+R9
+R10
+R11
+nbody
+!s100 6OHe=[AFemLP2O5e01aCn1
+Pstd_logic_unsigned
+R29
+R16
+R2
+31
+b1
+R25
+R30
+R4
+R12
+R6
+Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd
+Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd
+l0
+L34
+VhEMVMlaNCR^<OOoVNV;m90
+R9
+R10
+R11
+!s100 m;ka?gIZQ?7M5D732VDkQ2
+Bbody
+DBx4 work 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L234
+V1=Y]oOSl8JChnzj5R39ha2
+R9
+R10
+R11
+nbody
+!s100 4k4oOhm[kk0Z>a:GNXQeK2
+Pvital_primitives
+Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R16
+R2
+30
+b1
+R25
+Mx1 4 ieee 12 vital_timing
+R4
+Z40 w1242971928
+R6
+8vhdl_src/vital95/prmtvs_p.vhd
+Fvhdl_src/vital95/prmtvs_p.vhd
+l0
+L47
+VE9g6AWKAc2T]enMfl94If3
+R9
+Z41 o-87 -novital -novital -work ieee -dirpath {$MODEL_TECH/..}
+R11
+!s100 j6nRfL18l=3@J0:=7g8GH0
+Bbody
+DBx4 work 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+R33
+R39
+R16
+R2
+30
+Z42 Mx3 4 ieee 14 std_logic_1164
+Mx2 4 ieee 12 vital_timing
+Z43 Mx1 3 std 6 textio
+R4
+8vhdl_src/vital95/prmtvs_b.vhd
+Fvhdl_src/vital95/prmtvs_b.vhd
+l0
+L26
+V>[EMmIIzoCHn?@614I_=a3
+R9
+R41
+R11
+nbody
+!s100 ccDc[]`DWjj?>mGBe93>82
+Pvital_timing
+R16
+R2
+30
+b1
+R17
+R4
+R40
+R6
+8vhdl_src/vital95/timing_p.vhd
+Fvhdl_src/vital95/timing_p.vhd
+l0
+L46
+VOBWK>;kUYmkG<OChK2lhV1
+R9
+R41
+R11
+!s100 0aicHc]@V^<Hc5ggAgIP82
+Bbody
+DBx4 work 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R33
+R16
+R2
+30
+R25
+R43
+R4
+8vhdl_src/vital95/timing_b.vhd
+Fvhdl_src/vital95/timing_b.vhd
+l0
+L25
+VfN[Pf:HE;^Z^LCeH6gGI81
+R9
+R41
+R11
+nbody
+!s100 hhU`7L40D93Ij3b8NNlJ>1
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs b/bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs
new file mode 100644 (file)
index 0000000..6126878
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt1mi4fs differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22 b/bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22
new file mode 100644 (file)
index 0000000..77689ad
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt1s6c22 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic b/bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic
new file mode 100644 (file)
index 0000000..ab05087
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt2f00ic differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt b/bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt
new file mode 100644 (file)
index 0000000..6de19e6
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt2k41kt differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn b/bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn
new file mode 100644 (file)
index 0000000..5d67137
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt3wcngn differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj b/bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj
new file mode 100644 (file)
index 0000000..e7a9248
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt5evwgj differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q b/bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q
new file mode 100644 (file)
index 0000000..62c3316
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt6rdi8q differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe b/bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe
new file mode 100644 (file)
index 0000000..267985a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt75akfe differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677 b/bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677
new file mode 100644 (file)
index 0000000..f9e6834
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt7k2677 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt8q1x0f b/bsp4/Designflow/sim/beh/work/@_opt/vopt8q1x0f
new file mode 100644 (file)
index 0000000..b8bac71
--- /dev/null
@@ -0,0 +1,239 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh
+T_opt
+Z1 V>_<bSg57WCBh^OH>^N2LM2
+Z2 04 12 0 work vga_conf_beh 1
+Z3 =1-0015609ed0a8-4af0563c-ddbab-76f4
+Z4 o-quiet -auto_acc_if_foreign -work work
+Z5 n@_opt
+Z6 OE;O;6.5b;42
+Eboard_driver
+Z7 w1255952276
+Z8 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z9 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z10 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+Z11 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z12 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd
+Z13 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd
+l0
+L36
+Z14 VBVQhR;nY9[R<n2hUAfP^Z2
+Z15 OE;C;6.5b;42
+32
+Z16 o-work work
+Z17 tExplicit 1
+Z18 !s100 ZmKIT`@9Y:8bV1lIMd:O50
+Abehav
+Z19 DEx4 work 12 board_driver 0 22 BVQhR;nY9[R<n2hUAfP^Z2
+R8
+R9
+R10
+R11
+Z20 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd
+Z21 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd
+l49
+L37
+Z22 VGBN_oSTG]bM6]TXPeRSH52
+R15
+32
+Z23 Mx4 4 ieee 14 std_logic_1164
+Z24 Mx3 4 ieee 18 std_logic_unsigned
+Z25 Mx2 4 ieee 15 std_logic_arith
+Z26 Mx1 4 work 7 vga_pak
+R16
+R17
+Z27 !s100 z`LzgF:SW^5X7Ld12aiE[3
+Evga
+Z28 w1257261048
+Z29 DPx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z30 DPx17 __model_tech/ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z31 DPx17 __model_tech/ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+Z32 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+32
+Z33 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd
+Z34 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd
+l0
+L38
+Z35 V;Z82Tkc_=iYE3=^SJM?Z72
+R15
+R16
+R17
+Z36 !s100 CZ;c?ESX2^VBDC6Nda8;Z0
+Abehav
+Z37 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<<C2
+Z38 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 10 vga_driver 0 22 WM]N=KVQa>:4ozHZC=^hX0
+Z39 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 12 board_driver 0 22 BVQhR;nY9[R<n2hUAfP^Z2
+Z40 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 3 vga 0 22 ;Z82Tkc_=iYE3=^SJM?Z72
+R29
+R30
+R31
+R32
+32
+Z41 Mx4 17 __model_tech/ieee 14 std_logic_1164
+Z42 Mx3 17 __model_tech/ieee 18 std_logic_unsigned
+Z43 Mx2 17 __model_tech/ieee 15 std_logic_arith
+Z44 Mx1 57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 7 vga_pak
+Z45 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd
+Z46 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd
+l109
+L36
+Z47 V^=j1omIkg8OEUbG72d1?23
+R15
+R16
+R17
+Z48 !s100 >[o9ATEzKLEaUQOnGh0z01
+Cvga_conf_beh
+R40
+Z49 DAx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2
+R29
+R30
+R31
+R32
+Z50 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh/work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1
+32
+R41
+R42
+R43
+R44
+Z51 abehaviour
+Z52 evga_tb
+Z53 w1257261507
+Z54 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_beh_tb.vhd
+Z55 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_beh_tb.vhd
+l0
+L187
+Z56 VeNNJi03>MIdzNk_IKJFBX0
+R15
+R16
+R17
+Z57 !s100 baoj;WW0d=L:Y@hn2U?=:1
+Evga_control
+R7
+R8
+R9
+R10
+R11
+Z58 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd
+Z59 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd
+l0
+L37
+Z60 VOiaY^6HGzj]Hj@bZog<<C2
+R15
+32
+R16
+R17
+Z61 !s100 ]^V2KE>B7amzdNkAG;;Ie2
+Abehav
+Z62 w1257263612
+Z63 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<<C2
+R8
+R9
+R10
+R11
+Z64 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd
+Z65 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd
+l54
+L36
+Z66 V@fLS?B6jn22QPJZ0cCfZD2
+R15
+32
+R23
+R24
+R25
+R26
+R16
+R17
+Z67 !s100 jOme[_j?BYK5fJJhD6S781
+Evga_driver
+Z68 w1257260979
+R29
+R30
+R31
+R32
+32
+Z69 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd
+Z70 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd
+l0
+L37
+Z71 VWM]N=KVQa>:4ozHZC=^hX0
+R15
+R16
+R17
+Z72 !s100 Y<?mNHeGL<kb9W4ng:D_62
+Abehav
+Z73 w1257264683
+R38
+R29
+R30
+R31
+R32
+32
+R41
+R42
+R43
+R44
+Z74 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd
+Z75 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd
+l89
+L36
+Z76 Ve;Di?_OoPUgXCMBlVURO<1
+R15
+R16
+R17
+Z77 !s100 m[>=IM[TaR5C=MnzMT7>c2
+Pvga_pak
+R30
+R31
+R32
+32
+Z78 Mx3 17 __model_tech/ieee 14 std_logic_1164
+Z79 Mx2 17 __model_tech/ieee 18 std_logic_unsigned
+Z80 Mx1 17 __model_tech/ieee 15 std_logic_arith
+R7
+Z81 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z82 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z83 VHkmzP=gd;mD@MOhh4AYKl3
+R15
+R16
+R17
+Z84 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_tb
+R53
+R29
+R30
+R31
+R32
+32
+R54
+R55
+l0
+L37
+Z85 VK;WQR0;ZeC2I8`N5aIRdM1
+R15
+R16
+R17
+Z86 !s100 KBk8Lb76>dJd2ihUfkYfd2
+Abehaviour
+R40
+R29
+R30
+R31
+R32
+R50
+32
+R41
+R42
+R43
+R44
+l100
+L45
+Z87 VI3NFZcjIh_=T`0za;J3h^2
+Z88 !s100 gzdc1SL=je=>NSFaLPW;]2
+R15
+R16
+R17
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m b/bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m
new file mode 100644 (file)
index 0000000..cee41b5
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopt9cmf6m differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptat15ic b/bsp4/Designflow/sim/beh/work/@_opt/voptat15ic
new file mode 100644 (file)
index 0000000..426ce4a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptat15ic differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw b/bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw
new file mode 100644 (file)
index 0000000..772199c
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptd6ztmw differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4 b/bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4
new file mode 100644 (file)
index 0000000..46061af
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptf0xsv4 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i b/bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i
new file mode 100644 (file)
index 0000000..6a658c2
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptfvzz2i differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1 b/bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1
new file mode 100644 (file)
index 0000000..df3eaf2
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptg7bft1 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptgbd035 b/bsp4/Designflow/sim/beh/work/@_opt/voptgbd035
new file mode 100644 (file)
index 0000000..1e8786e
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptgbd035 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy b/bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy
new file mode 100644 (file)
index 0000000..3cb5fa9
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptgwdgqy differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopth28297 b/bsp4/Designflow/sim/beh/work/@_opt/vopth28297
new file mode 100644 (file)
index 0000000..5a14dde
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopth28297 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f b/bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f
new file mode 100644 (file)
index 0000000..27620f0
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopthgx19f differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv b/bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv
new file mode 100644 (file)
index 0000000..95b7416
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptinwzrv differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptjqehey b/bsp4/Designflow/sim/beh/work/@_opt/voptjqehey
new file mode 100644 (file)
index 0000000..02098d6
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptjqehey differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn b/bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn
new file mode 100644 (file)
index 0000000..8e17550
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptkbz3cn differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptn3fj4t b/bsp4/Designflow/sim/beh/work/@_opt/voptn3fj4t
new file mode 100644 (file)
index 0000000..ca3cbe6
--- /dev/null
@@ -0,0 +1,78 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Penv
+Z1 OL;C;6.5b;42
+32
+b1
+Z2 OP;C;6.5b;42
+Z3 w1242971927
+Z4 d$MODEL_TECH/..
+Z5 8vhdl_src/std/env.vhd
+Z6 Fvhdl_src/std/env.vhd
+l0
+L1
+VMS<MD0@]6L0EihU2C?95]0
+Z7 OE;C;6.5b;42
+Z8 o-work std -dirpath {$MODEL_TECH/..}
+Z9 tExplicit 1
+!s100 Jk]WLNXXY90REn6H_ahP:3
+Bbody
+DBx4 work 3 env 0 22 MS<MD0@]6L0EihU2C?95]0
+R1
+32
+R2
+l0
+L11
+Vc=H5Zk>h;Gmh>9BN<MDk<3
+R7
+R8
+R9
+nbody
+!s100 Q2aL@L`86VXT`NWicN9BE0
+Pstandard
+R1
+33
+R2
+R3
+R4
+8vhdl_src/std/standard.vhd
+Fvhdl_src/std/standard.vhd
+l0
+L8
+VM]UbYN`go6foOAGb42z_92
+R7
+o-s -2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 ddgmKj`IlOCVkRDj1[3^93
+Ptextio
+R1
+33
+b1
+R2
+R3
+R4
+Z10 8vhdl_src/std/textio.vhd
+Z11 Fvhdl_src/std/textio.vhd
+l0
+L12
+Vm2KQDRRhmF833<<DjYdL70
+R7
+Z12 o-2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 9=H8XRm2GgHGk4^GSVfTD3
+Bbody
+DBx4 work 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R1
+33
+R2
+l0
+L162
+VCbz:dGNX5zl`2nYKYBS>`3
+R7
+R12
+R9
+nbody
+!s100 9k9n?Y0BCW@M9E4=S3GCM2
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptnychme b/bsp4/Designflow/sim/beh/work/@_opt/voptnychme
new file mode 100644 (file)
index 0000000..5fbe621
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptnychme differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca b/bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca
new file mode 100644 (file)
index 0000000..0e198d9
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptq7bhca differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms b/bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms
new file mode 100644 (file)
index 0000000..f20ea16
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptrmwfms differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw b/bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw
new file mode 100644 (file)
index 0000000..c605969
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptrq2naw differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6 b/bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6
new file mode 100644 (file)
index 0000000..879293f
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopttehwr6 differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r b/bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r
new file mode 100644 (file)
index 0000000..a4f58d4
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/vopttrm38r differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq b/bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq
new file mode 100644 (file)
index 0000000..1fbf69d
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptwvrcyq differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y b/bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y
new file mode 100644 (file)
index 0000000..259ae83
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptytjv9y differ
diff --git a/bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd b/bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd
new file mode 100644 (file)
index 0000000..38af788
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/@_opt/voptzrmegd differ
diff --git a/bsp4/Designflow/sim/beh/work/_info b/bsp4/Designflow/sim/beh/work/_info
new file mode 100644 (file)
index 0000000..b398668
--- /dev/null
@@ -0,0 +1,235 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/beh
+T_opt
+V>_<bSg57WCBh^OH>^N2LM2
+Z1 04 12 0 work vga_conf_beh 1
+Z2 =1-0015609ed0a8-4af0563c-ddbab-76f4
+Z3 o-quiet -auto_acc_if_foreign -work work
+Z4 n@_opt
+Z5 OE;O;6.5b;42
+Eboard_driver
+Z6 w1255952276
+Z7 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z8 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z9 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+Z10 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z11 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd
+Z12 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd
+l0
+L36
+Z13 VBVQhR;nY9[R<n2hUAfP^Z2
+Z14 OE;C;6.5b;42
+32
+Z15 o-work work
+Z16 tExplicit 1
+Z17 !s100 ZmKIT`@9Y:8bV1lIMd:O50
+Abehav
+Z18 DEx4 work 12 board_driver 0 22 BVQhR;nY9[R<n2hUAfP^Z2
+R7
+R8
+R9
+R10
+Z19 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd
+Z20 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd
+l49
+L37
+Z21 VGBN_oSTG]bM6]TXPeRSH52
+R14
+32
+Z22 Mx4 4 ieee 14 std_logic_1164
+Z23 Mx3 4 ieee 18 std_logic_unsigned
+Z24 Mx2 4 ieee 15 std_logic_arith
+Z25 Mx1 4 work 7 vga_pak
+R15
+R16
+Z26 !s100 z`LzgF:SW^5X7Ld12aiE[3
+Evga
+Z27 w1257261048
+R7
+R8
+R9
+R10
+Z28 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd
+Z29 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd
+l0
+L38
+Z30 V;Z82Tkc_=iYE3=^SJM?Z72
+R14
+32
+R15
+R16
+Z31 !s100 CZ;c?ESX2^VBDC6Nda8;Z0
+Abehav
+Z32 DEx4 work 3 vga 0 22 ;Z82Tkc_=iYE3=^SJM?Z72
+R7
+R8
+R9
+R10
+Z33 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd
+Z34 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd
+l109
+L36
+Z35 V^=j1omIkg8OEUbG72d1?23
+R14
+32
+R22
+R23
+R24
+R25
+R15
+R16
+Z36 !s100 >[o9ATEzKLEaUQOnGh0z01
+Cvga_conf_beh
+Z37 abehaviour
+Z38 evga_tb
+R32
+Z39 DAx4 work 6 vga_tb 9 behaviour 22 I3NFZcjIh_=T`0za;J3h^2
+R7
+R8
+R9
+R10
+Z40 DEx4 work 6 vga_tb 0 22 K;WQR0;ZeC2I8`N5aIRdM1
+Z41 w1257261507
+Z42 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_beh_tb.vhd
+Z43 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_beh_tb.vhd
+l0
+L187
+Z44 VeNNJi03>MIdzNk_IKJFBX0
+R14
+32
+R22
+R23
+R24
+R25
+R15
+R16
+Z45 !s100 baoj;WW0d=L:Y@hn2U?=:1
+Evga_control
+R6
+R7
+R8
+R9
+R10
+Z46 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd
+Z47 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd
+l0
+L37
+Z48 VOiaY^6HGzj]Hj@bZog<<C2
+R14
+32
+R15
+R16
+Z49 !s100 ]^V2KE>B7amzdNkAG;;Ie2
+Abehav
+Z50 w1257263612
+Z51 DEx4 work 11 vga_control 0 22 OiaY^6HGzj]Hj@bZog<<C2
+R7
+R8
+R9
+R10
+Z52 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd
+Z53 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd
+l54
+L36
+Z54 V@fLS?B6jn22QPJZ0cCfZD2
+R14
+32
+R22
+R23
+R24
+R25
+R15
+R16
+Z55 !s100 jOme[_j?BYK5fJJhD6S781
+Evga_driver
+Z56 w1257260979
+R7
+R8
+R9
+R10
+Z57 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd
+Z58 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd
+l0
+L37
+Z59 VWM]N=KVQa>:4ozHZC=^hX0
+R14
+32
+R15
+R16
+Z60 !s100 Y<?mNHeGL<kb9W4ng:D_62
+Abehav
+Z61 w1257264683
+Z62 DEx4 work 10 vga_driver 0 22 WM]N=KVQa>:4ozHZC=^hX0
+R7
+R8
+R9
+R10
+Z63 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd
+Z64 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd
+l89
+L36
+Z65 Ve;Di?_OoPUgXCMBlVURO<1
+R14
+32
+R22
+R23
+R24
+R25
+R15
+R16
+Z66 !s100 m[>=IM[TaR5C=MnzMT7>c2
+Pvga_pak
+R8
+R9
+R10
+R6
+Z67 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z68 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z69 VHkmzP=gd;mD@MOhh4AYKl3
+R14
+32
+Z70 Mx3 4 ieee 14 std_logic_1164
+Z71 Mx2 4 ieee 18 std_logic_unsigned
+Z72 Mx1 4 ieee 15 std_logic_arith
+R15
+R16
+Z73 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_tb
+R41
+R7
+R8
+R9
+R10
+R42
+R43
+l0
+L37
+Z74 VK;WQR0;ZeC2I8`N5aIRdM1
+R14
+32
+R15
+R16
+Z75 !s100 KBk8Lb76>dJd2ihUfkYfd2
+Abehaviour
+R7
+R8
+R9
+R10
+R40
+l100
+L45
+Z76 VI3NFZcjIh_=T`0za;J3h^2
+R14
+32
+R22
+R23
+R24
+R25
+R15
+R16
+Z77 !s100 gzdc1SL=je=>NSFaLPW;]2
diff --git a/bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI b/bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI
new file mode 100644 (file)
index 0000000..5e7614a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/_temp/vlogXLyaeI differ
diff --git a/bsp4/Designflow/sim/beh/work/_vmake b/bsp4/Designflow/sim/beh/work/_vmake
new file mode 100644 (file)
index 0000000..2f7e729
--- /dev/null
@@ -0,0 +1,3 @@
+m255
+K3
+cModel Technology
diff --git a/bsp4/Designflow/sim/beh/work/board_driver/_primary.dat b/bsp4/Designflow/sim/beh/work/board_driver/_primary.dat
new file mode 100644 (file)
index 0000000..2899009
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/board_driver/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/board_driver/_primary.dbs b/bsp4/Designflow/sim/beh/work/board_driver/_primary.dbs
new file mode 100644 (file)
index 0000000..0e54182
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/board_driver/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/board_driver/behav.dat b/bsp4/Designflow/sim/beh/work/board_driver/behav.dat
new file mode 100644 (file)
index 0000000..cb4a4ed
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/board_driver/behav.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/board_driver/behav.dbs b/bsp4/Designflow/sim/beh/work/board_driver/behav.dbs
new file mode 100644 (file)
index 0000000..a16ad76
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/board_driver/behav.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga/_primary.dat b/bsp4/Designflow/sim/beh/work/vga/_primary.dat
new file mode 100644 (file)
index 0000000..426ce4a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga/_primary.dbs
new file mode 100644 (file)
index 0000000..95b7416
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga/behav.dat b/bsp4/Designflow/sim/beh/work/vga/behav.dat
new file mode 100644 (file)
index 0000000..1fbf69d
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga/behav.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga/behav.dbs b/bsp4/Designflow/sim/beh/work/vga/behav.dbs
new file mode 100644 (file)
index 0000000..e7a9248
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga/behav.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dat b/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dat
new file mode 100644 (file)
index 0000000..6de19e6
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs
new file mode 100644 (file)
index 0000000..0302d12
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_conf_beh/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_control/_primary.dat b/bsp4/Designflow/sim/beh/work/vga_control/_primary.dat
new file mode 100644 (file)
index 0000000..b12964c
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_control/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_control/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga_control/_primary.dbs
new file mode 100644 (file)
index 0000000..648bf98
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_control/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_control/behav.dat b/bsp4/Designflow/sim/beh/work/vga_control/behav.dat
new file mode 100644 (file)
index 0000000..26157c6
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_control/behav.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_control/behav.dbs b/bsp4/Designflow/sim/beh/work/vga_control/behav.dbs
new file mode 100644 (file)
index 0000000..6ebabbc
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_control/behav.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dat b/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dat
new file mode 100644 (file)
index 0000000..6a658c2
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dbs
new file mode 100644 (file)
index 0000000..9cce48a
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_driver/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_driver/behav.dat b/bsp4/Designflow/sim/beh/work/vga_driver/behav.dat
new file mode 100644 (file)
index 0000000..a4f58d4
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_driver/behav.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_driver/behav.dbs b/bsp4/Designflow/sim/beh/work/vga_driver/behav.dbs
new file mode 100644 (file)
index 0000000..46061af
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_driver/behav.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dat b/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dat
new file mode 100644 (file)
index 0000000..8c36708
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dbs
new file mode 100644 (file)
index 0000000..f7779a4
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_pak/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dat b/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dat
new file mode 100644 (file)
index 0000000..5a14dde
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dbs b/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dbs
new file mode 100644 (file)
index 0000000..1e8786e
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_tb/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dat b/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dat
new file mode 100644 (file)
index 0000000..cee41b5
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dat differ
diff --git a/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dbs b/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dbs
new file mode 100644 (file)
index 0000000..38af788
Binary files /dev/null and b/bsp4/Designflow/sim/beh/work/vga_tb/behaviour.dbs differ
diff --git a/bsp4/Designflow/sim/post/modelsim.ini b/bsp4/Designflow/sim/post/modelsim.ini
new file mode 100644 (file)
index 0000000..0a48df5
--- /dev/null
@@ -0,0 +1,1305 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+others = $MODEL_TECH/../modelsim.ini
+;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
+;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
+;mvc_lib = $MODEL_TECH/../mvc_lib
+
+work = work
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Value of 3 or 2008 for VHDL-2008
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Turn off PSL assertion warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Treat as errors:
+;   case statement static warnings
+;   warnings caused by aggregates that are not locally static
+; Overrides NoCaseStaticError, NoOthersStaticError settings.
+; PedanticErrors = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Perform default binding at compile time.
+; Default is to do default binding at load time.
+; BindAtCompile = 1;
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+; Run the 0-in compiler on the VHDL source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Turn on code coverage in VHDL design units. Default is off.
+; Coverage = sbceft
+
+; Turn off code coverage in VHDL subprograms. Default is on.
+; CoverageSub = 0
+
+; Automatically exclude VHDL case statement default branches. 
+; Default is to not exclude.
+; CoverExcludeDefault = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Inform code coverage optimizations to respect VHDL 'H' and 'L'
+; values on signals in conditions and expressions, and to not automatically
+; convert them to '1' and '0'. Default is to not convert.
+; CoverRespectHandL = 0
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a VHDL condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+; Use this directory for compiler temporary files instead of "work/_temp"
+; CompilerTempDir = /tmp
+
+; Add VHDL-AMS declarations to package STANDARD
+; Default is not to add
+; AmsStandard = 1
+
+; Range and length checking will be performed on array indices and discrete
+; ranges, and when violations are found within subprograms, errors will be
+; reported. Default is to issue warnings for violations, because subprograms
+; may not be invoked.
+; NoDeferSubpgmCheck = 0
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/report/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/report/UCDB etc. This does not affect ;
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0 
+
+[vlog]
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn on `protect compiler directive processing.
+; Default is to ignore `protect directives.
+; Protect = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn on bad option warning. Default is off.
+; Show_BadOptionWarning = 1
+
+; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
+; vlog95compat = 1
+
+; Turn off PSL warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Set the threshold for automatically identifying sparse Verilog memories.
+; A memory with depth equal to or more than the sparse memory threshold gets
+; marked as sparse automatically, unless specified otherwise in source code
+; or by +nosparse commandline option of vlog or vopt.
+; The default is 1M.  (i.e. memories with depth equal
+; to or greater than 1M are marked as sparse)
+; SparseMemThreshold = 1048576 
+
+; Set the maximum number of iterations permitted for a generate loop.
+; Restricting this permits the implementation to recognize infinite
+; generate loops.
+; GenerateLoopIterationMax = 100000
+
+; Set the maximum depth permitted for a recursive generate instantiation.
+; Restricting this permits the implementation to recognize infinite
+; recursions.
+; GenerateRecursionDepthMax = 200
+
+; Run the 0-in compiler on the Verilog source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Set the option to treat all files specified in a vlog invocation as a
+; single compilation unit. The default value is set to 0 which will treat
+; each file as a separate compilation unit as specified in the P1800 draft standard.
+; MultiFileCompilationUnit = 1
+
+; Turn on code coverage in Verilog design units. Default is off.
+; Coverage = sbceft
+
+; Automatically exclude Verilog case statement default branches. 
+; Default is to not automatically exclude defaults.
+; CoverExcludeDefault = 1
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a Verilog condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+
+; Turn on code coverage in VLOG `celldefine modules and modules included
+; using vlog -v and -y. Default is off.
+; CoverCells = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on. This is a number from 1 to 4, with the following
+; meanings (the default is 3):
+;    1 -- Turn off all optimizations that affect coverage reports.
+;    2 -- Allow optimizations that allow large performance improvements 
+;         by invoking sequential processes only when the data changes. 
+;         This may make major reductions in coverage counts.
+;    3 -- In addition, allow optimizations that may change expressions or 
+;         remove some statements. Allow constant propagation. Allow VHDL
+;         subprogram inlining and VHDL FF recognition. 
+;    4 -- In addition, allow optimizations that may remove major regions of 
+;         code by changing assignments to built-ins or removing unused
+;         signals. Change Verilog gates to continuous assignments.
+; CoverOpt = 3
+
+; Specify the override for the default value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then LRM default
+; value of 0 (zero) is used. This is a compile time option.
+; SVCrossNumPrintMissingDefault = 0
+
+; Setting following to 1 would cause creation of variables which
+; would represent the value of Coverpoint expressions. This is used
+; in conjunction with "SVCoverpointExprVariablePrefix" option
+; in the modelsim.ini
+; EnableSVCoverpointExprVariable = 0
+
+; Specify the override for the prefix used in forming the variable names
+; which represent the Coverpoint expressions. This is used in conjunction with 
+; "EnableSVCoverpointExprVariable" option of the modelsim.ini
+; The default prefix is "expr".
+; The variable name is
+;    variable name => <prefix>_<coverpoint name>
+; SVCoverpointExprVariablePrefix = expr
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross option.goal (defined to be 100 in the LRM).
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupGoalDefault = 100
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupTypeGoalDefault = 100
+
+; Specify the override for the default value of "strobe" option for the
+; Covergroup Type. This is a compile time option which forces "strobe" to
+; a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero). NOTE: This can be overriden by a runtime
+; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
+; SVCovergroupStrobeDefault = 0
+
+; Specify the override for the default value of "merge_instances" option for
+; the Covergroup Type. This is a compile time option which forces 
+; "merge_instances" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupMergeInstancesDefault = 0
+
+; Specify the override for the default value of "per_instance" option for the
+; Covergroup variables. This is a compile time option which forces "per_instance"
+; to a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero).
+; SVCovergroupPerInstanceDefault = 0
+
+; Specify the override for the default value of "get_inst_coverage" option for the
+; Covergroup variables. This is a compile time option which forces 
+; "get_inst_coverage" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupGetInstCoverageDefault = 0
+
+;
+; A space separated list of resource libraries that contain precompiled
+; packages.  The behavior is identical to using the "-L" switch.
+; 
+; LibrarySearchPath = <path/lib> [<path/lib> ...]
+LibrarySearchPath = mtiAvm mtiOvm mtiUPF
+
+; The behavior is identical to the "-mixedansiports" switch.  Default is off.
+; MixedAnsiPorts = 1
+
+; Enable SystemVerilog 3.1a $typeof() function. Default is off.
+; EnableTypeOf = 1
+
+; Only allow lower case pragmas. Default is disabled.
+; AcceptLowerCasePragmaOnly = 1
+
+; Set the maximum depth permitted for a recursive include file nesting.
+; IncludeRecursionDepthMax = 5
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Turn off detections of FSMs having x-assignment.
+; FsmXAssign = 0
+
+; List of file suffixes which will be read as SystemVerilog.  White space
+; in extensions can be specified with a back-slash: "\ ".  Back-slashes
+; can be specified with two consecutive back-slashes: "\\";
+; SVFileExtensions = sv svp svh
+
+; This setting is the same as the vlog -sv command line switch.
+; Enables SystemVerilog features and keywords when true (1).
+; When false (0), the rules of IEEE Std 1364-2001 are followed and 
+; SystemVerilog keywords are ignored. 
+; Svlog = 0
+
+; Prints attribute placed upon SV packages during package import
+; when true (1).  The attribute will be ignored when this
+; entry is false (0). The attribute name is "package_load_message".
+; The value of this attribute is a string literal.
+; Default is true (1).
+; PrintSVPackageLoadingAttribute = 1
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[sccom]
+; Enable use of SCV include files and library.  Default is off.
+; UseScv = 1
+
+; Add C++ compiler options to the sccom command line by using this variable.
+; CppOptions = -g
+
+; Use custom C++ compiler located at this path rather than the default path.
+; The path should point directly at a compiler executable.
+; CppPath = /usr/bin/g++
+
+; Enable verbose messages from sccom.  Default is off.
+; SccomVerbose = 1
+
+; sccom logfile.  Default is no logfile.
+; SccomLogfile = sccom.log
+
+; Enable use of SC_MS include files and library.  Default is off.
+; UseScMs = 1
+
+[vopt]
+; Turn on code coverage in vopt.  Default is off. 
+; Coverage = sbceft
+
+; Control compiler optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a vopt condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[vsim]
+; vopt flow
+; Set to turn on automatic optimization of a design.
+; Default is on
+VoptFlow = 1
+
+; vopt automatic SDF
+; If automatic design optimization is on, enables automatic compilation
+; of SDF files.
+; Default is on, uncomment to turn off.
+; VoptAutoSDFCompile = 0
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ns
+
+; Disable certain code coverage exclusions automatically. 
+; Assertions and FSM are exluded from the code coverage by default
+; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
+; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
+; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
+; Or specify comma or space separated list
+;AutoExclusionsDisable = fsm,assertions
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Control PSL and Verilog Assume directives during simulation
+; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
+; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
+; SimulateAssumeDirectives = 1 
+
+; Control the simulation of PSL and SVA
+; These switches can be overridden by the vsim command line switches:
+;    -psl, -nopsl, -sva, -nosva.
+; Set SimulatePSL = 0 to disable PSL simulation
+; Set SimulatePSL = 1 to enable PSL simulation (default)
+; SimulatePSL = 1 
+; Set SimulateSVA = 0 to disable SVA simulation
+; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
+; SimulateSVA = 1 
+
+; Directives to license manager can be set either as single value or as
+; space separated multi-values:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license is not available
+; viewsim       Try for viewer license but accept simulator license(s) instead
+;               of queuing for viewer license (PE ONLY)
+; noviewer     Disable checkout of msimviewer and vsim-viewer license 
+;              features (PE ONLY)
+; noslvhdl     Disable checkout of qhsimvh and vsim license features
+; noslvlog     Disable checkout of qhsimvl and vsimvlog license features
+; nomix                Disable checkout of msimhdlmix and hdlmix license features
+; nolnl                Disable checkout of msimhdlsim and hdlsim license features
+; mixedonly    Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license 
+;              features
+; lnlonly      Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
+;              hdlmix license features
+; Single value:
+; License = plus
+; Multi-value:
+; License = noqueue plus
+
+; Stop the simulator after a VHDL/Verilog immediate assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; VHDL assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %i - Instance pathname with process
+; %O - Process name
+; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
+; %P - Instance or Region path without leaf process
+; %F - File
+; %L - Line number of assertion or, if assertion is in a subprogram, line
+;      from which the call is made
+; %% - Print '%' character
+; If specific format for assertion level is defined, use its format.
+; If specific format is not defined for assertion level:
+; - and if failure occurs during elaboration, use MessageFormatBreakLine;
+; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
+;   level), use MessageFormatBreak;
+; - otherwise, use MessageFormat.
+; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
+; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+
+; Error File - alternate file for storing error messages
+; ErrorFile = error.log
+
+
+; Simulation Breakpoint messages
+; This flag controls the display of function names when reporting the location
+; where the simulator stops do to a breakpoint or fatal error.
+; Example w/function name:  # Break in Process ctr at counter.vhd line 44
+; Example wo/function name: # Break at counter.vhd line 44
+ShowFunctions = 1
+
+; Default radix for all windows and commands.
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; VSIM Shutdown file
+; Filename to save u/i formats and configurations.
+; ShutdownFile = restart.do
+; To explicitly disable auto save:
+; ShutdownFile = --disable-auto-save
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example: sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Specify a unique path separator for the Signal Spy set of functions. 
+; The default will be to use the PathSeparator variable.
+; Must not be the same character as DatasetSeparator.
+; SignalSpyPathSeparator = /
+
+; Used to control parsing of HDL identifiers input to the tool.
+; This includes CLI commands, vsim/vopt/vlog/vcom options,
+; string arguments to FLI/VPI/DPI calls, etc.
+; If set to 1, accept either Verilog escaped Id syntax or
+; VHDL extended id syntax, regardless of source language.
+; If set to 0, the syntax of the source language must be used.
+; Each identifier in a hierarchical name may need different syntax,
+; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
+;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
+; GenerousIdentifierParsing = 1
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Disable System Verilog assertion messages
+; IgnoreSVAInfo = 1 
+; IgnoreSVAWarning = 1
+; IgnoreSVAError = 1
+; IgnoreSVAFatal = 1
+
+; Do not print any additional information from Severity System tasks.
+; Only the message provided by the user is printed along with severity
+; information.
+; SVAPrintOnlyUserMessage = 1;
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings when changing VHDL constants and generics
+; Default is 1 to generate warning messages
+; WarnConstantChange = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
+; The term "out-of-the-blue" refers to SystemVerilog export function calls
+; made from C functions that don't have the proper context setup
+; (as is the case when running under "DPI-C" import functions).
+; When this is enabled, one can call a DPI export function
+; (but not task) from any C code.
+; the setting of this variable can be one of the following values:
+; 0 : dpioutoftheblue call is disabled (default)
+; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
+; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
+; DpiOutOfTheBlue = 1
+
+; Specify whether continuous assignments are run before other normal priority
+; processes scheduled in the same iteration. This event ordering minimizes race
+; differences between optimized and non-optimized designs, and is the default
+; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
+; ImmediateContinuousAssign to 0.
+; The default is 1 (enabled).
+; ImmediateContinuousAssign = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Which default VPI object model should the tool conform to?
+; The 1364 modes are Verilog-only, for backwards compatibility with older
+; libraries, and SystemVerilog objects are not available in these modes.
+; 
+; In the absence of a user-specified default, the tool default is the
+; latest available LRM behavior.
+; Options for PliCompatDefault are:
+;  VPI_COMPATIBILITY_VERSION_1364v1995
+;  VPI_COMPATIBILITY_VERSION_1364v2001
+;  VPI_COMPATIBILITY_VERSION_1364v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2008
+;
+; Synonyms for each string are also recognized:
+;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
+;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
+;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
+
+
+; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
+; DefaultRestartOptions = -force
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Specify whether or not a WLF file should be indexed during 
+; simulation.  If set to 0, the WLF file will not be indexed.
+; The default is 1, indexed the WLF file.
+; WLFIndex = 0
+
+; Specify whether or not a WLF file should be optimized during 
+; simulation.  If set to 0, the WLF file will not be optimized.
+; The default is 1, optimize the WLF file.
+; WLFOptimize = 0
+
+; Specify the name of the WLF file.
+; The default is vsim.wlf
+; WLFFilename = vsim.wlf
+
+; Specify the WLF reader cache size limit for each open WLF file.  
+; The size is giving in megabytes.  A value of 0 turns off the
+; WLF cache. 
+; WLFSimCacheSize allows a different cache size to be set for 
+; simulation WLF file independent of post-simulation WLF file 
+; viewing.  If WLFSimCacheSize is not set it defaults to the
+; WLFCacheSize setting.
+; The default WLFCacheSize setting is enabled to 256M per open WLF file.
+; WLFCacheSize = 2000
+; WLFSimCacheSize = 500
+
+; Specify the WLF file event collapse mode.
+; 0 = Preserve all events and event order. (same as -wlfnocollapse)
+; 1 = Only record values of logged objects at the end of a simulator iteration. 
+;     (same as -wlfcollapsedelta)
+; 2 = Only record values of logged objects at the end of a simulator time step. 
+;     (same as -wlfcollapsetime)
+; The default is 1.
+; WLFCollapseMode = 0
+
+; Specify whether WLF file logging can use threads on multi-processor machines
+; if 0, no threads will be used, if 1, threads will be used if the system has
+; more than one processor
+; WLFUseThreads = 1
+
+; Turn on/off undebuggable SystemC type warnings. Default is on.
+; ShowUndebuggableScTypeWarning = 0
+
+; Turn on/off unassociated SystemC name warnings. Default is off.
+; ShowUnassociatedScNameWarning = 1
+
+; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
+; ScShowIeeeDeprecationWarnings = 1
+
+; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
+; ScEnableScSignalWriteCheck = 1
+
+; Set SystemC default time unit.
+; Set to fs, ps, ns, us, ms, or sec with optional 
+; prefix of 1, 10, or 100.  The default is 1 ns.
+; The ScTimeUnit value is honored if it is coarser than Resolution.
+; If ScTimeUnit is finer than Resolution, it is set to the value
+; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
+; then the default time unit will be 1 ns.  However if Resolution 
+; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
+ScTimeUnit = ns
+
+; Set SystemC sc_main stack size. The stack size is set as an integer
+; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
+; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
+; on the amount of data on the sc_main() stack and the memory required
+; to succesfully execute the longest function call chain of sc_main().
+ScMainStackSize = 10 Mb
+
+; Turn on/off execution of remainder of sc_main upon quitting the current
+; simulation session. If the cumulative length of sc_main() in terms of 
+; simulation time units is less than the length of the current simulation
+; run upon quit or restart, sc_main() will be in the middle of execution.
+; This switch gives the option to execute the remainder of sc_main upon
+; quitting simulation. The drawback of not running sc_main till the end
+; is memory leaks for objects created by sc_main. If on, the remainder of
+; sc_main will be executed ignoring all delays. This may cause the simulator
+; to crash if the code in sc_main is dependent on some simulation state.
+; Default is on.
+ScMainFinishOnQuit = 1
+
+; Set the SCV relationship name that will be used to identify phase
+; relations.  If the name given to a transactor relation matches this
+; name, the transactions involved will be treated as phase transactions
+ScvPhaseRelationName = mti_phase
+
+; Customize the vsim kernel shutdown behavior at the end of the simulation.
+; Some common causes of the end of simulation are $finish (implicit or explicit), 
+; sc_stop(), tf_dofinish(), and assertion failures. 
+; This should be set to "ask", "exit", or "stop". The default is "ask".
+; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
+;            In GUI mode, a dialog box will pop up and ask for user confirmation 
+;            whether or not to quit the simulation.
+; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
+;            post-simulation tasks easier.
+; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
+; "final" -- Run SystemVerilog final blocks then behave as "stop".
+; Note: these ini variables can be overriden by the vsim command 
+;       line switch "-onfinish <ask|stop|exit>".
+OnFinish = ask
+
+; Print pending deferred assertion messages. 
+; Deferred assertion messages may be scheduled after the $finish in the same 
+; time step. Deferred assertions scheduled to print after the $finish are 
+; printed before exiting with severity level NOTE since it's not known whether
+; the assertion is still valid due to being printed in the active region
+; instead of the reactive region where they are normally printed.
+; OnFinishPendingAssert = 1;
+
+; Print "simstats" result at the end of simulation before shutdown.
+; If this is enabled, the simstats result will be printed out before shutdown.
+; The default is off.
+; PrintSimStats = 1
+
+; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Run simulator in assertion debug mode. Default is off.
+; AssertionDebug = 1
+
+; Turn on/off PSL/SVA concurrent assertion pass enable. 
+; For SVA, Default is on when the assertion has a pass action block, or
+; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
+; For PSL, Default is on only when vsim switch "-assertdebug" is used
+; and the vopt "+acc=a" flag is active.
+; AssertionPassEnable = 0 
+
+; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
+; AssertionFailEnable = 0
+
+; Set PSL/SVA concurrent assertion pass limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionPassLimit = 1
+
+; Set PSL/SVA concurrent assertion fail limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionFailLimit = 1
+
+; Turn on/off PSL concurrent assertion pass log. Default is off.
+; The flag does not affect SVA
+; AssertionPassLog = 1
+
+; Turn on/off PSL concurrent assertion fail log. Default is on.
+; The flag does not affect SVA
+; AssertionFailLog = 0
+
+; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
+; AssertionFailLocalVarLog = 0
+
+; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
+; 0 = Continue  1 = Break  2 = Exit
+; AssertionFailAction = 1
+
+; Enable the active thread monitor in the waveform display when assertion debug is enabled.
+; AssertionActiveThreadMonitor = 1
+
+; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
+; AssertionActiveThreadMonitorLimit = 5
+
+
+; As per strict 1850-2005 PSL LRM, an always property can either pass
+; or fail. However, by default, Questa reports multiple passes and
+; multiple fails on top always/never property (always/never operator
+; is the top operator under Verification Directive). The reason
+; being that Questa reports passes and fails on per attempt of the
+; top always/never property. Use the following flag to instruct
+; Questa to strictly follow LRM. With this flag, all assert/never
+; directives will start an attempt once at start of simulation.
+; The attempt can either fail, match or match vacuously.
+; For e.g. if always is the top operator under assert, the always will
+; keep on checking the property at every clock. If the property under
+; always fails, the directive will be considered failed and no more 
+; checking will be done for that directive. A top always property,
+; if it does not fail, will show a pass at end of simulation.
+; The default value is '0' (i.e. zero is off). For example:
+; PslOneAttempt = 1
+
+; Specify the number of clock ticks to represent infinite clock ticks.
+; This affects eventually!, until! and until_!. If at End of Simulation
+; (EOS) an active strong-property has not clocked this number of
+; clock ticks then neither pass or fail (vacuous match) is returned
+; else respective fail/pass is returned. The default value is '0' (zero)
+; which effectively does not check for clock tick condition. For example:
+; PslInfinityThreshold = 5000
+
+; Control how many thread start times will be preserved for ATV viewing for a given assertion
+; instance.  Default is -1 (ALL).
+; ATVStartTimeKeepCount = -1
+
+; Turn on/off code coverage
+; CodeCoverage = 0
+
+; Count all code coverage condition and expression truth table rows that match.
+; CoverCountAll = 1
+
+; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
+; is to include them.
+; ToggleNoIntegers = 1
+
+; Set the maximum number of values that are collected for toggle coverage of
+; VHDL integers. Default is 100;
+; ToggleMaxIntValues = 100
+
+; Set the maximum number of values that are collected for toggle coverage of
+; Verilog real. Default is 100;
+; ToggleMaxRealValues = 100
+
+; Turn on automatic inclusion of Verilog integers in toggle coverage, except
+; for enumeration types. Default is to include them.
+; ToggleVlogIntegers = 0
+
+; Turn on automatic inclusion of Verilog real type in toggle coverage, except
+; for shortreal types. Default is to not include them.
+; ToggleVlogReal = 1
+
+; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
+; Default is to not include them.
+; ToggleFixedSizeArray = 1
+
+; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
+; are included for toggle coverage. This leads to a longer simulation time with bigger
+; arrays covered with toggle coverage. Default is 1024.
+; ToggleMaxFixedSizeArray = 1024
+
+; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
+; TogglePackedAsVec = 0
+
+; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
+; ToggleVlogEnumBits = 0
+
+; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
+; For unlimited width, set to 0.
+; ToggleWidthLimit = 128
+
+; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
+; reached this count, further activity on the bit is ignored. Default is 1.
+; For unlimited counts, set to 0.
+; ToggleCountLimit = 1
+
+; Turn on/off all PSL/SVA cover directive enables.  Default is on.
+; CoverEnable = 0
+
+; Turn on/off PSL/SVA cover log.  Default is off "0".
+; CoverLog = 1
+
+; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
+; CoverAtLeast = 2
+
+; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
+; Any positive integer, -1 for infinity.
+; CoverLimit = 1
+
+; Specify the coverage database filename.
+; Default is "" (i.e. database is NOT automatically saved on close). 
+; UCDBFilename = vsim.ucdb
+
+; Specify the maximum limit for the number of Cross (bin) products reported
+; in XML and UCDB report against a Cross. A warning is issued if the limit
+; is crossed.
+; MaxReportRhsSVCrossProducts = 1000
+
+; Specify the override for the "auto_bin_max" option for the Covergroups.
+; If not specified then value from Covergroup "option" is used.
+; SVCoverpointAutoBinMax = 64
+
+; Specify the override for the value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then value
+; specified in the "option.cross_num_print_missing" is used. This
+; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
+; value specified by user in source file and any SVCrossNumPrintMissingDefault
+; specified in modelsim.ini.
+; SVCrossNumPrintMissing = 0
+
+; Specify whether to use the value of "cross_num_print_missing"
+; option in report and GUI for the Cross in Covergroups. If not specified then 
+; cross_num_print_missing is ignored for creating reports and displaying 
+; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
+; UseSVCrossNumPrintMissing = 0
+
+; Specify the override for the value of "strobe" option for the
+; Covergroup Type. If not specified then value in "type_option.strobe"
+; will be used. This is runtime option which forces "strobe" to
+; user specified value and supersedes user specified values in the
+; SystemVerilog Code. NOTE: This also overrides the compile time
+; default value override specified using "SVCovergroupStrobeDefault"
+; SVCovergroupStrobe = 0
+
+; Override for explicit assignments in source code to "option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
+; SVCovergroupGoal = 100
+
+; Override for explicit assignments in source code to "type_option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
+; SVCovergroupTypeGoal = 100
+
+; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
+; builtin functions, and report. This setting changes the default values of
+; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
+; behavior if explicit assignments are not made on option.get_inst_coverage and
+; type_option.merge_instances by the user. There are two vsim command line
+; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
+; The default value of this variable is 1
+; SVCovergroup63Compatibility = 1
+
+; Enable or disable generation of more detailed information about the sampling
+; of covergroup, cross, and coverpoints. It provides the details of the number
+; of times the covergroup instance and type were sampled, as well as details
+; about why covergroup, cross and coverpoint were not covered. A non-zero value
+; is to enable this feature. 0 is to disable this feature. Default is 0
+; SVCovergroupSampleInfo = 0
+
+; Specify the maximum number of Coverpoint bins in whole design for
+; all Covergroups.
+; MaxSVCoverpointBinsDesign = 2147483648 
+
+; Specify maximum number of Coverpoint bins in any instance of a Covergroup
+; MaxSVCoverpointBinsInst = 2147483648
+
+; Specify the maximum number of Cross bins in whole design for
+; all Covergroups.
+; MaxSVCrossBinsDesign = 2147483648 
+
+; Specify maximum number of Cross bins in any instance of a Covergroup
+; MaxSVCrossBinsInst = 2147483648
+
+; Set weight for all PSL/SVA cover directives.  Default is 1.
+; CoverWeight = 2
+
+; Check vsim plusargs.  Default is 0 (off).
+; 0 = Don't check plusargs
+; 1 = Warning on unrecognized plusarg
+; 2 = Error and exit on unrecognized plusarg
+; CheckPlusargs = 1
+
+; Load the specified shared objects with the RTLD_GLOBAL flag.
+; This gives global visibility to all symbols in the shared objects,
+; meaning that subsequently loaded shared objects can bind to symbols
+; in the global shared objects.  The list of shared objects should
+; be whitespace delimited.  This option is not supported on the
+; Windows or AIX platforms.
+; GlobalSharedObjectList = example1.so example2.so example3.so
+
+; Run the 0in tools from within the simulator. 
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0in runtime tool.
+; Default value set to "".
+; ZeroInOptions = ""
+
+; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
+; Sv_Seed = 0
+
+; Maximum size of dynamic arrays that are resized during randomize().
+; The default is 1000. A value of 0 indicates no limit.
+; SolveArrayResizeMax = 1000
+
+; Error message severity when randomize() failure is detected (SystemVerilog).
+; The default is 0 (no error).
+; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+; SolveFailSeverity = 0
+
+; Enable/disable debug information for randomize() failures (SystemVerilog).
+; The default is 0 (disabled). Set to 1 to enable.
+; SolveFailDebug = 0
+
+; When SolveFailDebug is enabled, this value specifies the algorithm used to
+; discover conflicts between constraints for randomize() failures.
+; The default is "many".
+;
+; Valid schemes are:
+;    "many" = best for determining conflicts due to many related constraints
+;    "few"  = best for determining conflicts due to few related constraints
+;
+; SolveFailDebugScheme = many
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum number of constraint subsets that will be tested for
+; conflicts.
+; The default is 0 (no limit).
+; SolveFailDebugLimit = 0
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum size of constraint subsets that will be tested for
+; conflicts.
+; The default value is 0 (no limit).
+; SolveFailDebugMaxSet = 0
+
+; Maximum size of the solution graph that may be generated during randomize().
+; This value can be used to force randomize() to abort if the memory
+; requirements of the constraint scenario exceeds the specified limit. This
+; value is specified in 1000s of nodes.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxSize = 10000
+
+; Maximum number of evaluations that may be performed on the solution graph
+; generated during randomize(). This value can be used to force randomize() to
+; abort if the complexity of the constraint scenario (in time) exceeds the
+; specified limit. This value is specified in 10000s of evaluations.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxEval = 10000
+
+; Use SolveFlags to specify options that will guide the behavior of the
+; constraint solver. These options may improve the performance of the
+; constraint solver for some testcases, and decrease the performance of
+; the constraint solver for others.
+; The default value is "" (no options).
+;
+; Valid flags are:
+;    i = disable bit interleaving for >, >=, <, <= constraints
+;    n = disable bit interleaving for all constraints
+;    r = reverse bit interleaving
+;
+; SolveFlags =
+
+; Specify random sequence compatiblity with a prior letter release. This 
+; option is used to get the same random sequences during simulation as
+; as a prior letter release. Only prior letter releases (of the current
+; number release) are allowed.
+; Note: To achieve the same random sequences, solver optimizations and/or
+; bug fixes introduced since the specified release may be disabled - 
+; yielding the performance / behavior of the prior release.
+; Default value set to "" (random compatibility not required).
+; SolveRev =
+
+; Environment variable expansion of command line arguments has been depricated 
+; in favor shell level expansion.  Universal environment variable expansion 
+; inside -f files is support and continued support for MGC Location Maps provide
+; alternative methods for handling flexible pathnames.
+; The following line may be uncommented and the value set to 1 to re-enable this 
+; deprecated behavior.  The default value is 0.
+; DeprecatedEnvironmentVariableExpansion = 0
+
+; Turn on/off collapsing of bus ports in VCD dumpports output
+DumpportsCollapse = 1
+
+; Location of Multi-Level Verification Component (MVC) installation. 
+; The default location is the product installation directory.
+; MvcHome = $MODEL_TECH/...
+
+[lmc]
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software
+libsm = $MODEL_TECH/libsm.sl
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libsm = $MODEL_TECH/libsm.dll
+;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
+; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
+;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
+; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
+;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
+; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
+;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/linux.lib/libswift.so
+
+; The simulator's interface to Logic Modeling's hardware modeler SFI software
+libhm = $MODEL_TECH/libhm.sl
+; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
+; libhm = $MODEL_TECH/libhm.dll
+;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
+; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
+;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
+; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
+;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
+; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
+;  Logic Modeling's hardware modeler SFI software (Windows NT)
+; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
+;  Logic Modeling's hardware modeler SFI software (Linux)
+; libsfi = <sfi_dir>/lib/linux/libsfi.so
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; suppress can be used to achieve +nowarn<CODE> functionality
+; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+;   suppress = 3009,CNNODP,3043,TFMPC
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of Verilog display system task messages and
+; PLI/FLI print function call messages.  The system tasks include
+; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
+; also include the analogous file I/O tasks that write to STDOUT 
+; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
+; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
+; is to have messages appear only in the transcript.  The other 
+; settings are to send messages to the wlf file only (messages that
+; are recorded in the wlf file can be viewed in the MsgViewer) or 
+; to both the transcript and the wlf file.  The valid values are
+;    tran  {transcript only (default)}
+;    wlf   {wlf file only}
+;    both  {transcript and wlf file}
+; displaymsgmode = tran
+
+; Control transcripting of elaboration/runtime messages not
+; addressed by the displaymsgmode setting.  The default is to 
+; have messages appear in the transcript and recorded in the wlf
+; file (messages that are recorded in the wlf file can be viewed
+; in the MsgViewer).  The other settings are to send messages 
+; only to the transcript or only to the wlf file.  The valid 
+; values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
diff --git a/bsp4/Designflow/sim/post/vsim.wlf b/bsp4/Designflow/sim/post/vsim.wlf
new file mode 100644 (file)
index 0000000..5ad2db1
Binary files /dev/null and b/bsp4/Designflow/sim/post/vsim.wlf differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/__sdf1 b/bsp4/Designflow/sim/post/work/@_opt/__sdf1
new file mode 100644 (file)
index 0000000..27301df
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/__sdf1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/_deps b/bsp4/Designflow/sim/post/work/@_opt/_deps
new file mode 100644 (file)
index 0000000..c070659
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/_deps differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt08ex53 b/bsp4/Designflow/sim/post/work/@_opt/vopt08ex53
new file mode 100644 (file)
index 0000000..3730e16
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt08ex53 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt08kryc b/bsp4/Designflow/sim/post/work/@_opt/vopt08kryc
new file mode 100644 (file)
index 0000000..ebcd06c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt08kryc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt09dzge b/bsp4/Designflow/sim/post/work/@_opt/vopt09dzge
new file mode 100644 (file)
index 0000000..2bd63b7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt09dzge differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0ahy7h b/bsp4/Designflow/sim/post/work/@_opt/vopt0ahy7h
new file mode 100644 (file)
index 0000000..75887f7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0ahy7h differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0bcde8 b/bsp4/Designflow/sim/post/work/@_opt/vopt0bcde8
new file mode 100644 (file)
index 0000000..eb65a20
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0bcde8 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0erqfe b/bsp4/Designflow/sim/post/work/@_opt/vopt0erqfe
new file mode 100644 (file)
index 0000000..2845334
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0erqfe differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0f4iae b/bsp4/Designflow/sim/post/work/@_opt/vopt0f4iae
new file mode 100644 (file)
index 0000000..2cd14cc
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0f4iae differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0iawx2 b/bsp4/Designflow/sim/post/work/@_opt/vopt0iawx2
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0iawx2 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0ibkkv b/bsp4/Designflow/sim/post/work/@_opt/vopt0ibkkv
new file mode 100644 (file)
index 0000000..7ddd5b2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0ibkkv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0kwmnq b/bsp4/Designflow/sim/post/work/@_opt/vopt0kwmnq
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0kwmnq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0mgzch b/bsp4/Designflow/sim/post/work/@_opt/vopt0mgzch
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0mgzch differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt0rtcca b/bsp4/Designflow/sim/post/work/@_opt/vopt0rtcca
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt0rtcca differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt14shet b/bsp4/Designflow/sim/post/work/@_opt/vopt14shet
new file mode 100644 (file)
index 0000000..07f8ee2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt14shet differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt155tsy b/bsp4/Designflow/sim/post/work/@_opt/vopt155tsy
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt155tsy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1bdmvd b/bsp4/Designflow/sim/post/work/@_opt/vopt1bdmvd
new file mode 100644 (file)
index 0000000..e073c61
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1bdmvd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1d2f47 b/bsp4/Designflow/sim/post/work/@_opt/vopt1d2f47
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1d2f47 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1evfav b/bsp4/Designflow/sim/post/work/@_opt/vopt1evfav
new file mode 100644 (file)
index 0000000..2dc820c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1evfav differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1fk02i b/bsp4/Designflow/sim/post/work/@_opt/vopt1fk02i
new file mode 100644 (file)
index 0000000..ddfccab
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1fk02i differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1gksbx b/bsp4/Designflow/sim/post/work/@_opt/vopt1gksbx
new file mode 100644 (file)
index 0000000..360e1d9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1gksbx differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1ijeg6 b/bsp4/Designflow/sim/post/work/@_opt/vopt1ijeg6
new file mode 100644 (file)
index 0000000..0e1156c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1ijeg6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1n5xyz b/bsp4/Designflow/sim/post/work/@_opt/vopt1n5xyz
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1n5xyz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1s033z b/bsp4/Designflow/sim/post/work/@_opt/vopt1s033z
new file mode 100644 (file)
index 0000000..11856bc
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1s033z differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt1z6hji b/bsp4/Designflow/sim/post/work/@_opt/vopt1z6hji
new file mode 100644 (file)
index 0000000..9a694e6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt1z6hji differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2b670j b/bsp4/Designflow/sim/post/work/@_opt/vopt2b670j
new file mode 100644 (file)
index 0000000..31304f9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2b670j differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2h4rzg b/bsp4/Designflow/sim/post/work/@_opt/vopt2h4rzg
new file mode 100644 (file)
index 0000000..24236f9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2h4rzg differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2ibjvf b/bsp4/Designflow/sim/post/work/@_opt/vopt2ibjvf
new file mode 100644 (file)
index 0000000..0e2671b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2ibjvf differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2j8zk3 b/bsp4/Designflow/sim/post/work/@_opt/vopt2j8zk3
new file mode 100644 (file)
index 0000000..c7710dc
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2j8zk3 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2mgxxq b/bsp4/Designflow/sim/post/work/@_opt/vopt2mgxxq
new file mode 100644 (file)
index 0000000..9a15956
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2mgxxq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2t7j3x b/bsp4/Designflow/sim/post/work/@_opt/vopt2t7j3x
new file mode 100644 (file)
index 0000000..708af5a
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2t7j3x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2tfyhr b/bsp4/Designflow/sim/post/work/@_opt/vopt2tfyhr
new file mode 100644 (file)
index 0000000..454036e
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2tfyhr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt2tj0k3 b/bsp4/Designflow/sim/post/work/@_opt/vopt2tj0k3
new file mode 100644 (file)
index 0000000..7ba2918
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt2tj0k3 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt317fgz b/bsp4/Designflow/sim/post/work/@_opt/vopt317fgz
new file mode 100644 (file)
index 0000000..990eea4
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt317fgz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt31jqag b/bsp4/Designflow/sim/post/work/@_opt/vopt31jqag
new file mode 100644 (file)
index 0000000..8e2effa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt31jqag differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt33et9m b/bsp4/Designflow/sim/post/work/@_opt/vopt33et9m
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt33et9m differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt35225s b/bsp4/Designflow/sim/post/work/@_opt/vopt35225s
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt35225s differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt358re4 b/bsp4/Designflow/sim/post/work/@_opt/vopt358re4
new file mode 100644 (file)
index 0000000..32f538c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt358re4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3h35rm b/bsp4/Designflow/sim/post/work/@_opt/vopt3h35rm
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3h35rm differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3hfw6g b/bsp4/Designflow/sim/post/work/@_opt/vopt3hfw6g
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3hfw6g differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3m2fgs b/bsp4/Designflow/sim/post/work/@_opt/vopt3m2fgs
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3m2fgs differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3myh40 b/bsp4/Designflow/sim/post/work/@_opt/vopt3myh40
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3myh40 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3vsc4r b/bsp4/Designflow/sim/post/work/@_opt/vopt3vsc4r
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3vsc4r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3w7zqv b/bsp4/Designflow/sim/post/work/@_opt/vopt3w7zqv
new file mode 100644 (file)
index 0000000..460c012
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3w7zqv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3xgk9v b/bsp4/Designflow/sim/post/work/@_opt/vopt3xgk9v
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3xgk9v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3yrcyz b/bsp4/Designflow/sim/post/work/@_opt/vopt3yrcyz
new file mode 100644 (file)
index 0000000..f54f5c8
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3yrcyz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt3yt1rm b/bsp4/Designflow/sim/post/work/@_opt/vopt3yt1rm
new file mode 100644 (file)
index 0000000..b39a2d9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt3yt1rm differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt46r52i b/bsp4/Designflow/sim/post/work/@_opt/vopt46r52i
new file mode 100644 (file)
index 0000000..1031208
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt46r52i differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt4876j6 b/bsp4/Designflow/sim/post/work/@_opt/vopt4876j6
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt4876j6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt4di3yz b/bsp4/Designflow/sim/post/work/@_opt/vopt4di3yz
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt4di3yz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt4i7vgm b/bsp4/Designflow/sim/post/work/@_opt/vopt4i7vgm
new file mode 100644 (file)
index 0000000..d348615
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt4i7vgm differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt4qf407 b/bsp4/Designflow/sim/post/work/@_opt/vopt4qf407
new file mode 100644 (file)
index 0000000..da050e7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt4qf407 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt4r7eqw b/bsp4/Designflow/sim/post/work/@_opt/vopt4r7eqw
new file mode 100644 (file)
index 0000000..c709f98
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt4r7eqw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt52f4ry b/bsp4/Designflow/sim/post/work/@_opt/vopt52f4ry
new file mode 100644 (file)
index 0000000..6c35750
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt52f4ry differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt54b9zk b/bsp4/Designflow/sim/post/work/@_opt/vopt54b9zk
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt54b9zk differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5cxa8i b/bsp4/Designflow/sim/post/work/@_opt/vopt5cxa8i
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5cxa8i differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5j4m4i b/bsp4/Designflow/sim/post/work/@_opt/vopt5j4m4i
new file mode 100644 (file)
index 0000000..c5912af
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5j4m4i differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5qd867 b/bsp4/Designflow/sim/post/work/@_opt/vopt5qd867
new file mode 100644 (file)
index 0000000..d1a2631
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5qd867 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5s4jbj b/bsp4/Designflow/sim/post/work/@_opt/vopt5s4jbj
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5s4jbj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5thky6 b/bsp4/Designflow/sim/post/work/@_opt/vopt5thky6
new file mode 100644 (file)
index 0000000..d9f9301
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5thky6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5x35ad b/bsp4/Designflow/sim/post/work/@_opt/vopt5x35ad
new file mode 100644 (file)
index 0000000..1eba3ea
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5x35ad differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt5y0t14 b/bsp4/Designflow/sim/post/work/@_opt/vopt5y0t14
new file mode 100644 (file)
index 0000000..795f896
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt5y0t14 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt61mbc0 b/bsp4/Designflow/sim/post/work/@_opt/vopt61mbc0
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt61mbc0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt62q3vc b/bsp4/Designflow/sim/post/work/@_opt/vopt62q3vc
new file mode 100644 (file)
index 0000000..4bcbc30
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt62q3vc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt62zfa8 b/bsp4/Designflow/sim/post/work/@_opt/vopt62zfa8
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt62zfa8 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt63j8qs b/bsp4/Designflow/sim/post/work/@_opt/vopt63j8qs
new file mode 100644 (file)
index 0000000..504991d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt63j8qs differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt65zr4s b/bsp4/Designflow/sim/post/work/@_opt/vopt65zr4s
new file mode 100644 (file)
index 0000000..e8c91c5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt65zr4s differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6avkz6 b/bsp4/Designflow/sim/post/work/@_opt/vopt6avkz6
new file mode 100644 (file)
index 0000000..8f80a0a
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6avkz6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6az7tj b/bsp4/Designflow/sim/post/work/@_opt/vopt6az7tj
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6az7tj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6b2z0h b/bsp4/Designflow/sim/post/work/@_opt/vopt6b2z0h
new file mode 100644 (file)
index 0000000..b86eee6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6b2z0h differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6bvbng b/bsp4/Designflow/sim/post/work/@_opt/vopt6bvbng
new file mode 100644 (file)
index 0000000..081c8ad
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6bvbng differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6dbq31 b/bsp4/Designflow/sim/post/work/@_opt/vopt6dbq31
new file mode 100644 (file)
index 0000000..fb40d8c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6dbq31 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6gdey0 b/bsp4/Designflow/sim/post/work/@_opt/vopt6gdey0
new file mode 100644 (file)
index 0000000..66ef484
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6gdey0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6j0yfe b/bsp4/Designflow/sim/post/work/@_opt/vopt6j0yfe
new file mode 100644 (file)
index 0000000..d9ae35f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6j0yfe differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6r7tsc b/bsp4/Designflow/sim/post/work/@_opt/vopt6r7tsc
new file mode 100644 (file)
index 0000000..fad821f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6r7tsc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6rhkjh b/bsp4/Designflow/sim/post/work/@_opt/vopt6rhkjh
new file mode 100644 (file)
index 0000000..99c2810
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6rhkjh differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt6z1zfc b/bsp4/Designflow/sim/post/work/@_opt/vopt6z1zfc
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt6z1zfc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt74b6jb b/bsp4/Designflow/sim/post/work/@_opt/vopt74b6jb
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt74b6jb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt78qenn b/bsp4/Designflow/sim/post/work/@_opt/vopt78qenn
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt78qenn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7bqb0b b/bsp4/Designflow/sim/post/work/@_opt/vopt7bqb0b
new file mode 100644 (file)
index 0000000..1d4b326
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7bqb0b differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7gg4h6 b/bsp4/Designflow/sim/post/work/@_opt/vopt7gg4h6
new file mode 100644 (file)
index 0000000..6889fd3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7gg4h6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7jdhxa b/bsp4/Designflow/sim/post/work/@_opt/vopt7jdhxa
new file mode 100644 (file)
index 0000000..2a38784
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7jdhxa differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7qcgtr b/bsp4/Designflow/sim/post/work/@_opt/vopt7qcgtr
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7qcgtr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7rsq0z b/bsp4/Designflow/sim/post/work/@_opt/vopt7rsq0z
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7rsq0z differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7y4sc6 b/bsp4/Designflow/sim/post/work/@_opt/vopt7y4sc6
new file mode 100644 (file)
index 0000000..0148a21
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7y4sc6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt7y9y37 b/bsp4/Designflow/sim/post/work/@_opt/vopt7y9y37
new file mode 100644 (file)
index 0000000..1580fac
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt7y9y37 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt82eqsr b/bsp4/Designflow/sim/post/work/@_opt/vopt82eqsr
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt82eqsr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt83d5jx b/bsp4/Designflow/sim/post/work/@_opt/vopt83d5jx
new file mode 100644 (file)
index 0000000..63eeea5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt83d5jx differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8ajd0d b/bsp4/Designflow/sim/post/work/@_opt/vopt8ajd0d
new file mode 100644 (file)
index 0000000..e682671
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8ajd0d differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8cier0 b/bsp4/Designflow/sim/post/work/@_opt/vopt8cier0
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8cier0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8dhgb3 b/bsp4/Designflow/sim/post/work/@_opt/vopt8dhgb3
new file mode 100644 (file)
index 0000000..b626973
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8dhgb3 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8jrgny b/bsp4/Designflow/sim/post/work/@_opt/vopt8jrgny
new file mode 100644 (file)
index 0000000..75d55a6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8jrgny differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8k24r6 b/bsp4/Designflow/sim/post/work/@_opt/vopt8k24r6
new file mode 100644 (file)
index 0000000..acfa9ef
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8k24r6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8nrfdi b/bsp4/Designflow/sim/post/work/@_opt/vopt8nrfdi
new file mode 100644 (file)
index 0000000..327c987
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8nrfdi differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8r6xvx b/bsp4/Designflow/sim/post/work/@_opt/vopt8r6xvx
new file mode 100644 (file)
index 0000000..86e6f4b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8r6xvx differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8x3c91 b/bsp4/Designflow/sim/post/work/@_opt/vopt8x3c91
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8x3c91 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8x6iaw b/bsp4/Designflow/sim/post/work/@_opt/vopt8x6iaw
new file mode 100644 (file)
index 0000000..e87e9e5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8x6iaw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt8z0h4w b/bsp4/Designflow/sim/post/work/@_opt/vopt8z0h4w
new file mode 100644 (file)
index 0000000..20833ad
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt8z0h4w differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt90edcd b/bsp4/Designflow/sim/post/work/@_opt/vopt90edcd
new file mode 100644 (file)
index 0000000..271e0ba
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt90edcd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt91fxkt b/bsp4/Designflow/sim/post/work/@_opt/vopt91fxkt
new file mode 100644 (file)
index 0000000..cd4a590
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt91fxkt differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt94cwyj b/bsp4/Designflow/sim/post/work/@_opt/vopt94cwyj
new file mode 100644 (file)
index 0000000..e593cc6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt94cwyj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9bf8he b/bsp4/Designflow/sim/post/work/@_opt/vopt9bf8he
new file mode 100644 (file)
index 0000000..5e7625d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9bf8he differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9fdd3g b/bsp4/Designflow/sim/post/work/@_opt/vopt9fdd3g
new file mode 100644 (file)
index 0000000..c6eafee
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9fdd3g differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9qd5w0 b/bsp4/Designflow/sim/post/work/@_opt/vopt9qd5w0
new file mode 100644 (file)
index 0000000..159ea53
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9qd5w0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9rm6tz b/bsp4/Designflow/sim/post/work/@_opt/vopt9rm6tz
new file mode 100644 (file)
index 0000000..6cb7316
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9rm6tz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9sgzah b/bsp4/Designflow/sim/post/work/@_opt/vopt9sgzah
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9sgzah differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9x2ytb b/bsp4/Designflow/sim/post/work/@_opt/vopt9x2ytb
new file mode 100644 (file)
index 0000000..92f82b3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9x2ytb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopt9xtft4 b/bsp4/Designflow/sim/post/work/@_opt/vopt9xtft4
new file mode 100644 (file)
index 0000000..b2a31e0
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopt9xtft4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopta1knfz b/bsp4/Designflow/sim/post/work/@_opt/vopta1knfz
new file mode 100644 (file)
index 0000000..fe400fb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopta1knfz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopta2bsbs b/bsp4/Designflow/sim/post/work/@_opt/vopta2bsbs
new file mode 100644 (file)
index 0000000..7f8d3f1
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopta2bsbs differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopta3bzdd b/bsp4/Designflow/sim/post/work/@_opt/vopta3bzdd
new file mode 100644 (file)
index 0000000..05e6642
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopta3bzdd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopta9iigc b/bsp4/Designflow/sim/post/work/@_opt/vopta9iigc
new file mode 100644 (file)
index 0000000..233db91
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopta9iigc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptace792 b/bsp4/Designflow/sim/post/work/@_opt/voptace792
new file mode 100644 (file)
index 0000000..ab50809
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptace792 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptafit2j b/bsp4/Designflow/sim/post/work/@_opt/voptafit2j
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptafit2j differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptagtbq8 b/bsp4/Designflow/sim/post/work/@_opt/voptagtbq8
new file mode 100644 (file)
index 0000000..d1b2d94
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptagtbq8 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptan8zz7 b/bsp4/Designflow/sim/post/work/@_opt/voptan8zz7
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptan8zz7 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptanw60x b/bsp4/Designflow/sim/post/work/@_opt/voptanw60x
new file mode 100644 (file)
index 0000000..a9a7334
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptanw60x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptaqh4we b/bsp4/Designflow/sim/post/work/@_opt/voptaqh4we
new file mode 100644 (file)
index 0000000..3adb262
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptaqh4we differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptarssy2 b/bsp4/Designflow/sim/post/work/@_opt/voptarssy2
new file mode 100644 (file)
index 0000000..0e236c3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptarssy2 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptat585x b/bsp4/Designflow/sim/post/work/@_opt/voptat585x
new file mode 100644 (file)
index 0000000..6be4512
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptat585x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptatc2ig b/bsp4/Designflow/sim/post/work/@_opt/voptatc2ig
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptatc2ig differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptav4gks b/bsp4/Designflow/sim/post/work/@_opt/voptav4gks
new file mode 100644 (file)
index 0000000..6494b20
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptav4gks differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptavffkt b/bsp4/Designflow/sim/post/work/@_opt/voptavffkt
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptavffkt differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptayseae b/bsp4/Designflow/sim/post/work/@_opt/voptayseae
new file mode 100644 (file)
index 0000000..fa176ef
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptayseae differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptb2hb4s b/bsp4/Designflow/sim/post/work/@_opt/voptb2hb4s
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptb2hb4s differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptb5jf2x b/bsp4/Designflow/sim/post/work/@_opt/voptb5jf2x
new file mode 100644 (file)
index 0000000..efa8a98
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptb5jf2x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptb7s6jy b/bsp4/Designflow/sim/post/work/@_opt/voptb7s6jy
new file mode 100644 (file)
index 0000000..a5f9151
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptb7s6jy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbc4sy1 b/bsp4/Designflow/sim/post/work/@_opt/voptbc4sy1
new file mode 100644 (file)
index 0000000..8f13a6b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbc4sy1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbe0yhi b/bsp4/Designflow/sim/post/work/@_opt/voptbe0yhi
new file mode 100644 (file)
index 0000000..cd2f9ac
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbe0yhi differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbehe9r b/bsp4/Designflow/sim/post/work/@_opt/voptbehe9r
new file mode 100644 (file)
index 0000000..41f1d88
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbehe9r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbfih0x b/bsp4/Designflow/sim/post/work/@_opt/voptbfih0x
new file mode 100644 (file)
index 0000000..380bb5f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbfih0x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbjwgxq b/bsp4/Designflow/sim/post/work/@_opt/voptbjwgxq
new file mode 100644 (file)
index 0000000..b8e28d1
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbjwgxq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbq70ei b/bsp4/Designflow/sim/post/work/@_opt/voptbq70ei
new file mode 100644 (file)
index 0000000..63a0da9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbq70ei differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbrh2yj b/bsp4/Designflow/sim/post/work/@_opt/voptbrh2yj
new file mode 100644 (file)
index 0000000..d22e072
--- /dev/null
@@ -0,0 +1,148 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/post
+T_opt
+Z1 VEaAWm1d_JS=VNkklOXhG;0
+Z2 04 12 0 work vga_conf_pos 1
+Z3 =1-0015609ed0a8-4af05b46-4068a-7b76
+Z4 o-quiet -auto_acc_if_foreign -work work -sdftyp /vga_unit=/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo -suppress 1948
+Z5 n@_opt
+Z6 OE;O;6.5b;42
+Evga
+Z7 w1257265900
+Z8 DPx17 __model_tech/ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z9 DPx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z10 DPx17 __model_tech/ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z11 DPx20 __model_tech/stratix 18 stratix_components 0 22 ETJi=`V@8?ceQEj0KODmn3
+Z12 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+32
+Z13 8/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho
+Z14 F/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho
+l0
+L33
+Z15 Va^A3`oj7W2X29O[KC68:E3
+Z16 OE;C;6.5b;42
+Z17 o-work work
+Z18 tExplicit 1
+Z19 !s100 JmIRf5hghCim]`W8beHGP0
+Astructure
+Z20 DEx20 __model_tech/stratix 10 stratix_io 0 22 8g8W4@DX]PW8dgJFjd5lT1
+Z21 DEx20 __model_tech/stratix 13 stratix_lcell 0 22 aWl_l1>i5>lzY<SO57h5o1
+R8
+R9
+R10
+R11
+R12
+Z22 DEx58 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/post/work 3 vga 0 22 a^A3`oj7W2X29O[KC68:E3
+32
+Z23 Mx6 17 __model_tech/ieee 14 std_logic_1164
+Z24 Mx5 20 __model_tech/stratix 18 stratix_components
+Z25 Mx4 17 __model_tech/ieee 12 vital_timing
+Z26 Mx3 16 __model_tech/std 6 textio
+Z27 Mx2 20 __model_tech/stratix 17 stratix_atom_pack
+Z28 Mx1 17 __model_tech/ieee 16 vital_primitives
+l339
+L71
+Z29 V]Wm516:I9;]lA9UPJ_ON=3
+R16
+R17
+R18
+Z30 !s100 @2=YGQ1l@859QgkB=LQ;73
+Cvga_conf_pos
+R8
+R9
+R10
+R11
+R22
+DAx58 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/post/work 10 vga_pos_tb 9 structure 22 2H0Zl8k[9mYf8bN=NCbeH0
+Z31 DPx58 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/post/work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z32 DPx17 __model_tech/ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z33 DPx17 __model_tech/ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R12
+Z34 DEx58 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/post/work 10 vga_pos_tb 0 22 WYVDk8:IlXF:G=gkK18_k0
+32
+Z35 Mx9 17 __model_tech/ieee 14 std_logic_1164
+Z36 Mx8 17 __model_tech/ieee 18 std_logic_unsigned
+Z37 Mx7 17 __model_tech/ieee 15 std_logic_arith
+Z38 Mx6 58 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/post/work 7 vga_pak
+R24
+R25
+R26
+R27
+R28
+Z39 astructure
+Z40 evga_pos_tb
+Z41 w1255952276
+Z42 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pos_tb.vhd
+Z43 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pos_tb.vhd
+l0
+L190
+Z44 V0i2Wgcf;160Sh<_O1]Yd91
+R16
+R17
+R18
+Z45 !s100 5AFP2EDWmkBg2TkYkScac0
+Pvga_pak
+R32
+R33
+R12
+32
+Z46 Mx3 17 __model_tech/ieee 14 std_logic_1164
+Mx2 17 __model_tech/ieee 18 std_logic_unsigned
+Z47 Mx1 17 __model_tech/ieee 15 std_logic_arith
+Z48 w1257265128
+Z49 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z50 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z51 VHkmzP=gd;mD@MOhh4AYKl3
+R16
+R17
+R18
+Z52 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_pos_tb
+R41
+R31
+R32
+R33
+R12
+32
+R42
+R43
+l0
+L37
+Z53 VWYVDk8:IlXF:G=gkK18_k0
+R16
+R17
+R18
+Z54 !s100 ?:YH_R3N79K7J0L`IT49_0
+Astructure
+R8
+R9
+R10
+R11
+R22
+R31
+R32
+R33
+R12
+R34
+32
+R35
+R36
+R37
+R38
+R24
+R25
+R26
+R27
+R28
+l101
+L45
+Z55 V2H0Zl8k[9mYf8bN=NCbeH0
+R16
+R17
+R18
+Z56 !s100 T_8dcPYGCmK@^6g;3L5;b0
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbvqftw b/bsp4/Designflow/sim/post/work/@_opt/voptbvqftw
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbvqftw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptbz1x59 b/bsp4/Designflow/sim/post/work/@_opt/voptbz1x59
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptbz1x59 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptc24v6r b/bsp4/Designflow/sim/post/work/@_opt/voptc24v6r
new file mode 100644 (file)
index 0000000..f9aba2b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptc24v6r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptc5rdyb b/bsp4/Designflow/sim/post/work/@_opt/voptc5rdyb
new file mode 100644 (file)
index 0000000..6f80944
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptc5rdyb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptcgbn81 b/bsp4/Designflow/sim/post/work/@_opt/voptcgbn81
new file mode 100644 (file)
index 0000000..27073a4
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptcgbn81 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptchkf2x b/bsp4/Designflow/sim/post/work/@_opt/voptchkf2x
new file mode 100644 (file)
index 0000000..92a2bc7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptchkf2x differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptcixxbq b/bsp4/Designflow/sim/post/work/@_opt/voptcixxbq
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptcixxbq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptck7ky1 b/bsp4/Designflow/sim/post/work/@_opt/voptck7ky1
new file mode 100644 (file)
index 0000000..7c90f59
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptck7ky1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptckt7rt b/bsp4/Designflow/sim/post/work/@_opt/voptckt7rt
new file mode 100644 (file)
index 0000000..a762d71
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptckt7rt differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptcmbbr6 b/bsp4/Designflow/sim/post/work/@_opt/voptcmbbr6
new file mode 100644 (file)
index 0000000..9d92b16
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptcmbbr6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptcrgh4e b/bsp4/Designflow/sim/post/work/@_opt/voptcrgh4e
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptcrgh4e differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptcywdvj b/bsp4/Designflow/sim/post/work/@_opt/voptcywdvj
new file mode 100644 (file)
index 0000000..464d45a
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptcywdvj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptd0zxnv b/bsp4/Designflow/sim/post/work/@_opt/voptd0zxnv
new file mode 100644 (file)
index 0000000..b8897ea
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptd0zxnv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptd1j2cn b/bsp4/Designflow/sim/post/work/@_opt/voptd1j2cn
new file mode 100644 (file)
index 0000000..fcc3da7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptd1j2cn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptd1nzv2 b/bsp4/Designflow/sim/post/work/@_opt/voptd1nzv2
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptd1nzv2 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptd2egs7 b/bsp4/Designflow/sim/post/work/@_opt/voptd2egs7
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptd2egs7 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptd2sz76 b/bsp4/Designflow/sim/post/work/@_opt/voptd2sz76
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptd2sz76 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptdbwi2v b/bsp4/Designflow/sim/post/work/@_opt/voptdbwi2v
new file mode 100644 (file)
index 0000000..72afe8b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptdbwi2v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptdbwsnn b/bsp4/Designflow/sim/post/work/@_opt/voptdbwsnn
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptdbwsnn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptddtkm9 b/bsp4/Designflow/sim/post/work/@_opt/voptddtkm9
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptddtkm9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptde8mwa b/bsp4/Designflow/sim/post/work/@_opt/voptde8mwa
new file mode 100644 (file)
index 0000000..bd31919
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptde8mwa differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptditse5 b/bsp4/Designflow/sim/post/work/@_opt/voptditse5
new file mode 100644 (file)
index 0000000..c5f5486
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptditse5 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptdscvbi b/bsp4/Designflow/sim/post/work/@_opt/voptdscvbi
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptdscvbi differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptdwdhks b/bsp4/Designflow/sim/post/work/@_opt/voptdwdhks
new file mode 100644 (file)
index 0000000..4c305ff
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptdwdhks differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptdzrcjn b/bsp4/Designflow/sim/post/work/@_opt/voptdzrcjn
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptdzrcjn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte1d7dk b/bsp4/Designflow/sim/post/work/@_opt/vopte1d7dk
new file mode 100644 (file)
index 0000000..92b2152
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte1d7dk differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte334zy b/bsp4/Designflow/sim/post/work/@_opt/vopte334zy
new file mode 100644 (file)
index 0000000..bd16b9c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte334zy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte3y3z4 b/bsp4/Designflow/sim/post/work/@_opt/vopte3y3z4
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte3y3z4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte55fyb b/bsp4/Designflow/sim/post/work/@_opt/vopte55fyb
new file mode 100644 (file)
index 0000000..7731017
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte55fyb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte8nm5b b/bsp4/Designflow/sim/post/work/@_opt/vopte8nm5b
new file mode 100644 (file)
index 0000000..8374ef2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte8nm5b differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopte9vafy b/bsp4/Designflow/sim/post/work/@_opt/vopte9vafy
new file mode 100644 (file)
index 0000000..6a3cef5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopte9vafy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptecfa24 b/bsp4/Designflow/sim/post/work/@_opt/voptecfa24
new file mode 100644 (file)
index 0000000..60d4290
--- /dev/null
@@ -0,0 +1,1227 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/handl/test/stratix
+T_opt
+Z1 VHA3l6=UZ5BMC>F;<8m`;`1
+Z2 07 10 9 stratix stratix_io structure 1
+Z3 =1-0015609ec7f9-46ee61a4-39280-1c60
+Z4 o-quiet -auto_acc_if_foreign -work stratix
+Z5 tExplicit 1
+Z6 OE;O;6.3;37
+Estratix_and1
+w0
+Z7 DPx17 __model_tech/ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z8 DPx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z9 DPx17 __model_tech/ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z10 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z11 OE;C;6.5b;42
+32
+Z12 8/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_atoms.vhd
+Z13 F/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_atoms.vhd
+l0
+L1185
+Z14 VSdl`G>5?aj<MgVMWO7?O<0
+Z15 o-work work
+R5
+Aaltvital
+R7
+R8
+R9
+R10
+Z16 DEx20 __model_tech/stratix 12 stratix_and1 0 22 Sdl`G>5?aj<MgVMWO7?O<0
+R11
+32
+Z17 Mx5 17 __model_tech/ieee 14 std_logic_1164
+Z18 Mx4 17 __model_tech/ieee 12 vital_timing
+Z19 Mx3 16 __model_tech/std 6 textio
+Z20 Mx2 20 __model_tech/stratix 17 stratix_atom_pack
+Z21 Mx1 17 __model_tech/ieee 16 vital_primitives
+l1207
+L1202
+Z22 VAf[EL6IlnGJB]e;<SVi890
+R15
+R5
+Estratix_asynch_io
+w0
+R8
+R7
+R9
+Z23 DPx17 __model_tech/ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R10
+R11
+32
+R12
+R13
+l0
+L2150
+Z24 Vge^J1HXaC6^id<4aYS6c43
+R15
+R5
+Abehave
+32
+R8
+R7
+R9
+R23
+R10
+Z25 DEx20 __model_tech/stratix 17 stratix_asynch_io 0 22 ge^J1HXaC6^id<4aYS6c43
+R11
+Z26 Mx6 17 __model_tech/ieee 14 std_logic_1164
+Mx5 17 __model_tech/ieee 15 std_logic_arith
+R18
+R19
+Z27 Mx2 17 __model_tech/ieee 16 vital_primitives
+Z28 Mx1 20 __model_tech/stratix 17 stratix_atom_pack
+l2189
+L2185
+Z29 V^GWzckYY?WiKf2WI2k7JY2
+R15
+R5
+Estratix_asynch_lcell
+w0
+R8
+R7
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L1274
+Z30 V8j4Kk3oSOGiVF;kHH9H=I1
+R15
+R5
+Avital_le
+32
+R8
+R7
+R9
+R10
+Z31 DEx20 __model_tech/stratix 20 stratix_asynch_lcell 0 22 8j4Kk3oSOGiVF;kHH9H=I1
+R11
+R17
+R18
+R19
+R27
+R28
+l1364
+L1346
+Z32 V;6MMEIZd;L=V0a2a=2?fm3
+R15
+R5
+Pstratix_atom_pack
+R7
+R9
+R10
+R11
+32
+b1
+Z33 Mx3 17 __model_tech/ieee 14 std_logic_1164
+Mx2 17 __model_tech/ieee 12 vital_timing
+R21
+Z34 w1170703014
+R12
+R13
+l0
+L24
+Z35 V4LU4R]0>3N6GcAdgd1O1R2
+b1
+R15
+R5
+Bbody
+DBx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+R7
+R9
+R10
+R11
+32
+Mx4 17 __model_tech/ieee 14 std_logic_1164
+Mx3 17 __model_tech/ieee 12 vital_timing
+Mx2 16 __model_tech/std 6 textio
+R21
+l0
+L77
+Z36 VM?dBl7420_0BjH>lIYbL41
+R15
+R5
+nbody
+Pstratix_components
+R7
+R8
+R9
+R10
+R11
+32
+R17
+R18
+R19
+R20
+R21
+R34
+Z37 8/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_components.vhd
+Z38 F/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_components.vhd
+l0
+L24
+Z39 VETJi=`V@8?ceQEj0KODmn3
+R15
+R5
+Estratix_crcblock
+R34
+Z40 DP ieee vital_primitives E9g6AWKAc2T]enMfl94If3
+Z41 DP ieee vital_timing OBWK>;kUYmkG<OChK2lhV1
+Z42 DP work stratix_atom_pack 4LU4R]0>3N6GcAdgd1O1R2
+Z43 DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2
+R12
+R13
+l0
+Z44 L11440
+Z45 VebIKjQ;h:c8H:U<_[MiI<1
+Z46 OE;C;6.3;37
+32
+R15
+R5
+Aarchitecture_crcblock
+R40
+R41
+R42
+R43
+Z47 DE work stratix_crcblock ebIKjQ;h:c8H:U<_[MiI<1
+Z48 l11455
+Z49 L11454
+Z50 V_MCh`NDa3]]G1=`^;BO<c2
+R46
+32
+Z51 M4 ieee std_logic_1164
+Z52 M3 work stratix_atom_pack
+Z53 M2 ieee vital_timing
+Z54 M1 ieee vital_primitives
+R15
+R5
+Estratix_dffe
+R34
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L817
+Z55 VM^?0eIMFoSWj64@66;fKU1
+R46
+32
+R15
+R5
+Abehave
+R42
+R40
+R41
+R43
+Z56 DE work stratix_dffe M^?0eIMFoSWj64@66;fKU1
+l862
+L853
+Z57 VDm:W_j6;6Um04NBQS6@7z2
+R46
+32
+R51
+Z58 M3 ieee vital_timing
+Z59 M2 ieee vital_primitives
+Z60 M1 work stratix_atom_pack
+R15
+R5
+Estratix_dll
+R34
+Z61 DP work stratix_pllpack 05H1iWjcWbE5Ha>kmg08Q2
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+Z62 L11163
+Z63 V1[cSRI=OC?Ub3Fae774oQ1
+R46
+32
+R15
+R5
+Avital_dll
+R61
+R42
+R40
+R41
+R43
+Z64 DE work stratix_dll 1[cSRI=OC?Ub3Fae774oQ1
+Z65 l11186
+Z66 L11181
+Z67 VVL<O2gegLiLQJBi0hfnaS2
+R46
+32
+Z68 M5 ieee std_logic_1164
+Z69 M4 ieee vital_timing
+Z70 M3 ieee vital_primitives
+Z71 M2 work stratix_atom_pack
+Z72 M1 work stratix_pllpack
+R15
+R5
+Estratix_io
+w0
+R16
+Z73 DEx20 __model_tech/stratix 13 stratix_mux21 0 22 eQLY`BE4]EFc9AB8Y_z^b2
+Z74 DEx20 __model_tech/stratix 19 stratix_io_register 0 22 PP3H7jXg<<Q`]2miO]XLY3
+R23
+R25
+Z75 DPx20 __model_tech/stratix 15 stratix_pllpack 0 22 05H1iWjcWbE5Ha>kmg08Q2
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L2622
+Z76 V8g8W4@DX]PW8dgJFjd5lT1
+R15
+R5
+Astructure
+32
+R25
+R73
+R16
+R74
+R23
+R75
+R8
+R7
+R9
+R10
+Z77 DEx20 __model_tech/stratix 10 stratix_io 0 22 8g8W4@DX]PW8dgJFjd5lT1
+R11
+Mx7 17 __model_tech/ieee 14 std_logic_1164
+Mx6 17 __model_tech/ieee 12 vital_timing
+Mx5 16 __model_tech/std 6 textio
+Mx4 17 __model_tech/ieee 16 vital_primitives
+Mx3 20 __model_tech/stratix 17 stratix_atom_pack
+Mx2 20 __model_tech/stratix 15 stratix_pllpack
+Z78 Mx1 17 __model_tech/ieee 15 std_logic_arith
+l2786
+L2669
+Z79 V_OQ6lS<VSGQ`YQ7_[RdT90
+R15
+R5
+Estratix_io_register
+w0
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L2418
+Z80 VPP3H7jXg<<Q`]2miO]XLY3
+R15
+R5
+Avital_io_reg
+32
+R8
+R7
+R9
+R10
+R74
+R11
+R17
+R18
+R19
+R27
+R28
+l2461
+L2457
+Z81 VW5ZYbm[GV0cF@UBV1ibJP2
+R15
+R5
+Estratix_jtag
+R34
+R40
+R41
+R42
+R43
+R12
+R13
+l0
+Z82 L11401
+Z83 VaCj9V;Ld;:lO3aD5jMX_K0
+R46
+32
+R15
+R5
+Aarchitecture_jtag
+R40
+R41
+R42
+R43
+Z84 DE work stratix_jtag aCj9V;Ld;:lO3aD5jMX_K0
+Z85 l11425
+Z86 L11424
+Z87 V^XQzBIV9QSlgZ1@EV^4Jz2
+R46
+32
+R51
+R52
+R53
+R54
+R15
+R5
+Estratix_lcell
+w0
+Z88 DEx20 __model_tech/stratix 22 stratix_lcell_register 0 22 CWH?gQ078^87jkOg?o7Z63
+R31
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L1890
+Z89 VaWl_l1>i5>lzY<SO57h5o1
+R15
+R5
+Avital_le_atom
+32
+R88
+R31
+R8
+R7
+R9
+R10
+Z90 DEx20 __model_tech/stratix 13 stratix_lcell 0 22 aWl_l1>i5>lzY<SO57h5o1
+R11
+R17
+R18
+R19
+R27
+R28
+l2069
+L1932
+Z91 Vd5`2G@D9KLPDWMME?AYhK3
+R15
+R5
+Estratix_lcell_register
+w0
+R8
+R7
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L1567
+Z92 VCWH?gQ078^87jkOg?o7Z63
+R15
+R5
+Avital_le_reg
+32
+R8
+R7
+R9
+R10
+R88
+R11
+R17
+R18
+R19
+R27
+R28
+l1659
+L1625
+Z93 Vi5Yf[Hej@WA06<1X5H[]D3
+R15
+R5
+Estratix_lvds_receiver
+R34
+Z94 DE work stratix_and1 Sdl`G>5?aj<MgVMWO7?O<0
+R56
+Z95 DE work stratix_lvds_rx_parallel_register 5``IQH;BN8XU6n3=]<QA:0
+Z96 DP std textio K]Z^fghZ6B=BjnK5NomDT3
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L7464
+Z97 VZJJ6_nh3T^QX:cB1:M>Sn1
+R46
+32
+R15
+R5
+Avital_receiver_atom
+R96
+R42
+R40
+R41
+R43
+Z98 DE work stratix_lvds_receiver ZJJ6_nh3T^QX:cB1:M>Sn1
+l7568
+L7483
+Z99 V8]8CJhVKG:K^biBG=Lf[H1
+R46
+32
+R68
+R69
+R70
+R71
+Z100 M1 std textio
+R15
+R5
+Estratix_lvds_rx_parallel_register
+R34
+R96
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L7374
+Z101 V5``IQH;BN8XU6n3=]<QA:0
+R46
+32
+R15
+R5
+Avital_rx_reg
+R96
+R42
+R40
+R41
+R43
+R95
+l7403
+L7398
+Z102 V7g=z7L91nZ0b2M[CHYkn30
+R46
+32
+R68
+R69
+R70
+R71
+R100
+R15
+R5
+Estratix_lvds_transmitter
+R34
+Z103 DE work stratix_lvds_tx_out_block 6?L`2TJV=^]0G2B@YePiO0
+R96
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L7106
+Z104 VgHFV7<X_@dG^_bjf3EW]F2
+R46
+32
+R15
+R5
+Avital_transmitter_atom
+R96
+R42
+R40
+R41
+R43
+Z105 DE work stratix_lvds_transmitter gHFV7<X_@dG^_bjf3EW]F2
+l7238
+L7128
+Z106 V8hCeSzIi^>@ATc^5AB89`1
+R46
+32
+R68
+R69
+R70
+R71
+R100
+R15
+R5
+Estratix_lvds_tx_out_block
+R34
+R56
+Z107 DE work stratix_lvds_tx_parallel_register ^FQVdYR7Bd1jX:hL]cgSG1
+R96
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L6979
+Z108 V6?L`2TJV=^]0G2B@YePiO0
+R46
+32
+R15
+R5
+Avital_tx_out_block
+R96
+R42
+R40
+R41
+R43
+R103
+l7012
+L7006
+Z109 V2E88Bi[J4md8X1TIRnKCc1
+R46
+32
+R68
+R69
+R70
+R71
+R100
+R15
+R5
+Estratix_lvds_tx_parallel_register
+R34
+R96
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L6859
+Z110 V^FQVdYR7Bd1jX:hL]cgSG1
+R46
+32
+R15
+R5
+Avital_tx_reg
+R96
+R42
+R40
+R41
+R43
+R107
+l6891
+L6886
+Z111 Vg]DVbjF_T4Hi4U6a]=lDf0
+R46
+32
+R68
+R69
+R70
+R71
+R100
+R15
+R5
+Estratix_m_cntr
+R34
+R40
+R41
+R43
+R12
+R13
+l0
+L7663
+Z112 VGzhfbTYW@P9W`dc<LM`o<3
+R46
+32
+R15
+R5
+Abehave
+R40
+R41
+R43
+Z113 DE work stratix_m_cntr GzhfbTYW@P9W`dc<LM`o<3
+l7674
+L7673
+Z114 V`DnSHjXWN6AToWh]Cfoo?3
+R46
+32
+Z115 M3 ieee std_logic_1164
+R53
+R54
+R15
+R5
+Estratix_mac_mult
+R34
+Z116 DE work stratix_mac_mult_internal bcZ7dVno7AIA7_ZWc^MhD3
+Z117 DE work stratix_mac_register P_zd1eochY^VHLgcE6BfU2
+R42
+R40
+R41
+Z118 DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90
+Z119 DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2
+R43
+R12
+R13
+l0
+L5016
+Z120 V2:W`FK9UC8lc9[BNkWXcQ2
+R46
+32
+R15
+R5
+Amult_arch
+R42
+R40
+R41
+R118
+R119
+R43
+Z121 DE work stratix_mac_mult 2:W`FK9UC8lc9[BNkWXcQ2
+l5176
+L5071
+Z122 VE8Nf5BFMfBc^PU_lV3W3V1
+R46
+32
+Z123 M6 ieee std_logic_1164
+Z124 M5 ieee std_logic_arith
+Z125 M4 ieee std_logic_unsigned
+R58
+R59
+R60
+R15
+R5
+Estratix_mac_mult_internal
+R34
+R42
+R40
+R41
+R119
+R43
+R12
+R13
+l0
+L3127
+Z126 VbcZ7dVno7AIA7_ZWc^MhD3
+R46
+32
+R15
+R5
+Amult_internal_arch
+R42
+R40
+R41
+R119
+R43
+R116
+l3162
+L3157
+Z127 VL;AK:JPPhZk7FzC:k0HL10
+R46
+32
+R68
+Z128 M4 ieee std_logic_arith
+R58
+R59
+R60
+R15
+R5
+Estratix_mac_out
+R34
+R118
+Z129 DE work stratix_mac_out_internal 6aK`BWa1UC[hT@`dA0nKg2
+R117
+R42
+R40
+R41
+R119
+R43
+R12
+R13
+l0
+L5300
+Z130 V9No]^LkEH<F;AkYcl3ee`1
+R46
+32
+R15
+R5
+Aout_arch
+R118
+R42
+R40
+R41
+R119
+R43
+Z131 DE work stratix_mac_out 9No]^LkEH<F;AkYcl3ee`1
+l5549
+L5374
+Z132 VmG5[EnWeTXZmALa5K5Qn02
+R46
+32
+R123
+R124
+R69
+R70
+R71
+Z133 M1 ieee std_logic_unsigned
+R15
+R5
+Estratix_mac_out_internal
+R34
+R42
+R40
+R41
+R118
+R119
+R43
+R12
+R13
+l0
+L3268
+Z134 V6aK`BWa1UC[hT@`dA0nKg2
+R46
+32
+R15
+R5
+Amac_add
+R42
+R40
+R41
+R118
+R119
+R43
+R129
+l3413
+L3388
+Z135 VgOedUHm<ZjfgXknGP_WOP2
+R46
+32
+R123
+R124
+R125
+R58
+R59
+R60
+R15
+R5
+Estratix_mac_register
+R34
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L2991
+Z136 VP_zd1eochY^VHLgcE6BfU2
+R46
+32
+R15
+R5
+Areg_arch
+R42
+R40
+R41
+R43
+R117
+l3028
+L3021
+Z137 V]OaL?nZ6@eg`_Qz?OJ`bD1
+R46
+32
+R51
+R58
+R59
+R60
+R15
+R5
+Estratix_mux21
+w0
+R7
+R8
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L996
+Z138 VeQLY`BE4]EFc9AB8Y_z^b2
+R15
+R5
+Aaltvital
+R7
+R8
+R9
+R10
+R73
+R11
+32
+R17
+R18
+R19
+R20
+R21
+l1021
+L1016
+Z139 VISAX]WafYQzd;H`JQb2BU0
+R15
+R5
+Estratix_mux41
+R34
+R40
+R42
+R41
+R43
+R12
+R13
+l0
+L1081
+Z140 VcNGDO?DnQhNBgJ^31=XJ?2
+R46
+32
+R15
+R5
+Aaltvital
+R40
+R42
+R41
+R43
+Z141 DE work stratix_mux41 cNGDO?DnQhNBgJ^31=XJ?2
+l1115
+L1109
+Z142 VZ;3DU@^CejSm0nk]:DFdF2
+R46
+32
+R51
+R58
+R71
+R54
+R15
+R5
+Estratix_n_cntr
+R34
+R40
+R41
+R43
+R12
+R13
+l0
+L7716
+Z143 V`bPZAQilaTf^cQ@f6mRI53
+R46
+32
+R15
+R5
+Abehave
+R40
+R41
+R43
+Z144 DE work stratix_n_cntr `bPZAQilaTf^cQ@f6mRI53
+l7725
+L7724
+Z145 V?@ok=N^FYQfW2`CbBS6]e2
+R46
+32
+R115
+R53
+R54
+R15
+R5
+Estratix_pll
+R34
+Z146 DE work stratix_pll_reg 3K4O3E[JZ12c372zZD4A[0
+R56
+Z147 DE work stratix_scale_cntr >6]VzDH3>NL:_PDfo@<_93
+R144
+R113
+R61
+R42
+R96
+R40
+R41
+R43
+R12
+R13
+l0
+L7923
+Z148 VP@:fKPe2M7;<ZQMF;U:W30
+R46
+32
+R15
+R5
+Avital_pll
+R61
+R42
+R96
+R40
+R41
+R43
+Z149 DE work stratix_pll P@:fKPe2M7;<ZQMF;U:W30
+l8548
+L8190
+Z150 V]>R:V3oF;`]nTm?zgfC_M0
+R46
+32
+R123
+Z151 M5 ieee vital_timing
+Z152 M4 ieee vital_primitives
+Z153 M3 std textio
+R71
+R72
+R15
+R5
+Estratix_pll_reg
+R34
+R43
+R12
+R13
+l0
+L7866
+Z154 V3K4O3E[JZ12c372zZD4A[0
+R46
+32
+R15
+R5
+Abehave
+R43
+R146
+l7876
+L7875
+Z155 V4D3D:CkZ25k?HW8zncRZi3
+R46
+32
+Z156 M1 ieee std_logic_1164
+R15
+R5
+Pstratix_pllpack
+R10
+R11
+32
+b1
+Z157 Mx1 17 __model_tech/ieee 14 std_logic_1164
+R34
+R12
+R13
+l0
+L230
+Z158 V05H1iWjcWbE5Ha>kmg08Q2
+b1
+R15
+R5
+Bbody
+DBx20 __model_tech/stratix 15 stratix_pllpack 0 22 05H1iWjcWbE5Ha>kmg08Q2
+R10
+R11
+32
+R157
+l0
+L294
+Z159 VAF3fZBz=:cR8[XC`8][282
+R15
+R5
+nbody
+Estratix_ram_block
+R34
+Z160 DE work stratix_ram_pulse_generator SlR5:_dE<OV:BWMOMEd^j1
+Z161 DE work stratix_ram_register _<R^_FmT?ePRAZ6RGAmH@2
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L6027
+Z162 V;ikjGGCL6WAzRcnd0V?`83
+R46
+32
+R15
+R5
+Ablock_arch
+R42
+R40
+R41
+R43
+Z163 DE work stratix_ram_block ;ikjGGCL6WAzRcnd0V?`83
+l6309
+L6106
+Z164 VgK4fJLA7fOIZ_iSm:9FmN3
+R46
+32
+R51
+R58
+R59
+R60
+R15
+R5
+Estratix_ram_pulse_generator
+R34
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L5965
+Z165 VSlR5:_dE<OV:BWMOMEd^j1
+R46
+32
+R15
+R5
+Apgen_arch
+R42
+R40
+R41
+R43
+R160
+l5982
+L5978
+Z166 V[3zMTFPPlZ?ITW<cShYH22
+R46
+32
+R51
+R58
+R59
+R60
+R15
+R5
+Estratix_ram_register
+R34
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+L5804
+Z167 V_<R^_FmT?ePRAZ6RGAmH@2
+R46
+32
+R15
+R5
+Areg_arch
+R42
+R40
+R41
+R43
+R161
+l5844
+L5837
+Z168 VI1h3gImhMzaYjBoLd:FHE3
+R46
+32
+R51
+R58
+R59
+R60
+R15
+R5
+Estratix_routing_wire
+R34
+R42
+R40
+R41
+R43
+R12
+R13
+l0
+Z169 L11675
+Z170 Vh69i5h=e==KHZ@YGCKE693
+R46
+32
+R15
+R5
+Abehave
+R42
+R40
+R41
+R43
+Z171 DE work stratix_routing_wire h69i5h=e==KHZ@YGCKE693
+Z172 l11694
+Z173 L11690
+Z174 VEI1l8E;d2JM]025_1_TMS3
+R46
+32
+R51
+R58
+R59
+R60
+R15
+R5
+Estratix_rublock
+R34
+R40
+R41
+R42
+R119
+R43
+R12
+R13
+l0
+Z175 L11470
+Z176 VYC@[Jf7enXSANO:znk]0>0
+R46
+32
+R15
+R5
+Aarchitecture_rublock
+R40
+R41
+R42
+R119
+R43
+Z177 DE work stratix_rublock YC@[Jf7enXSANO:znk]0>0
+Z178 l11502
+Z179 L11494
+Z180 VRJdW9W0PEl6f6bJh9WUH;0
+R46
+32
+R68
+R128
+R52
+R53
+R54
+R15
+R5
+Estratix_scale_cntr
+R34
+R40
+R41
+R43
+R12
+R13
+l0
+L7774
+Z181 V>6]VzDH3>NL:_PDfo@<_93
+R46
+32
+R15
+R5
+Abehave
+R40
+R41
+R43
+R147
+l7787
+L7786
+Z182 Vg8Q6mDROzN5>cgB5GCz9>1
+R46
+32
+R115
+R53
+R54
+R15
+R5
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptecfn9q b/bsp4/Designflow/sim/post/work/@_opt/voptecfn9q
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptecfn9q differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptegbjqd b/bsp4/Designflow/sim/post/work/@_opt/voptegbjqd
new file mode 100644 (file)
index 0000000..6a60a18
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptegbjqd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptegjhtv b/bsp4/Designflow/sim/post/work/@_opt/voptegjhtv
new file mode 100644 (file)
index 0000000..7683ba3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptegjhtv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptej8mb9 b/bsp4/Designflow/sim/post/work/@_opt/voptej8mb9
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptej8mb9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptejzkaf b/bsp4/Designflow/sim/post/work/@_opt/voptejzkaf
new file mode 100644 (file)
index 0000000..16d5158
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptejzkaf differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptezbh8z b/bsp4/Designflow/sim/post/work/@_opt/voptezbh8z
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptezbh8z differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf0ahar b/bsp4/Designflow/sim/post/work/@_opt/voptf0ahar
new file mode 100644 (file)
index 0000000..7ebd544
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf0ahar differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf3hgkd b/bsp4/Designflow/sim/post/work/@_opt/voptf3hgkd
new file mode 100644 (file)
index 0000000..8fb40ca
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf3hgkd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf6b8ck b/bsp4/Designflow/sim/post/work/@_opt/voptf6b8ck
new file mode 100644 (file)
index 0000000..68e7f5b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf6b8ck differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf7j1rs b/bsp4/Designflow/sim/post/work/@_opt/voptf7j1rs
new file mode 100644 (file)
index 0000000..ba191c4
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf7j1rs differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf7z3mk b/bsp4/Designflow/sim/post/work/@_opt/voptf7z3mk
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf7z3mk differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf8b44e b/bsp4/Designflow/sim/post/work/@_opt/voptf8b44e
new file mode 100644 (file)
index 0000000..843b213
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf8b44e differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptf8h5v4 b/bsp4/Designflow/sim/post/work/@_opt/voptf8h5v4
new file mode 100644 (file)
index 0000000..26367b7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptf8h5v4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptffewxd b/bsp4/Designflow/sim/post/work/@_opt/voptffewxd
new file mode 100644 (file)
index 0000000..429057f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptffewxd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptfm6b5q b/bsp4/Designflow/sim/post/work/@_opt/voptfm6b5q
new file mode 100644 (file)
index 0000000..a8d2a9f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptfm6b5q differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptfq54th b/bsp4/Designflow/sim/post/work/@_opt/voptfq54th
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptfq54th differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptg93f6r b/bsp4/Designflow/sim/post/work/@_opt/voptg93f6r
new file mode 100644 (file)
index 0000000..ffc3612
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptg93f6r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptg9vg4h b/bsp4/Designflow/sim/post/work/@_opt/voptg9vg4h
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptg9vg4h differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptghne9v b/bsp4/Designflow/sim/post/work/@_opt/voptghne9v
new file mode 100644 (file)
index 0000000..01debaa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptghne9v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptgn7mc3 b/bsp4/Designflow/sim/post/work/@_opt/voptgn7mc3
new file mode 100644 (file)
index 0000000..37af827
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptgn7mc3 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptgsdqfz b/bsp4/Designflow/sim/post/work/@_opt/voptgsdqfz
new file mode 100644 (file)
index 0000000..5b5efad
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptgsdqfz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptgydr4a b/bsp4/Designflow/sim/post/work/@_opt/voptgydr4a
new file mode 100644 (file)
index 0000000..07f8ee2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptgydr4a differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptgz6dw1 b/bsp4/Designflow/sim/post/work/@_opt/voptgz6dw1
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptgz6dw1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptgzk7k9 b/bsp4/Designflow/sim/post/work/@_opt/voptgzk7k9
new file mode 100644 (file)
index 0000000..a48bd43
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptgzk7k9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopth2119g b/bsp4/Designflow/sim/post/work/@_opt/vopth2119g
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopth2119g differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopth3s80r b/bsp4/Designflow/sim/post/work/@_opt/vopth3s80r
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopth3s80r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopth4si33 b/bsp4/Designflow/sim/post/work/@_opt/vopth4si33
new file mode 100644 (file)
index 0000000..3141992
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopth4si33 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopth9nmz1 b/bsp4/Designflow/sim/post/work/@_opt/vopth9nmz1
new file mode 100644 (file)
index 0000000..dd4fd2e
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopth9nmz1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptharqyt b/bsp4/Designflow/sim/post/work/@_opt/voptharqyt
new file mode 100644 (file)
index 0000000..09cb9d7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptharqyt differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopthmq37r b/bsp4/Designflow/sim/post/work/@_opt/vopthmq37r
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopthmq37r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopthn4sz1 b/bsp4/Designflow/sim/post/work/@_opt/vopthn4sz1
new file mode 100644 (file)
index 0000000..377cc32
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopthn4sz1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptht59v0 b/bsp4/Designflow/sim/post/work/@_opt/voptht59v0
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptht59v0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopthy0dtc b/bsp4/Designflow/sim/post/work/@_opt/vopthy0dtc
new file mode 100644 (file)
index 0000000..856ad8f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopthy0dtc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopti16xtd b/bsp4/Designflow/sim/post/work/@_opt/vopti16xtd
new file mode 100644 (file)
index 0000000..8298c4d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopti16xtd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopti97r0b b/bsp4/Designflow/sim/post/work/@_opt/vopti97r0b
new file mode 100644 (file)
index 0000000..ca3cbe6
--- /dev/null
@@ -0,0 +1,78 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Penv
+Z1 OL;C;6.5b;42
+32
+b1
+Z2 OP;C;6.5b;42
+Z3 w1242971927
+Z4 d$MODEL_TECH/..
+Z5 8vhdl_src/std/env.vhd
+Z6 Fvhdl_src/std/env.vhd
+l0
+L1
+VMS<MD0@]6L0EihU2C?95]0
+Z7 OE;C;6.5b;42
+Z8 o-work std -dirpath {$MODEL_TECH/..}
+Z9 tExplicit 1
+!s100 Jk]WLNXXY90REn6H_ahP:3
+Bbody
+DBx4 work 3 env 0 22 MS<MD0@]6L0EihU2C?95]0
+R1
+32
+R2
+l0
+L11
+Vc=H5Zk>h;Gmh>9BN<MDk<3
+R7
+R8
+R9
+nbody
+!s100 Q2aL@L`86VXT`NWicN9BE0
+Pstandard
+R1
+33
+R2
+R3
+R4
+8vhdl_src/std/standard.vhd
+Fvhdl_src/std/standard.vhd
+l0
+L8
+VM]UbYN`go6foOAGb42z_92
+R7
+o-s -2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 ddgmKj`IlOCVkRDj1[3^93
+Ptextio
+R1
+33
+b1
+R2
+R3
+R4
+Z10 8vhdl_src/std/textio.vhd
+Z11 Fvhdl_src/std/textio.vhd
+l0
+L12
+Vm2KQDRRhmF833<<DjYdL70
+R7
+Z12 o-2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 9=H8XRm2GgHGk4^GSVfTD3
+Bbody
+DBx4 work 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R1
+33
+R2
+l0
+L162
+VCbz:dGNX5zl`2nYKYBS>`3
+R7
+R12
+R9
+nbody
+!s100 9k9n?Y0BCW@M9E4=S3GCM2
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopti9n5xy b/bsp4/Designflow/sim/post/work/@_opt/vopti9n5xy
new file mode 100644 (file)
index 0000000..730b2f5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopti9n5xy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptif5g62 b/bsp4/Designflow/sim/post/work/@_opt/voptif5g62
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptif5g62 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptirdxd5 b/bsp4/Designflow/sim/post/work/@_opt/voptirdxd5
new file mode 100644 (file)
index 0000000..3c2e0ea
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptirdxd5 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptj8ag4n b/bsp4/Designflow/sim/post/work/@_opt/voptj8ag4n
new file mode 100644 (file)
index 0000000..22b955b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptj8ag4n differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptj95smf b/bsp4/Designflow/sim/post/work/@_opt/voptj95smf
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptj95smf differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptje755v b/bsp4/Designflow/sim/post/work/@_opt/voptje755v
new file mode 100644 (file)
index 0000000..09ddc9d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptje755v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptjkewxg b/bsp4/Designflow/sim/post/work/@_opt/voptjkewxg
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptjkewxg differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptjrrj84 b/bsp4/Designflow/sim/post/work/@_opt/voptjrrj84
new file mode 100644 (file)
index 0000000..2e13829
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptjrrj84 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptjtjdsx b/bsp4/Designflow/sim/post/work/@_opt/voptjtjdsx
new file mode 100644 (file)
index 0000000..8a5b021
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptjtjdsx differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptjv1ry4 b/bsp4/Designflow/sim/post/work/@_opt/voptjv1ry4
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptjv1ry4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptjzq16i b/bsp4/Designflow/sim/post/work/@_opt/voptjzq16i
new file mode 100644 (file)
index 0000000..af549f4
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptjzq16i differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptk31etb b/bsp4/Designflow/sim/post/work/@_opt/voptk31etb
new file mode 100644 (file)
index 0000000..9943a9d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptk31etb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptk4aq1r b/bsp4/Designflow/sim/post/work/@_opt/voptk4aq1r
new file mode 100644 (file)
index 0000000..bb1b5fe
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptk4aq1r differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptk9b2m0 b/bsp4/Designflow/sim/post/work/@_opt/voptk9b2m0
new file mode 100644 (file)
index 0000000..ebff383
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptk9b2m0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptka9bz6 b/bsp4/Designflow/sim/post/work/@_opt/voptka9bz6
new file mode 100644 (file)
index 0000000..438c202
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptka9bz6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptkqbenv b/bsp4/Designflow/sim/post/work/@_opt/voptkqbenv
new file mode 100644 (file)
index 0000000..839f678
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptkqbenv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptkt6s62 b/bsp4/Designflow/sim/post/work/@_opt/voptkt6s62
new file mode 100644 (file)
index 0000000..68e7f5b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptkt6s62 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptktzfbn b/bsp4/Designflow/sim/post/work/@_opt/voptktzfbn
new file mode 100644 (file)
index 0000000..1459201
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptktzfbn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptkya4fj b/bsp4/Designflow/sim/post/work/@_opt/voptkya4fj
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptkya4fj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptm0yxw8 b/bsp4/Designflow/sim/post/work/@_opt/voptm0yxw8
new file mode 100644 (file)
index 0000000..f5df000
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptm0yxw8 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptm4dz0h b/bsp4/Designflow/sim/post/work/@_opt/voptm4dz0h
new file mode 100644 (file)
index 0000000..2f50791
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptm4dz0h differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmbfce4 b/bsp4/Designflow/sim/post/work/@_opt/voptmbfce4
new file mode 100644 (file)
index 0000000..90f463d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmbfce4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmfsiyr b/bsp4/Designflow/sim/post/work/@_opt/voptmfsiyr
new file mode 100644 (file)
index 0000000..8f5e122
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmfsiyr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmgnm7b b/bsp4/Designflow/sim/post/work/@_opt/voptmgnm7b
new file mode 100644 (file)
index 0000000..f489221
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmgnm7b differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmiakac b/bsp4/Designflow/sim/post/work/@_opt/voptmiakac
new file mode 100644 (file)
index 0000000..857f231
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmiakac differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmrhth4 b/bsp4/Designflow/sim/post/work/@_opt/voptmrhth4
new file mode 100644 (file)
index 0000000..7fd6385
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmrhth4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmx2fty b/bsp4/Designflow/sim/post/work/@_opt/voptmx2fty
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmx2fty differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptmzy4v1 b/bsp4/Designflow/sim/post/work/@_opt/voptmzy4v1
new file mode 100644 (file)
index 0000000..750124e
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptmzy4v1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptn5eana b/bsp4/Designflow/sim/post/work/@_opt/voptn5eana
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptn5eana differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptn8ir5v b/bsp4/Designflow/sim/post/work/@_opt/voptn8ir5v
new file mode 100644 (file)
index 0000000..abf2fe2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptn8ir5v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptnh3sad b/bsp4/Designflow/sim/post/work/@_opt/voptnh3sad
new file mode 100644 (file)
index 0000000..03f0850
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptnh3sad differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptnkhwb9 b/bsp4/Designflow/sim/post/work/@_opt/voptnkhwb9
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptnkhwb9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptnmh1t0 b/bsp4/Designflow/sim/post/work/@_opt/voptnmh1t0
new file mode 100644 (file)
index 0000000..8bf19cc
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptnmh1t0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptnmhxdi b/bsp4/Designflow/sim/post/work/@_opt/voptnmhxdi
new file mode 100644 (file)
index 0000000..07f8ee2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptnmhxdi differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptq0cg1y b/bsp4/Designflow/sim/post/work/@_opt/voptq0cg1y
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptq0cg1y differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptq6cfgv b/bsp4/Designflow/sim/post/work/@_opt/voptq6cfgv
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptq6cfgv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqedyhw b/bsp4/Designflow/sim/post/work/@_opt/voptqedyhw
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqedyhw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqg5wr7 b/bsp4/Designflow/sim/post/work/@_opt/voptqg5wr7
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqg5wr7 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqg9wyh b/bsp4/Designflow/sim/post/work/@_opt/voptqg9wyh
new file mode 100644 (file)
index 0000000..35075be
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqg9wyh differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqh9jyh b/bsp4/Designflow/sim/post/work/@_opt/voptqh9jyh
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqh9jyh differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqk28ai b/bsp4/Designflow/sim/post/work/@_opt/voptqk28ai
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqk28ai differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqqt52n b/bsp4/Designflow/sim/post/work/@_opt/voptqqt52n
new file mode 100644 (file)
index 0000000..e4f04ef
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqqt52n differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptqysdc4 b/bsp4/Designflow/sim/post/work/@_opt/voptqysdc4
new file mode 100644 (file)
index 0000000..7af2846
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptqysdc4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptr4jicd b/bsp4/Designflow/sim/post/work/@_opt/voptr4jicd
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptr4jicd differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptr5kmdb b/bsp4/Designflow/sim/post/work/@_opt/voptr5kmdb
new file mode 100644 (file)
index 0000000..73e99c6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptr5kmdb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptr7dw94 b/bsp4/Designflow/sim/post/work/@_opt/voptr7dw94
new file mode 100644 (file)
index 0000000..858fed5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptr7dw94 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptr90j3k b/bsp4/Designflow/sim/post/work/@_opt/voptr90j3k
new file mode 100644 (file)
index 0000000..c5f01c5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptr90j3k differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptrjkq3v b/bsp4/Designflow/sim/post/work/@_opt/voptrjkq3v
new file mode 100644 (file)
index 0000000..e279a75
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptrjkq3v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptrtwn0c b/bsp4/Designflow/sim/post/work/@_opt/voptrtwn0c
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptrtwn0c differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptrzyhxv b/bsp4/Designflow/sim/post/work/@_opt/voptrzyhxv
new file mode 100644 (file)
index 0000000..93d0dfb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptrzyhxv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopts3hizv b/bsp4/Designflow/sim/post/work/@_opt/vopts3hizv
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopts3hizv differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopts4jhki b/bsp4/Designflow/sim/post/work/@_opt/vopts4jhki
new file mode 100644 (file)
index 0000000..2cc2f79
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopts4jhki differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptsagtxc b/bsp4/Designflow/sim/post/work/@_opt/voptsagtxc
new file mode 100644 (file)
index 0000000..656c23b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptsagtxc differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptsgwk3t b/bsp4/Designflow/sim/post/work/@_opt/voptsgwk3t
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptsgwk3t differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptst48jm b/bsp4/Designflow/sim/post/work/@_opt/voptst48jm
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptst48jm differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptswy1ge b/bsp4/Designflow/sim/post/work/@_opt/voptswy1ge
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptswy1ge differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptsxt03z b/bsp4/Designflow/sim/post/work/@_opt/voptsxt03z
new file mode 100644 (file)
index 0000000..5a31e1f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptsxt03z differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt131na b/bsp4/Designflow/sim/post/work/@_opt/voptt131na
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt131na differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt1nr12 b/bsp4/Designflow/sim/post/work/@_opt/voptt1nr12
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt1nr12 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt4f8st b/bsp4/Designflow/sim/post/work/@_opt/voptt4f8st
new file mode 100644 (file)
index 0000000..1953d90
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt4f8st differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt62mnq b/bsp4/Designflow/sim/post/work/@_opt/voptt62mnq
new file mode 100644 (file)
index 0000000..215bfef
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt62mnq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt7mqfh b/bsp4/Designflow/sim/post/work/@_opt/voptt7mqfh
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt7mqfh differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptt8t1yr b/bsp4/Designflow/sim/post/work/@_opt/voptt8t1yr
new file mode 100644 (file)
index 0000000..8a5b021
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptt8t1yr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttbhrrj b/bsp4/Designflow/sim/post/work/@_opt/vopttbhrrj
new file mode 100644 (file)
index 0000000..94dab46
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttbhrrj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttd959g b/bsp4/Designflow/sim/post/work/@_opt/vopttd959g
new file mode 100644 (file)
index 0000000..5fd1952
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttd959g differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttev8ci b/bsp4/Designflow/sim/post/work/@_opt/vopttev8ci
new file mode 100644 (file)
index 0000000..d8ac310
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttev8ci differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttg1dz6 b/bsp4/Designflow/sim/post/work/@_opt/vopttg1dz6
new file mode 100644 (file)
index 0000000..a63b312
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttg1dz6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptti24zz b/bsp4/Designflow/sim/post/work/@_opt/voptti24zz
new file mode 100644 (file)
index 0000000..9c48a45
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptti24zz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttj7qm0 b/bsp4/Designflow/sim/post/work/@_opt/vopttj7qm0
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttj7qm0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttq1mke b/bsp4/Designflow/sim/post/work/@_opt/vopttq1mke
new file mode 100644 (file)
index 0000000..86118f6
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttq1mke differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttq80dm b/bsp4/Designflow/sim/post/work/@_opt/vopttq80dm
new file mode 100644 (file)
index 0000000..8b5a045
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttq80dm differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttrr404 b/bsp4/Designflow/sim/post/work/@_opt/vopttrr404
new file mode 100644 (file)
index 0000000..98e1ca7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttrr404 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttvbqfj b/bsp4/Designflow/sim/post/work/@_opt/vopttvbqfj
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttvbqfj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptty0seq b/bsp4/Designflow/sim/post/work/@_opt/voptty0seq
new file mode 100644 (file)
index 0000000..3b5e503
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptty0seq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopttyd8ny b/bsp4/Designflow/sim/post/work/@_opt/vopttyd8ny
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopttyd8ny differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptv8b0w6 b/bsp4/Designflow/sim/post/work/@_opt/voptv8b0w6
new file mode 100644 (file)
index 0000000..000337f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptv8b0w6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvgvxme b/bsp4/Designflow/sim/post/work/@_opt/voptvgvxme
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvgvxme differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvk5snb b/bsp4/Designflow/sim/post/work/@_opt/voptvk5snb
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvk5snb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvmeb4j b/bsp4/Designflow/sim/post/work/@_opt/voptvmeb4j
new file mode 100644 (file)
index 0000000..27e2ad7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvmeb4j differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvmhh90 b/bsp4/Designflow/sim/post/work/@_opt/voptvmhh90
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvmhh90 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvn092a b/bsp4/Designflow/sim/post/work/@_opt/voptvn092a
new file mode 100644 (file)
index 0000000..5457bb1
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvn092a differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvqcbx1 b/bsp4/Designflow/sim/post/work/@_opt/voptvqcbx1
new file mode 100644 (file)
index 0000000..d82f72c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvqcbx1 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvrx375 b/bsp4/Designflow/sim/post/work/@_opt/voptvrx375
new file mode 100644 (file)
index 0000000..6a60a18
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvrx375 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvtqazy b/bsp4/Designflow/sim/post/work/@_opt/voptvtqazy
new file mode 100644 (file)
index 0000000..081c8ad
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvtqazy differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvxnfzb b/bsp4/Designflow/sim/post/work/@_opt/voptvxnfzb
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvxnfzb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvxvs6t b/bsp4/Designflow/sim/post/work/@_opt/voptvxvs6t
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvxvs6t differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvy0s9h b/bsp4/Designflow/sim/post/work/@_opt/voptvy0s9h
new file mode 100644 (file)
index 0000000..a00ed13
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvy0s9h differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptvybg3n b/bsp4/Designflow/sim/post/work/@_opt/voptvybg3n
new file mode 100644 (file)
index 0000000..d8c0537
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptvybg3n differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwa3dd6 b/bsp4/Designflow/sim/post/work/@_opt/voptwa3dd6
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwa3dd6 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwezg21 b/bsp4/Designflow/sim/post/work/@_opt/voptwezg21
new file mode 100644 (file)
index 0000000..ebe5fdf
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwezg21 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwf7jcw b/bsp4/Designflow/sim/post/work/@_opt/voptwf7jcw
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwf7jcw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwi835w b/bsp4/Designflow/sim/post/work/@_opt/voptwi835w
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwi835w differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwk8q5n b/bsp4/Designflow/sim/post/work/@_opt/voptwk8q5n
new file mode 100644 (file)
index 0000000..4902805
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwk8q5n differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwqfjby b/bsp4/Designflow/sim/post/work/@_opt/voptwqfjby
new file mode 100644 (file)
index 0000000..3b61e8b
--- /dev/null
@@ -0,0 +1,417 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Pmath_complex
+Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+Z2 OL;C;6.5b;42
+31
+b1
+Z3 Mx1 4 work 9 math_real
+Z4 OP;C;6.5b;42
+Z5 w1208391546
+Z6 d$MODEL_TECH/..
+Z7 8vhdl_src/ieee/1076-2code.vhd
+Z8 Fvhdl_src/ieee/1076-2code.vhd
+l0
+L687
+V1a;R8Z_kc3Q7^>9;gKVIV0
+Z9 OE;C;6.5b;42
+Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..}
+Z11 tExplicit 1
+!s100 j6YPGc@:alQm=gAZDnLd<2
+Bbody
+DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0
+R1
+R2
+31
+R3
+R4
+l0
+L3719
+VIMmI^hXJEW@Uoa4kJFX:K1
+R9
+R10
+R11
+nbody
+!s100 GRUnO8ScI[9kFB=Ki3;5f2
+Pmath_real
+R2
+31
+b1
+R4
+R5
+R6
+R7
+R8
+l0
+L55
+VzjAF7SKfg_RPI0GT^n1N`1
+R9
+R10
+R11
+!s100 ?h[BJdc9h<H[IRQe:3oKI1
+Bbody
+DBx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+R2
+31
+R4
+l0
+L1772
+V:TOmE?QHig?1Xi[gFIA[l1
+R9
+R10
+R11
+nbody
+!s100 k8]3?:F=XKke_dV>AMLfn1
+Pnumeric_bit
+R2
+31
+b1
+R4
+Z12 w1242971927
+R6
+Z13 8vhdl_src/ieee/mti_numeric_bit.vhd
+Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd
+l0
+L58
+V0:R3B671ke]N`8]?lK_c_1
+R9
+Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3
+R11
+!s100 b164i8a]Ti[DoEJ?8VoH00
+Bbody
+DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1
+R2
+31
+R4
+l0
+L1045
+VMl`J4ca2be3ejNXY`>k4Y1
+R9
+R15
+R11
+nbody
+!s100 G_bI[L810b3Q]LV2V2za01
+Pnumeric_std
+Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+b1
+Z17 Mx1 4 ieee 14 std_logic_1164
+R4
+R12
+R6
+Z18 8vhdl_src/ieee/mti_numeric_std.vhd
+Z19 Fvhdl_src/ieee/mti_numeric_std.vhd
+l0
+L57
+V=NSdli^?T5OD8;4F<blj<3
+R9
+R15
+R11
+!s100 VoXZ=H`a=49gQGdC[Y9Z21
+Bbody
+DBx4 work 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
+R16
+R2
+31
+R17
+R4
+l0
+L1100
+V;m@IM<mVXokEM:EdoJkM40
+R9
+R15
+R11
+nbody
+!s100 1cgbZWo^oXbeE6NO65mZ=1
+Pstd_logic_1164
+R2
+31
+b1
+R4
+R12
+R6
+Z20 8vhdl_src/ieee/stdlogic.vhd
+Z21 Fvhdl_src/ieee/stdlogic.vhd
+l0
+L36
+VGH1=`jDDBJ=`LM;:Ak`kf2
+R9
+R10
+R11
+!s100 Z6;nC83Z4f^^XJaZ:TVAb1
+Bbody
+DBx4 work 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+R4
+l0
+L169
+V?YNEkS<^lY?<6LBZLFa8D0
+R9
+R10
+R11
+nbody
+!s100 6leLR2`?2Fd;N4T0X@_oa3
+Pstd_logic_arith
+R16
+R2
+31
+b1
+R17
+R4
+R12
+R6
+Z22 8vhdl_src/synopsys/mti_std_logic_arith.vhd
+Z23 Fvhdl_src/synopsys/mti_std_logic_arith.vhd
+l0
+L25
+VGJbAT?7@hRQU9IQ702DT]2
+R9
+R10
+R11
+!s100 Sa7R1jMegK@3B0AV8`ReA0
+Bbody
+DBx4 work 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+R17
+R4
+l0
+L620
+V@]n`Xb_DgYnHKLT95S1dB1
+R9
+R10
+R11
+nbody
+!s100 P1PiLbE11nL731z_^XjK92
+Pstd_logic_misc
+Z24 DPx8 synopsys 10 attributes 0 22 2Q8I4L@H0S1aHEXkjUYDC1
+R16
+R2
+31
+b1
+Z25 Mx2 4 ieee 14 std_logic_1164
+Z26 Mx1 8 synopsys 10 attributes
+R4
+R12
+R6
+Z27 8vhdl_src/synopsys/mti_std_logic_misc.vhd
+Z28 Fvhdl_src/synopsys/mti_std_logic_misc.vhd
+l0
+L24
+VD2f;@P3IKJA9T^H8HI[9K0
+R9
+R10
+R11
+!s100 1zB4YNJ<`YghL_A>3aVEY0
+Bbody
+DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0
+R24
+R16
+R2
+31
+R25
+R26
+R4
+l0
+L173
+Vd@dC3[2h4nN7HB2XD:8CM1
+R9
+R10
+R11
+nbody
+!s100 Nh<M=F4GQcbj[<UaS33LA1
+Pstd_logic_signed
+Z29 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+b1
+R25
+Z30 Mx1 4 ieee 15 std_logic_arith
+R4
+R12
+R6
+Z31 8vhdl_src/synopsys/mti_std_logic_signed.vhd
+Z32 Fvhdl_src/synopsys/mti_std_logic_signed.vhd
+l0
+L35
+V<9<Kcl:S52:oW`F]FQhb20
+R9
+R10
+R11
+!s100 mSh:b6d=DKVg2KeEQH^kd0
+Bbody
+DBx4 work 16 std_logic_signed 0 22 <9<Kcl:S52:oW`F]FQhb20
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L232
+VDR>6>65S7FR:e[I>ADUQO1
+R9
+R10
+R11
+nbody
+!s100 ]?UNFEkZD:LZf;=G2=^OM3
+Pstd_logic_textio
+R16
+Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R2
+31
+b1
+Z34 Mx2 3 std 6 textio
+R17
+R4
+R12
+R6
+Z35 8vhdl_src/synopsys/std_logic_textio.vhd
+Z36 Fvhdl_src/synopsys/std_logic_textio.vhd
+l0
+L22
+V8YS?iX`WD1REQG`ZRYQGB2
+R9
+R10
+R11
+!s100 <34OlBOka?E186MPPbJ<F1
+Bbody
+DBx4 work 16 std_logic_textio 0 22 8YS?iX`WD1REQG`ZRYQGB2
+R16
+R33
+R2
+31
+R34
+R17
+R4
+l0
+L70
+Vj9DSczGXI>dbiF;m2[GMa2
+R9
+R10
+R11
+nbody
+!s100 6OHe=[AFemLP2O5e01aCn1
+Pstd_logic_unsigned
+R29
+R16
+R2
+31
+b1
+R25
+R30
+R4
+R12
+R6
+Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd
+Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd
+l0
+L34
+VhEMVMlaNCR^<OOoVNV;m90
+R9
+R10
+R11
+!s100 m;ka?gIZQ?7M5D732VDkQ2
+Bbody
+DBx4 work 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L234
+V1=Y]oOSl8JChnzj5R39ha2
+R9
+R10
+R11
+nbody
+!s100 4k4oOhm[kk0Z>a:GNXQeK2
+Pvital_primitives
+Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R16
+R2
+30
+b1
+R25
+Mx1 4 ieee 12 vital_timing
+R4
+Z40 w1242971928
+R6
+8vhdl_src/vital95/prmtvs_p.vhd
+Fvhdl_src/vital95/prmtvs_p.vhd
+l0
+L47
+VE9g6AWKAc2T]enMfl94If3
+R9
+Z41 o-87 -novital -novital -work ieee -dirpath {$MODEL_TECH/..}
+R11
+!s100 j6nRfL18l=3@J0:=7g8GH0
+Bbody
+DBx4 work 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+R33
+R39
+R16
+R2
+30
+Z42 Mx3 4 ieee 14 std_logic_1164
+Mx2 4 ieee 12 vital_timing
+Z43 Mx1 3 std 6 textio
+R4
+8vhdl_src/vital95/prmtvs_b.vhd
+Fvhdl_src/vital95/prmtvs_b.vhd
+l0
+L26
+V>[EMmIIzoCHn?@614I_=a3
+R9
+R41
+R11
+nbody
+!s100 ccDc[]`DWjj?>mGBe93>82
+Pvital_timing
+R16
+R2
+30
+b1
+R17
+R4
+R40
+R6
+8vhdl_src/vital95/timing_p.vhd
+Fvhdl_src/vital95/timing_p.vhd
+l0
+L46
+VOBWK>;kUYmkG<OChK2lhV1
+R9
+R41
+R11
+!s100 0aicHc]@V^<Hc5ggAgIP82
+Bbody
+DBx4 work 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R33
+R16
+R2
+30
+R25
+R43
+R4
+8vhdl_src/vital95/timing_b.vhd
+Fvhdl_src/vital95/timing_b.vhd
+l0
+L25
+VfN[Pf:HE;^Z^LCeH6gGI81
+R9
+R41
+R11
+nbody
+!s100 hhU`7L40D93Ij3b8NNlJ>1
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwrbhz9 b/bsp4/Designflow/sim/post/work/@_opt/voptwrbhz9
new file mode 100644 (file)
index 0000000..e2a215b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwrbhz9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwryiye b/bsp4/Designflow/sim/post/work/@_opt/voptwryiye
new file mode 100644 (file)
index 0000000..e6bc65c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwryiye differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptwzasam b/bsp4/Designflow/sim/post/work/@_opt/voptwzasam
new file mode 100644 (file)
index 0000000..4ad2942
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptwzasam differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptx1tivb b/bsp4/Designflow/sim/post/work/@_opt/voptx1tivb
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptx1tivb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptx2qxj9 b/bsp4/Designflow/sim/post/work/@_opt/voptx2qxj9
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptx2qxj9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptx7ttqa b/bsp4/Designflow/sim/post/work/@_opt/voptx7ttqa
new file mode 100644 (file)
index 0000000..5d4cd3b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptx7ttqa differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptx9af1v b/bsp4/Designflow/sim/post/work/@_opt/voptx9af1v
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptx9af1v differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxat1rz b/bsp4/Designflow/sim/post/work/@_opt/voptxat1rz
new file mode 100644 (file)
index 0000000..d59dc32
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxat1rz differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxbsgw5 b/bsp4/Designflow/sim/post/work/@_opt/voptxbsgw5
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxbsgw5 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxf48e0 b/bsp4/Designflow/sim/post/work/@_opt/voptxf48e0
new file mode 100644 (file)
index 0000000..07f8ee2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxf48e0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxqdz5e b/bsp4/Designflow/sim/post/work/@_opt/voptxqdz5e
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxqdz5e differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxqs866 b/bsp4/Designflow/sim/post/work/@_opt/voptxqs866
new file mode 100644 (file)
index 0000000..a4f7c2e
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxqs866 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptxztqaj b/bsp4/Designflow/sim/post/work/@_opt/voptxztqaj
new file mode 100644 (file)
index 0000000..b676990
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptxztqaj differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopty1afqa b/bsp4/Designflow/sim/post/work/@_opt/vopty1afqa
new file mode 100644 (file)
index 0000000..a075f2f
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopty1afqa differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopty6ffkw b/bsp4/Designflow/sim/post/work/@_opt/vopty6ffkw
new file mode 100644 (file)
index 0000000..b626973
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopty6ffkw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/vopty9knv0 b/bsp4/Designflow/sim/post/work/@_opt/vopty9knv0
new file mode 100644 (file)
index 0000000..c59b2f9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/vopty9knv0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptyadfe9 b/bsp4/Designflow/sim/post/work/@_opt/voptyadfe9
new file mode 100644 (file)
index 0000000..401be9c
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptyadfe9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptybdmm0 b/bsp4/Designflow/sim/post/work/@_opt/voptybdmm0
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptybdmm0 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptybxghr b/bsp4/Designflow/sim/post/work/@_opt/voptybxghr
new file mode 100644 (file)
index 0000000..5299925
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptybxghr differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptyd54gx b/bsp4/Designflow/sim/post/work/@_opt/voptyd54gx
new file mode 100644 (file)
index 0000000..6d6a913
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptyd54gx differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptygf8tn b/bsp4/Designflow/sim/post/work/@_opt/voptygf8tn
new file mode 100644 (file)
index 0000000..f7f9a6d
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptygf8tn differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptyk7fyb b/bsp4/Designflow/sim/post/work/@_opt/voptyk7fyb
new file mode 100644 (file)
index 0000000..bc68c07
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptyk7fyb differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptysmg2s b/bsp4/Designflow/sim/post/work/@_opt/voptysmg2s
new file mode 100644 (file)
index 0000000..96feaef
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptysmg2s differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptytct1m b/bsp4/Designflow/sim/post/work/@_opt/voptytct1m
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptytct1m differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptyxvs2a b/bsp4/Designflow/sim/post/work/@_opt/voptyxvs2a
new file mode 100644 (file)
index 0000000..4439bd9
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptyxvs2a differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptz3qgc3 b/bsp4/Designflow/sim/post/work/@_opt/voptz3qgc3
new file mode 100644 (file)
index 0000000..fdeeb85
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptz3qgc3 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzaejdq b/bsp4/Designflow/sim/post/work/@_opt/voptzaejdq
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzaejdq differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzar2ty b/bsp4/Designflow/sim/post/work/@_opt/voptzar2ty
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzar2ty differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzgne4n b/bsp4/Designflow/sim/post/work/@_opt/voptzgne4n
new file mode 100644 (file)
index 0000000..9942677
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzgne4n differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzhwx79 b/bsp4/Designflow/sim/post/work/@_opt/voptzhwx79
new file mode 100644 (file)
index 0000000..3d98cbb
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzhwx79 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzig3m9 b/bsp4/Designflow/sim/post/work/@_opt/voptzig3m9
new file mode 100644 (file)
index 0000000..7d370d2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzig3m9 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzqf8ds b/bsp4/Designflow/sim/post/work/@_opt/voptzqf8ds
new file mode 100644 (file)
index 0000000..81c94ba
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzqf8ds differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzt7ksw b/bsp4/Designflow/sim/post/work/@_opt/voptzt7ksw
new file mode 100644 (file)
index 0000000..ebe5fdf
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzt7ksw differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptztcmra b/bsp4/Designflow/sim/post/work/@_opt/voptztcmra
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptztcmra differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzxden4 b/bsp4/Designflow/sim/post/work/@_opt/voptzxden4
new file mode 100644 (file)
index 0000000..844768a
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzxden4 differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzxzi5e b/bsp4/Designflow/sim/post/work/@_opt/voptzxzi5e
new file mode 100644 (file)
index 0000000..e8c91c5
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzxzi5e differ
diff --git a/bsp4/Designflow/sim/post/work/@_opt/voptzyb3vr b/bsp4/Designflow/sim/post/work/@_opt/voptzyb3vr
new file mode 100644 (file)
index 0000000..21b523b
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/@_opt/voptzyb3vr differ
diff --git a/bsp4/Designflow/sim/post/work/_info b/bsp4/Designflow/sim/post/work/_info
new file mode 100644 (file)
index 0000000..aa1ec59
--- /dev/null
@@ -0,0 +1,134 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/post
+T_opt
+VEaAWm1d_JS=VNkklOXhG;0
+04 12 0 work vga_conf_pos 1
+Z1 =1-0015609ed0a8-4af05b46-4068a-7b76
+Z2 o-quiet -auto_acc_if_foreign -work work -sdftyp /vga_unit=/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga_vhd.sdo -suppress 1948
+Z3 n@_opt
+Z4 OE;O;6.5b;42
+Evga
+Z5 w1257265900
+Z6 DPx4 ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z7 DPx7 stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z8 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z9 DPx7 stratix 18 stratix_components 0 22 ETJi=`V@8?ceQEj0KODmn3
+Z10 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z11 8/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho
+Z12 F/homes/burban/didelu/dide_16/bsp4/Designflow/ppr/sim/simulation/modelsim/vga.vho
+l0
+L33
+Z13 Va^A3`oj7W2X29O[KC68:E3
+Z14 OE;C;6.5b;42
+32
+Z15 o-work work
+Z16 tExplicit 1
+Z17 !s100 JmIRf5hghCim]`W8beHGP0
+Astructure
+R6
+R7
+R8
+R9
+R10
+Z18 DEx4 work 3 vga 0 22 a^A3`oj7W2X29O[KC68:E3
+l339
+L71
+Z19 V]Wm516:I9;]lA9UPJ_ON=3
+R14
+32
+Z20 Mx5 4 ieee 14 std_logic_1164
+Z21 Mx4 7 stratix 18 stratix_components
+Z22 Mx3 4 ieee 12 vital_timing
+Z23 Mx2 7 stratix 17 stratix_atom_pack
+Z24 Mx1 4 ieee 16 vital_primitives
+R15
+R16
+Z25 !s100 @2=YGQ1l@859QgkB=LQ;73
+Cvga_conf_pos
+Z26 astructure
+Z27 evga_pos_tb
+R6
+R7
+R8
+R9
+R18
+Z28 DAx4 work 10 vga_pos_tb 9 structure 22 2H0Zl8k[9mYf8bN=NCbeH0
+Z29 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z30 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z31 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R10
+Z32 DEx4 work 10 vga_pos_tb 0 22 WYVDk8:IlXF:G=gkK18_k0
+Z33 w1255952276
+Z34 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pos_tb.vhd
+Z35 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pos_tb.vhd
+l0
+L190
+Z36 V0i2Wgcf;160Sh<_O1]Yd91
+R14
+32
+Z37 Mx8 4 ieee 14 std_logic_1164
+Z38 Mx7 4 ieee 18 std_logic_unsigned
+Z39 Mx6 4 ieee 15 std_logic_arith
+Z40 Mx5 4 work 7 vga_pak
+R21
+R22
+R23
+R24
+R15
+R16
+Z41 !s100 5AFP2EDWmkBg2TkYkScac0
+Pvga_pak
+R30
+R31
+R10
+Z42 w1257265128
+Z43 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z44 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z45 VHkmzP=gd;mD@MOhh4AYKl3
+R14
+32
+Z46 Mx3 4 ieee 14 std_logic_1164
+Z47 Mx2 4 ieee 18 std_logic_unsigned
+Z48 Mx1 4 ieee 15 std_logic_arith
+R15
+R16
+Z49 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_pos_tb
+R33
+R29
+R30
+R31
+R10
+R34
+R35
+l0
+L37
+Z50 VWYVDk8:IlXF:G=gkK18_k0
+R14
+32
+R15
+R16
+Z51 !s100 ?:YH_R3N79K7J0L`IT49_0
+Astructure
+R29
+R30
+R31
+R10
+R32
+l101
+L45
+Z52 V2H0Zl8k[9mYf8bN=NCbeH0
+R14
+32
+Z53 Mx4 4 ieee 14 std_logic_1164
+Z54 Mx3 4 ieee 18 std_logic_unsigned
+Z55 Mx2 4 ieee 15 std_logic_arith
+Z56 Mx1 4 work 7 vga_pak
+R15
+R16
+Z57 !s100 T_8dcPYGCmK@^6g;3L5;b0
diff --git a/bsp4/Designflow/sim/post/work/_vmake b/bsp4/Designflow/sim/post/work/_vmake
new file mode 100644 (file)
index 0000000..2f7e729
--- /dev/null
@@ -0,0 +1,3 @@
+m255
+K3
+cModel Technology
diff --git a/bsp4/Designflow/sim/post/work/vga/_primary.dat b/bsp4/Designflow/sim/post/work/vga/_primary.dat
new file mode 100644 (file)
index 0000000..7d370d2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga/_primary.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga/_primary.dbs b/bsp4/Designflow/sim/post/work/vga/_primary.dbs
new file mode 100644 (file)
index 0000000..26367b7
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/post/work/vga/structure.dat b/bsp4/Designflow/sim/post/work/vga/structure.dat
new file mode 100644 (file)
index 0000000..750124e
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga/structure.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga/structure.dbs b/bsp4/Designflow/sim/post/work/vga/structure.dbs
new file mode 100644 (file)
index 0000000..9c48a45
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga/structure.dbs differ
diff --git a/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dat b/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dat
new file mode 100644 (file)
index 0000000..7c90f59
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dbs b/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dbs
new file mode 100644 (file)
index 0000000..708af5a
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_conf_pos/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pak/_primary.dat b/bsp4/Designflow/sim/post/work/vga_pak/_primary.dat
new file mode 100644 (file)
index 0000000..ddfccab
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pak/_primary.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pak/_primary.dbs b/bsp4/Designflow/sim/post/work/vga_pak/_primary.dbs
new file mode 100644 (file)
index 0000000..1580fac
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pak/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dat b/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dat
new file mode 100644 (file)
index 0000000..3b5e503
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dbs b/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dbs
new file mode 100644 (file)
index 0000000..795f896
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pos_tb/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dat b/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dat
new file mode 100644 (file)
index 0000000..abf2fe2
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dat differ
diff --git a/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dbs b/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dbs
new file mode 100644 (file)
index 0000000..1eba3ea
Binary files /dev/null and b/bsp4/Designflow/sim/post/work/vga_pos_tb/structure.dbs differ
diff --git a/bsp4/Designflow/sim/pre/modelsim.ini b/bsp4/Designflow/sim/pre/modelsim.ini
new file mode 100644 (file)
index 0000000..0a48df5
--- /dev/null
@@ -0,0 +1,1305 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+others = $MODEL_TECH/../modelsim.ini
+;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
+;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
+;mvc_lib = $MODEL_TECH/../mvc_lib
+
+work = work
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Value of 3 or 2008 for VHDL-2008
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Turn off PSL assertion warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Treat as errors:
+;   case statement static warnings
+;   warnings caused by aggregates that are not locally static
+; Overrides NoCaseStaticError, NoOthersStaticError settings.
+; PedanticErrors = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Perform default binding at compile time.
+; Default is to do default binding at load time.
+; BindAtCompile = 1;
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+; Run the 0-in compiler on the VHDL source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Turn on code coverage in VHDL design units. Default is off.
+; Coverage = sbceft
+
+; Turn off code coverage in VHDL subprograms. Default is on.
+; CoverageSub = 0
+
+; Automatically exclude VHDL case statement default branches. 
+; Default is to not exclude.
+; CoverExcludeDefault = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Inform code coverage optimizations to respect VHDL 'H' and 'L'
+; values on signals in conditions and expressions, and to not automatically
+; convert them to '1' and '0'. Default is to not convert.
+; CoverRespectHandL = 0
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a VHDL condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+; Use this directory for compiler temporary files instead of "work/_temp"
+; CompilerTempDir = /tmp
+
+; Add VHDL-AMS declarations to package STANDARD
+; Default is not to add
+; AmsStandard = 1
+
+; Range and length checking will be performed on array indices and discrete
+; ranges, and when violations are found within subprograms, errors will be
+; reported. Default is to issue warnings for violations, because subprograms
+; may not be invoked.
+; NoDeferSubpgmCheck = 0
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/report/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/report/UCDB etc. This does not affect ;
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0 
+
+[vlog]
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn on `protect compiler directive processing.
+; Default is to ignore `protect directives.
+; Protect = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn on bad option warning. Default is off.
+; Show_BadOptionWarning = 1
+
+; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
+; vlog95compat = 1
+
+; Turn off PSL warning messages. Default is to show warnings.
+; Show_PslChecksWarnings = 0
+
+; Enable parsing of embedded PSL assertions. Default is enabled.
+; EmbeddedPsl = 0
+
+; Set the threshold for automatically identifying sparse Verilog memories.
+; A memory with depth equal to or more than the sparse memory threshold gets
+; marked as sparse automatically, unless specified otherwise in source code
+; or by +nosparse commandline option of vlog or vopt.
+; The default is 1M.  (i.e. memories with depth equal
+; to or greater than 1M are marked as sparse)
+; SparseMemThreshold = 1048576 
+
+; Set the maximum number of iterations permitted for a generate loop.
+; Restricting this permits the implementation to recognize infinite
+; generate loops.
+; GenerateLoopIterationMax = 100000
+
+; Set the maximum depth permitted for a recursive generate instantiation.
+; Restricting this permits the implementation to recognize infinite
+; recursions.
+; GenerateRecursionDepthMax = 200
+
+; Run the 0-in compiler on the Verilog source files
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0-in compiler.
+; Default is "".
+; ZeroInOptions = ""
+
+; Set the option to treat all files specified in a vlog invocation as a
+; single compilation unit. The default value is set to 0 which will treat
+; each file as a separate compilation unit as specified in the P1800 draft standard.
+; MultiFileCompilationUnit = 1
+
+; Turn on code coverage in Verilog design units. Default is off.
+; Coverage = sbceft
+
+; Automatically exclude Verilog case statement default branches. 
+; Default is to not automatically exclude defaults.
+; CoverExcludeDefault = 1
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a Verilog condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Enable or disable Focused Expression Coverage analysis for conditions and
+; expressions. Focused Expression Coverage data is provided by default when
+; expression and/or condition coverage is active.
+; CoverFEC = 0
+
+; Enable or disable short circuit evaluation of conditions and expressions when
+; condition or expression coverage is active. Short circuit evaluation is enabled
+; by default.
+; CoverShortCircuit = 0
+
+
+; Turn on code coverage in VLOG `celldefine modules and modules included
+; using vlog -v and -y. Default is off.
+; CoverCells = 1
+
+; Control compiler and VOPT optimizations that are allowed when
+; code coverage is on. This is a number from 1 to 4, with the following
+; meanings (the default is 3):
+;    1 -- Turn off all optimizations that affect coverage reports.
+;    2 -- Allow optimizations that allow large performance improvements 
+;         by invoking sequential processes only when the data changes. 
+;         This may make major reductions in coverage counts.
+;    3 -- In addition, allow optimizations that may change expressions or 
+;         remove some statements. Allow constant propagation. Allow VHDL
+;         subprogram inlining and VHDL FF recognition. 
+;    4 -- In addition, allow optimizations that may remove major regions of 
+;         code by changing assignments to built-ins or removing unused
+;         signals. Change Verilog gates to continuous assignments.
+; CoverOpt = 3
+
+; Specify the override for the default value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then LRM default
+; value of 0 (zero) is used. This is a compile time option.
+; SVCrossNumPrintMissingDefault = 0
+
+; Setting following to 1 would cause creation of variables which
+; would represent the value of Coverpoint expressions. This is used
+; in conjunction with "SVCoverpointExprVariablePrefix" option
+; in the modelsim.ini
+; EnableSVCoverpointExprVariable = 0
+
+; Specify the override for the prefix used in forming the variable names
+; which represent the Coverpoint expressions. This is used in conjunction with 
+; "EnableSVCoverpointExprVariable" option of the modelsim.ini
+; The default prefix is "expr".
+; The variable name is
+;    variable name => <prefix>_<coverpoint name>
+; SVCoverpointExprVariablePrefix = expr
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross option.goal (defined to be 100 in the LRM).
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupGoalDefault = 100
+
+; Override for the default value of the SystemVerilog covergroup,
+; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
+; NOTE: It does not override specific assignments in SystemVerilog
+; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
+; in the [vsim] section can override this value.
+; SVCovergroupTypeGoalDefault = 100
+
+; Specify the override for the default value of "strobe" option for the
+; Covergroup Type. This is a compile time option which forces "strobe" to
+; a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero). NOTE: This can be overriden by a runtime
+; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
+; SVCovergroupStrobeDefault = 0
+
+; Specify the override for the default value of "merge_instances" option for
+; the Covergroup Type. This is a compile time option which forces 
+; "merge_instances" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupMergeInstancesDefault = 0
+
+; Specify the override for the default value of "per_instance" option for the
+; Covergroup variables. This is a compile time option which forces "per_instance"
+; to a user specified default value and supersedes SystemVerilog specified
+; default value of '0'(zero).
+; SVCovergroupPerInstanceDefault = 0
+
+; Specify the override for the default value of "get_inst_coverage" option for the
+; Covergroup variables. This is a compile time option which forces 
+; "get_inst_coverage" to a user specified default value and supersedes 
+; SystemVerilog specified default value of '0'(zero).
+; SVCovergroupGetInstCoverageDefault = 0
+
+;
+; A space separated list of resource libraries that contain precompiled
+; packages.  The behavior is identical to using the "-L" switch.
+; 
+; LibrarySearchPath = <path/lib> [<path/lib> ...]
+LibrarySearchPath = mtiAvm mtiOvm mtiUPF
+
+; The behavior is identical to the "-mixedansiports" switch.  Default is off.
+; MixedAnsiPorts = 1
+
+; Enable SystemVerilog 3.1a $typeof() function. Default is off.
+; EnableTypeOf = 1
+
+; Only allow lower case pragmas. Default is disabled.
+; AcceptLowerCasePragmaOnly = 1
+
+; Set the maximum depth permitted for a recursive include file nesting.
+; IncludeRecursionDepthMax = 5
+
+; Turn off detection of FSMs having single bit current state variable.
+; FsmSingle = 0
+
+; Turn off reset state transitions in FSM.
+; FsmResetTrans = 0
+
+; Turn off detections of FSMs having x-assignment.
+; FsmXAssign = 0
+
+; List of file suffixes which will be read as SystemVerilog.  White space
+; in extensions can be specified with a back-slash: "\ ".  Back-slashes
+; can be specified with two consecutive back-slashes: "\\";
+; SVFileExtensions = sv svp svh
+
+; This setting is the same as the vlog -sv command line switch.
+; Enables SystemVerilog features and keywords when true (1).
+; When false (0), the rules of IEEE Std 1364-2001 are followed and 
+; SystemVerilog keywords are ignored. 
+; Svlog = 0
+
+; Prints attribute placed upon SV packages during package import
+; when true (1).  The attribute will be ignored when this
+; entry is false (0). The attribute name is "package_load_message".
+; The value of this attribute is a string literal.
+; Default is true (1).
+; PrintSVPackageLoadingAttribute = 1
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[sccom]
+; Enable use of SCV include files and library.  Default is off.
+; UseScv = 1
+
+; Add C++ compiler options to the sccom command line by using this variable.
+; CppOptions = -g
+
+; Use custom C++ compiler located at this path rather than the default path.
+; The path should point directly at a compiler executable.
+; CppPath = /usr/bin/g++
+
+; Enable verbose messages from sccom.  Default is off.
+; SccomVerbose = 1
+
+; sccom logfile.  Default is no logfile.
+; SccomLogfile = sccom.log
+
+; Enable use of SC_MS include files and library.  Default is off.
+; UseScMs = 1
+
+[vopt]
+; Turn on code coverage in vopt.  Default is off. 
+; Coverage = sbceft
+
+; Control compiler optimizations that are allowed when
+; code coverage is on.  Refer to the comment for this in the [vlog] area. 
+; CoverOpt = 3
+
+; Increase or decrease the maximum number of rows allowed in a UDP table
+; implementing a vopt condition coverage or expression coverage expression.
+; More rows leads to a longer compile time, but more expressions covered.
+; CoverMaxUDPRows = 192
+
+; Increase or decrease the maximum number of input patterns that are present
+; in FEC table. This leads to a longer compile time with more expressions
+; covered with FEC metric.
+; CoverMaxFECRows = 192
+
+; Do not show immediate assertions with constant expressions in 
+; GUI/reports/UCDB etc. By default immediate assertions with constant 
+; expressions are shown in GUI/reports/UCDB etc. This does not affect 
+; evaluation of immediate assertions.
+; ShowConstantImmediateAsserts = 0
+
+[vsim]
+; vopt flow
+; Set to turn on automatic optimization of a design.
+; Default is on
+VoptFlow = 1
+
+; vopt automatic SDF
+; If automatic design optimization is on, enables automatic compilation
+; of SDF files.
+; Default is on, uncomment to turn off.
+; VoptAutoSDFCompile = 0
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ns
+
+; Disable certain code coverage exclusions automatically. 
+; Assertions and FSM are exluded from the code coverage by default
+; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
+; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
+; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
+; Or specify comma or space separated list
+;AutoExclusionsDisable = fsm,assertions
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Control PSL and Verilog Assume directives during simulation
+; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
+; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
+; SimulateAssumeDirectives = 1 
+
+; Control the simulation of PSL and SVA
+; These switches can be overridden by the vsim command line switches:
+;    -psl, -nopsl, -sva, -nosva.
+; Set SimulatePSL = 0 to disable PSL simulation
+; Set SimulatePSL = 1 to enable PSL simulation (default)
+; SimulatePSL = 1 
+; Set SimulateSVA = 0 to disable SVA simulation
+; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
+; SimulateSVA = 1 
+
+; Directives to license manager can be set either as single value or as
+; space separated multi-values:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license is not available
+; viewsim       Try for viewer license but accept simulator license(s) instead
+;               of queuing for viewer license (PE ONLY)
+; noviewer     Disable checkout of msimviewer and vsim-viewer license 
+;              features (PE ONLY)
+; noslvhdl     Disable checkout of qhsimvh and vsim license features
+; noslvlog     Disable checkout of qhsimvl and vsimvlog license features
+; nomix                Disable checkout of msimhdlmix and hdlmix license features
+; nolnl                Disable checkout of msimhdlsim and hdlsim license features
+; mixedonly    Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license 
+;              features
+; lnlonly      Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
+;              hdlmix license features
+; Single value:
+; License = plus
+; Multi-value:
+; License = noqueue plus
+
+; Stop the simulator after a VHDL/Verilog immediate assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; VHDL assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %i - Instance pathname with process
+; %O - Process name
+; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
+; %P - Instance or Region path without leaf process
+; %F - File
+; %L - Line number of assertion or, if assertion is in a subprogram, line
+;      from which the call is made
+; %% - Print '%' character
+; If specific format for assertion level is defined, use its format.
+; If specific format is not defined for assertion level:
+; - and if failure occurs during elaboration, use MessageFormatBreakLine;
+; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
+;   level), use MessageFormatBreak;
+; - otherwise, use MessageFormat.
+; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
+; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
+
+; Error File - alternate file for storing error messages
+; ErrorFile = error.log
+
+
+; Simulation Breakpoint messages
+; This flag controls the display of function names when reporting the location
+; where the simulator stops do to a breakpoint or fatal error.
+; Example w/function name:  # Break in Process ctr at counter.vhd line 44
+; Example wo/function name: # Break at counter.vhd line 44
+ShowFunctions = 1
+
+; Default radix for all windows and commands.
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; VSIM Shutdown file
+; Filename to save u/i formats and configurations.
+; ShutdownFile = restart.do
+; To explicitly disable auto save:
+; ShutdownFile = --disable-auto-save
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example: sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Specify a unique path separator for the Signal Spy set of functions. 
+; The default will be to use the PathSeparator variable.
+; Must not be the same character as DatasetSeparator.
+; SignalSpyPathSeparator = /
+
+; Used to control parsing of HDL identifiers input to the tool.
+; This includes CLI commands, vsim/vopt/vlog/vcom options,
+; string arguments to FLI/VPI/DPI calls, etc.
+; If set to 1, accept either Verilog escaped Id syntax or
+; VHDL extended id syntax, regardless of source language.
+; If set to 0, the syntax of the source language must be used.
+; Each identifier in a hierarchical name may need different syntax,
+; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
+;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
+; GenerousIdentifierParsing = 1
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Disable System Verilog assertion messages
+; IgnoreSVAInfo = 1 
+; IgnoreSVAWarning = 1
+; IgnoreSVAError = 1
+; IgnoreSVAFatal = 1
+
+; Do not print any additional information from Severity System tasks.
+; Only the message provided by the user is printed along with severity
+; information.
+; SVAPrintOnlyUserMessage = 1;
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings when changing VHDL constants and generics
+; Default is 1 to generate warning messages
+; WarnConstantChange = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
+; The term "out-of-the-blue" refers to SystemVerilog export function calls
+; made from C functions that don't have the proper context setup
+; (as is the case when running under "DPI-C" import functions).
+; When this is enabled, one can call a DPI export function
+; (but not task) from any C code.
+; the setting of this variable can be one of the following values:
+; 0 : dpioutoftheblue call is disabled (default)
+; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
+; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
+; DpiOutOfTheBlue = 1
+
+; Specify whether continuous assignments are run before other normal priority
+; processes scheduled in the same iteration. This event ordering minimizes race
+; differences between optimized and non-optimized designs, and is the default
+; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
+; ImmediateContinuousAssign to 0.
+; The default is 1 (enabled).
+; ImmediateContinuousAssign = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Which default VPI object model should the tool conform to?
+; The 1364 modes are Verilog-only, for backwards compatibility with older
+; libraries, and SystemVerilog objects are not available in these modes.
+; 
+; In the absence of a user-specified default, the tool default is the
+; latest available LRM behavior.
+; Options for PliCompatDefault are:
+;  VPI_COMPATIBILITY_VERSION_1364v1995
+;  VPI_COMPATIBILITY_VERSION_1364v2001
+;  VPI_COMPATIBILITY_VERSION_1364v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2005
+;  VPI_COMPATIBILITY_VERSION_1800v2008
+;
+; Synonyms for each string are also recognized:
+;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
+;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
+;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
+;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
+
+
+; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
+; DefaultRestartOptions = -force
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Specify whether or not a WLF file should be indexed during 
+; simulation.  If set to 0, the WLF file will not be indexed.
+; The default is 1, indexed the WLF file.
+; WLFIndex = 0
+
+; Specify whether or not a WLF file should be optimized during 
+; simulation.  If set to 0, the WLF file will not be optimized.
+; The default is 1, optimize the WLF file.
+; WLFOptimize = 0
+
+; Specify the name of the WLF file.
+; The default is vsim.wlf
+; WLFFilename = vsim.wlf
+
+; Specify the WLF reader cache size limit for each open WLF file.  
+; The size is giving in megabytes.  A value of 0 turns off the
+; WLF cache. 
+; WLFSimCacheSize allows a different cache size to be set for 
+; simulation WLF file independent of post-simulation WLF file 
+; viewing.  If WLFSimCacheSize is not set it defaults to the
+; WLFCacheSize setting.
+; The default WLFCacheSize setting is enabled to 256M per open WLF file.
+; WLFCacheSize = 2000
+; WLFSimCacheSize = 500
+
+; Specify the WLF file event collapse mode.
+; 0 = Preserve all events and event order. (same as -wlfnocollapse)
+; 1 = Only record values of logged objects at the end of a simulator iteration. 
+;     (same as -wlfcollapsedelta)
+; 2 = Only record values of logged objects at the end of a simulator time step. 
+;     (same as -wlfcollapsetime)
+; The default is 1.
+; WLFCollapseMode = 0
+
+; Specify whether WLF file logging can use threads on multi-processor machines
+; if 0, no threads will be used, if 1, threads will be used if the system has
+; more than one processor
+; WLFUseThreads = 1
+
+; Turn on/off undebuggable SystemC type warnings. Default is on.
+; ShowUndebuggableScTypeWarning = 0
+
+; Turn on/off unassociated SystemC name warnings. Default is off.
+; ShowUnassociatedScNameWarning = 1
+
+; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
+; ScShowIeeeDeprecationWarnings = 1
+
+; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
+; ScEnableScSignalWriteCheck = 1
+
+; Set SystemC default time unit.
+; Set to fs, ps, ns, us, ms, or sec with optional 
+; prefix of 1, 10, or 100.  The default is 1 ns.
+; The ScTimeUnit value is honored if it is coarser than Resolution.
+; If ScTimeUnit is finer than Resolution, it is set to the value
+; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
+; then the default time unit will be 1 ns.  However if Resolution 
+; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
+ScTimeUnit = ns
+
+; Set SystemC sc_main stack size. The stack size is set as an integer
+; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
+; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
+; on the amount of data on the sc_main() stack and the memory required
+; to succesfully execute the longest function call chain of sc_main().
+ScMainStackSize = 10 Mb
+
+; Turn on/off execution of remainder of sc_main upon quitting the current
+; simulation session. If the cumulative length of sc_main() in terms of 
+; simulation time units is less than the length of the current simulation
+; run upon quit or restart, sc_main() will be in the middle of execution.
+; This switch gives the option to execute the remainder of sc_main upon
+; quitting simulation. The drawback of not running sc_main till the end
+; is memory leaks for objects created by sc_main. If on, the remainder of
+; sc_main will be executed ignoring all delays. This may cause the simulator
+; to crash if the code in sc_main is dependent on some simulation state.
+; Default is on.
+ScMainFinishOnQuit = 1
+
+; Set the SCV relationship name that will be used to identify phase
+; relations.  If the name given to a transactor relation matches this
+; name, the transactions involved will be treated as phase transactions
+ScvPhaseRelationName = mti_phase
+
+; Customize the vsim kernel shutdown behavior at the end of the simulation.
+; Some common causes of the end of simulation are $finish (implicit or explicit), 
+; sc_stop(), tf_dofinish(), and assertion failures. 
+; This should be set to "ask", "exit", or "stop". The default is "ask".
+; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
+;            In GUI mode, a dialog box will pop up and ask for user confirmation 
+;            whether or not to quit the simulation.
+; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
+;            post-simulation tasks easier.
+; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
+; "final" -- Run SystemVerilog final blocks then behave as "stop".
+; Note: these ini variables can be overriden by the vsim command 
+;       line switch "-onfinish <ask|stop|exit>".
+OnFinish = ask
+
+; Print pending deferred assertion messages. 
+; Deferred assertion messages may be scheduled after the $finish in the same 
+; time step. Deferred assertions scheduled to print after the $finish are 
+; printed before exiting with severity level NOTE since it's not known whether
+; the assertion is still valid due to being printed in the active region
+; instead of the reactive region where they are normally printed.
+; OnFinishPendingAssert = 1;
+
+; Print "simstats" result at the end of simulation before shutdown.
+; If this is enabled, the simstats result will be printed out before shutdown.
+; The default is off.
+; PrintSimStats = 1
+
+; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Run simulator in assertion debug mode. Default is off.
+; AssertionDebug = 1
+
+; Turn on/off PSL/SVA concurrent assertion pass enable. 
+; For SVA, Default is on when the assertion has a pass action block, or
+; the vsim -assertdebug option is used and the vopt "+acc=a" flag is active.
+; For PSL, Default is on only when vsim switch "-assertdebug" is used
+; and the vopt "+acc=a" flag is active.
+; AssertionPassEnable = 0 
+
+; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
+; AssertionFailEnable = 0
+
+; Set PSL/SVA concurrent assertion pass limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionPassLimit = 1
+
+; Set PSL/SVA concurrent assertion fail limit. Default is -1.
+; Any positive integer, -1 for infinity.
+; AssertionFailLimit = 1
+
+; Turn on/off PSL concurrent assertion pass log. Default is off.
+; The flag does not affect SVA
+; AssertionPassLog = 1
+
+; Turn on/off PSL concurrent assertion fail log. Default is on.
+; The flag does not affect SVA
+; AssertionFailLog = 0
+
+; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
+; AssertionFailLocalVarLog = 0
+
+; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
+; 0 = Continue  1 = Break  2 = Exit
+; AssertionFailAction = 1
+
+; Enable the active thread monitor in the waveform display when assertion debug is enabled.
+; AssertionActiveThreadMonitor = 1
+
+; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
+; AssertionActiveThreadMonitorLimit = 5
+
+
+; As per strict 1850-2005 PSL LRM, an always property can either pass
+; or fail. However, by default, Questa reports multiple passes and
+; multiple fails on top always/never property (always/never operator
+; is the top operator under Verification Directive). The reason
+; being that Questa reports passes and fails on per attempt of the
+; top always/never property. Use the following flag to instruct
+; Questa to strictly follow LRM. With this flag, all assert/never
+; directives will start an attempt once at start of simulation.
+; The attempt can either fail, match or match vacuously.
+; For e.g. if always is the top operator under assert, the always will
+; keep on checking the property at every clock. If the property under
+; always fails, the directive will be considered failed and no more 
+; checking will be done for that directive. A top always property,
+; if it does not fail, will show a pass at end of simulation.
+; The default value is '0' (i.e. zero is off). For example:
+; PslOneAttempt = 1
+
+; Specify the number of clock ticks to represent infinite clock ticks.
+; This affects eventually!, until! and until_!. If at End of Simulation
+; (EOS) an active strong-property has not clocked this number of
+; clock ticks then neither pass or fail (vacuous match) is returned
+; else respective fail/pass is returned. The default value is '0' (zero)
+; which effectively does not check for clock tick condition. For example:
+; PslInfinityThreshold = 5000
+
+; Control how many thread start times will be preserved for ATV viewing for a given assertion
+; instance.  Default is -1 (ALL).
+; ATVStartTimeKeepCount = -1
+
+; Turn on/off code coverage
+; CodeCoverage = 0
+
+; Count all code coverage condition and expression truth table rows that match.
+; CoverCountAll = 1
+
+; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
+; is to include them.
+; ToggleNoIntegers = 1
+
+; Set the maximum number of values that are collected for toggle coverage of
+; VHDL integers. Default is 100;
+; ToggleMaxIntValues = 100
+
+; Set the maximum number of values that are collected for toggle coverage of
+; Verilog real. Default is 100;
+; ToggleMaxRealValues = 100
+
+; Turn on automatic inclusion of Verilog integers in toggle coverage, except
+; for enumeration types. Default is to include them.
+; ToggleVlogIntegers = 0
+
+; Turn on automatic inclusion of Verilog real type in toggle coverage, except
+; for shortreal types. Default is to not include them.
+; ToggleVlogReal = 1
+
+; Turn on automatic inclusion of Verilog fixed-size unpacked arrays in toggle coverage.
+; Default is to not include them.
+; ToggleFixedSizeArray = 1
+
+; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays that
+; are included for toggle coverage. This leads to a longer simulation time with bigger
+; arrays covered with toggle coverage. Default is 1024.
+; ToggleMaxFixedSizeArray = 1024
+
+; Treat packed vectors and structures as reg-vectors in toggle coverage. Default is 0.
+; TogglePackedAsVec = 0
+
+; Treat Verilog enumerated types as reg-vectors in toggle coverage. Default is 0.
+; ToggleVlogEnumBits = 0
+
+; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
+; For unlimited width, set to 0.
+; ToggleWidthLimit = 128
+
+; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
+; reached this count, further activity on the bit is ignored. Default is 1.
+; For unlimited counts, set to 0.
+; ToggleCountLimit = 1
+
+; Turn on/off all PSL/SVA cover directive enables.  Default is on.
+; CoverEnable = 0
+
+; Turn on/off PSL/SVA cover log.  Default is off "0".
+; CoverLog = 1
+
+; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
+; CoverAtLeast = 2
+
+; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
+; Any positive integer, -1 for infinity.
+; CoverLimit = 1
+
+; Specify the coverage database filename.
+; Default is "" (i.e. database is NOT automatically saved on close). 
+; UCDBFilename = vsim.ucdb
+
+; Specify the maximum limit for the number of Cross (bin) products reported
+; in XML and UCDB report against a Cross. A warning is issued if the limit
+; is crossed.
+; MaxReportRhsSVCrossProducts = 1000
+
+; Specify the override for the "auto_bin_max" option for the Covergroups.
+; If not specified then value from Covergroup "option" is used.
+; SVCoverpointAutoBinMax = 64
+
+; Specify the override for the value of "cross_num_print_missing"
+; option for the Cross in Covergroups. If not specified then value
+; specified in the "option.cross_num_print_missing" is used. This
+; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
+; value specified by user in source file and any SVCrossNumPrintMissingDefault
+; specified in modelsim.ini.
+; SVCrossNumPrintMissing = 0
+
+; Specify whether to use the value of "cross_num_print_missing"
+; option in report and GUI for the Cross in Covergroups. If not specified then 
+; cross_num_print_missing is ignored for creating reports and displaying 
+; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
+; UseSVCrossNumPrintMissing = 0
+
+; Specify the override for the value of "strobe" option for the
+; Covergroup Type. If not specified then value in "type_option.strobe"
+; will be used. This is runtime option which forces "strobe" to
+; user specified value and supersedes user specified values in the
+; SystemVerilog Code. NOTE: This also overrides the compile time
+; default value override specified using "SVCovergroupStrobeDefault"
+; SVCovergroupStrobe = 0
+
+; Override for explicit assignments in source code to "option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
+; SVCovergroupGoal = 100
+
+; Override for explicit assignments in source code to "type_option.goal" of
+; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
+; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
+; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
+; SVCovergroupTypeGoal = 100
+
+; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
+; builtin functions, and report. This setting changes the default values of
+; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
+; behavior if explicit assignments are not made on option.get_inst_coverage and
+; type_option.merge_instances by the user. There are two vsim command line
+; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
+; The default value of this variable is 1
+; SVCovergroup63Compatibility = 1
+
+; Enable or disable generation of more detailed information about the sampling
+; of covergroup, cross, and coverpoints. It provides the details of the number
+; of times the covergroup instance and type were sampled, as well as details
+; about why covergroup, cross and coverpoint were not covered. A non-zero value
+; is to enable this feature. 0 is to disable this feature. Default is 0
+; SVCovergroupSampleInfo = 0
+
+; Specify the maximum number of Coverpoint bins in whole design for
+; all Covergroups.
+; MaxSVCoverpointBinsDesign = 2147483648 
+
+; Specify maximum number of Coverpoint bins in any instance of a Covergroup
+; MaxSVCoverpointBinsInst = 2147483648
+
+; Specify the maximum number of Cross bins in whole design for
+; all Covergroups.
+; MaxSVCrossBinsDesign = 2147483648 
+
+; Specify maximum number of Cross bins in any instance of a Covergroup
+; MaxSVCrossBinsInst = 2147483648
+
+; Set weight for all PSL/SVA cover directives.  Default is 1.
+; CoverWeight = 2
+
+; Check vsim plusargs.  Default is 0 (off).
+; 0 = Don't check plusargs
+; 1 = Warning on unrecognized plusarg
+; 2 = Error and exit on unrecognized plusarg
+; CheckPlusargs = 1
+
+; Load the specified shared objects with the RTLD_GLOBAL flag.
+; This gives global visibility to all symbols in the shared objects,
+; meaning that subsequently loaded shared objects can bind to symbols
+; in the global shared objects.  The list of shared objects should
+; be whitespace delimited.  This option is not supported on the
+; Windows or AIX platforms.
+; GlobalSharedObjectList = example1.so example2.so example3.so
+
+; Run the 0in tools from within the simulator. 
+; Default is off.
+; ZeroIn = 1
+
+; Set the options to be passed to the 0in runtime tool.
+; Default value set to "".
+; ZeroInOptions = ""
+
+; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
+; Sv_Seed = 0
+
+; Maximum size of dynamic arrays that are resized during randomize().
+; The default is 1000. A value of 0 indicates no limit.
+; SolveArrayResizeMax = 1000
+
+; Error message severity when randomize() failure is detected (SystemVerilog).
+; The default is 0 (no error).
+; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+; SolveFailSeverity = 0
+
+; Enable/disable debug information for randomize() failures (SystemVerilog).
+; The default is 0 (disabled). Set to 1 to enable.
+; SolveFailDebug = 0
+
+; When SolveFailDebug is enabled, this value specifies the algorithm used to
+; discover conflicts between constraints for randomize() failures.
+; The default is "many".
+;
+; Valid schemes are:
+;    "many" = best for determining conflicts due to many related constraints
+;    "few"  = best for determining conflicts due to few related constraints
+;
+; SolveFailDebugScheme = many
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum number of constraint subsets that will be tested for
+; conflicts.
+; The default is 0 (no limit).
+; SolveFailDebugLimit = 0
+
+; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
+; specifies the maximum size of constraint subsets that will be tested for
+; conflicts.
+; The default value is 0 (no limit).
+; SolveFailDebugMaxSet = 0
+
+; Maximum size of the solution graph that may be generated during randomize().
+; This value can be used to force randomize() to abort if the memory
+; requirements of the constraint scenario exceeds the specified limit. This
+; value is specified in 1000s of nodes.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxSize = 10000
+
+; Maximum number of evaluations that may be performed on the solution graph
+; generated during randomize(). This value can be used to force randomize() to
+; abort if the complexity of the constraint scenario (in time) exceeds the
+; specified limit. This value is specified in 10000s of evaluations.
+; The default is 10000. A value of 0 indicates no limit.
+; SolveGraphMaxEval = 10000
+
+; Use SolveFlags to specify options that will guide the behavior of the
+; constraint solver. These options may improve the performance of the
+; constraint solver for some testcases, and decrease the performance of
+; the constraint solver for others.
+; The default value is "" (no options).
+;
+; Valid flags are:
+;    i = disable bit interleaving for >, >=, <, <= constraints
+;    n = disable bit interleaving for all constraints
+;    r = reverse bit interleaving
+;
+; SolveFlags =
+
+; Specify random sequence compatiblity with a prior letter release. This 
+; option is used to get the same random sequences during simulation as
+; as a prior letter release. Only prior letter releases (of the current
+; number release) are allowed.
+; Note: To achieve the same random sequences, solver optimizations and/or
+; bug fixes introduced since the specified release may be disabled - 
+; yielding the performance / behavior of the prior release.
+; Default value set to "" (random compatibility not required).
+; SolveRev =
+
+; Environment variable expansion of command line arguments has been depricated 
+; in favor shell level expansion.  Universal environment variable expansion 
+; inside -f files is support and continued support for MGC Location Maps provide
+; alternative methods for handling flexible pathnames.
+; The following line may be uncommented and the value set to 1 to re-enable this 
+; deprecated behavior.  The default value is 0.
+; DeprecatedEnvironmentVariableExpansion = 0
+
+; Turn on/off collapsing of bus ports in VCD dumpports output
+DumpportsCollapse = 1
+
+; Location of Multi-Level Verification Component (MVC) installation. 
+; The default location is the product installation directory.
+; MvcHome = $MODEL_TECH/...
+
+[lmc]
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software
+libsm = $MODEL_TECH/libsm.sl
+; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libsm = $MODEL_TECH/libsm.dll
+;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
+; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
+;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
+; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
+;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
+; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Windows NT)
+; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
+;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
+;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
+; libswift = $LMC_HOME/lib/linux.lib/libswift.so
+
+; The simulator's interface to Logic Modeling's hardware modeler SFI software
+libhm = $MODEL_TECH/libhm.sl
+; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
+; libhm = $MODEL_TECH/libhm.dll
+;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
+; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
+;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
+; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
+;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
+; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
+;  Logic Modeling's hardware modeler SFI software (Windows NT)
+; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
+;  Logic Modeling's hardware modeler SFI software (Linux)
+; libsfi = <sfi_dir>/lib/linux/libsfi.so
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; suppress can be used to achieve +nowarn<CODE> functionality
+; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+;   suppress = 3009,CNNODP,3043,TFMPC
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of Verilog display system task messages and
+; PLI/FLI print function call messages.  The system tasks include
+; $display[bho], $strobe[bho], Smonitor{bho], and $write[bho].  They
+; also include the analogous file I/O tasks that write to STDOUT 
+; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
+; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
+; is to have messages appear only in the transcript.  The other 
+; settings are to send messages to the wlf file only (messages that
+; are recorded in the wlf file can be viewed in the MsgViewer) or 
+; to both the transcript and the wlf file.  The valid values are
+;    tran  {transcript only (default)}
+;    wlf   {wlf file only}
+;    both  {transcript and wlf file}
+; displaymsgmode = tran
+
+; Control transcripting of elaboration/runtime messages not
+; addressed by the displaymsgmode setting.  The default is to 
+; have messages appear in the transcript and recorded in the wlf
+; file (messages that are recorded in the wlf file can be viewed
+; in the MsgViewer).  The other settings are to send messages 
+; only to the transcript or only to the wlf file.  The valid 
+; values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
diff --git a/bsp4/Designflow/sim/pre/vsim.wlf b/bsp4/Designflow/sim/pre/vsim.wlf
new file mode 100644 (file)
index 0000000..e46be98
Binary files /dev/null and b/bsp4/Designflow/sim/pre/vsim.wlf differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/_deps b/bsp4/Designflow/sim/pre/work/@_opt/_deps
new file mode 100644 (file)
index 0000000..f0ae20a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/_deps differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25 b/bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt00mv25 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8 b/bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8
new file mode 100644 (file)
index 0000000..2845334
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt017xg8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j b/bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j
new file mode 100644 (file)
index 0000000..97eb6ce
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt07gr9j differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a b/bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a
new file mode 100644 (file)
index 0000000..879773b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt09iz8a differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4 b/bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4
new file mode 100644 (file)
index 0000000..843b213
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0ahvb4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0 b/bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0
new file mode 100644 (file)
index 0000000..e84db8c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0gd8h0 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z b/bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z
new file mode 100644 (file)
index 0000000..d59dc32
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0j418z differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4 b/bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4
new file mode 100644 (file)
index 0000000..390c991
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0sh8x4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x b/bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x
new file mode 100644 (file)
index 0000000..4a41198
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0xgd1x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f b/bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f
new file mode 100644 (file)
index 0000000..7da588b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt0xrg7f differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq b/bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq
new file mode 100644 (file)
index 0000000..2b9c955
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt10smjq differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt151g02 b/bsp4/Designflow/sim/pre/work/@_opt/vopt151g02
new file mode 100644 (file)
index 0000000..8a7f500
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt151g02 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26 b/bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26
new file mode 100644 (file)
index 0000000..5d630aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt16hg26 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir b/bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir
new file mode 100644 (file)
index 0000000..36ab909
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt19dxir differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8 b/bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt1mjax8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm b/bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm
new file mode 100644 (file)
index 0000000..d423ff7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt1y0smm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3 b/bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3
new file mode 100644 (file)
index 0000000..7ddd5b2
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt210bn3 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4 b/bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4
new file mode 100644 (file)
index 0000000..a075f2f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt26fiy4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy b/bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy
new file mode 100644 (file)
index 0000000..9a15d81
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt29a3vy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8 b/bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8
new file mode 100644 (file)
index 0000000..7854ffe
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt2fa4c8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz b/bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz
new file mode 100644 (file)
index 0000000..6a3cef5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt2g0xkz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb b/bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb
new file mode 100644 (file)
index 0000000..8997b11
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt2jmmsb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s b/bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s
new file mode 100644 (file)
index 0000000..291e1ae
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt2ta49s differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh b/bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh
new file mode 100644 (file)
index 0000000..ab50809
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt2tg5nh differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy b/bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy
new file mode 100644 (file)
index 0000000..233db91
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt3088cy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g b/bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g
new file mode 100644 (file)
index 0000000..5d630aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt35kh4g differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi b/bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi
new file mode 100644 (file)
index 0000000..4bcbc30
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt37wvxi differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c b/bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c
new file mode 100644 (file)
index 0000000..94dab46
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt3eh92c differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6 b/bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt42f4d6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0 b/bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0
new file mode 100644 (file)
index 0000000..cad465d
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4hhhi0 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv b/bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv
new file mode 100644 (file)
index 0000000..7fd6385
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4i1wqv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv b/bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv
new file mode 100644 (file)
index 0000000..d1a2631
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4qrjnv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh b/bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4sbrqh differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b b/bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b
new file mode 100644 (file)
index 0000000..327c987
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4t8s9b differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck b/bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck
new file mode 100644 (file)
index 0000000..0148a21
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4tr6ck differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg b/bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg
new file mode 100644 (file)
index 0000000..eb65a20
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4v3ehg differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460 b/bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460
new file mode 100644 (file)
index 0000000..0a8000a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt4wg460 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv b/bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv
new file mode 100644 (file)
index 0000000..e5a3e38
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt52wvcv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk b/bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk
new file mode 100644 (file)
index 0000000..d489466
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt54t4xk differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc b/bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc
new file mode 100644 (file)
index 0000000..159ea53
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt58v6gc differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr b/bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr
new file mode 100644 (file)
index 0000000..574e6c9
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt5cvwrr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1 b/bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt5earh1 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb b/bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb
new file mode 100644 (file)
index 0000000..8f13a6b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt5n2exb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x b/bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x
new file mode 100644 (file)
index 0000000..b626973
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt5xkf6x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz b/bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz
new file mode 100644 (file)
index 0000000..7731017
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt6940dz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi b/bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi
new file mode 100644 (file)
index 0000000..efa8a98
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt6jn0xi differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka b/bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka
new file mode 100644 (file)
index 0000000..429057f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt6t04ka differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8 b/bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8
new file mode 100644 (file)
index 0000000..e8c91c5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt6xz4a8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt6yd9kn b/bsp4/Designflow/sim/pre/work/@_opt/vopt6yd9kn
new file mode 100644 (file)
index 0000000..a9e7488
--- /dev/null
@@ -0,0 +1,156 @@
+m255
+K3
+13
+cModel Technology
+Z0 dD:\Modeltech_ae
+Pcomponents
+Z1 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z2 OE;C;6.5b;42
+32
+Z3 Mx1 17 __model_tech/ieee 14 std_logic_1164
+Z4 w1044436548
+Z5 FC:/Programme/Synplicity/fpga_81/lib/vhdl_sim/synplify.vhd
+l0
+L267
+Z6 V@=LFfPB8UiBPm8Y3jZ0Dj3
+Z7 OV;C;6.0c;29
+Z8 o-work work -O0
+Z9 tExplicit 1 GenerateLoopIterationMax 100000
+Eprim_counter
+R4
+Z10 DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2
+R5
+l0
+L15
+Z11 VcQCCenBd1lX<X2e`IDo]j0
+R7
+32
+R8
+R9
+Abeh
+R10
+Z12 DE work prim_counter cQCCenBd1lX<X2e`IDo]j0
+l32
+L30
+Z13 VoLVi?g_jUJ43C[<A]FZ_@3
+R7
+32
+Z14 M1 ieee std_logic_1164
+R8
+R9
+Eprim_dff
+R4
+R10
+R5
+l0
+L60
+Z15 VS5Io]C1B4zYM>Wm_j9FUd2
+R7
+32
+R8
+R9
+Abeh
+R10
+Z16 DE work prim_dff S5Io]C1B4zYM>Wm_j9FUd2
+l69
+L68
+Z17 VT[KO?W>VV?5LNHP^g`R[_1
+R7
+32
+R14
+R8
+R9
+Eprim_latch
+R4
+R10
+R5
+l0
+L116
+Z18 VmR@CKl<SfVQ2Dg<2oc`ZI1
+R7
+32
+R8
+R9
+Abeh
+R10
+Z19 DE work prim_latch mR@CKl<SfVQ2Dg<2oc`ZI1
+l125
+L124
+Z20 VSjC?ZATX9ZbnGVb2fU>Rn3
+R7
+32
+R14
+R8
+R9
+Eprim_ramd
+R4
+Z21 DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2
+Z22 DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90
+R10
+R5
+l0
+L230
+Z23 Vg`]Yh^7Wk:d6^Jda_dECB1
+R7
+32
+R8
+R9
+Abeh
+R21
+R22
+R10
+Z24 DE work prim_ramd g`]Yh^7Wk:d6^Jda_dECB1
+l249
+L243
+Z25 V>^iBn8PBXdNVQ^KnC[EQ`0
+R7
+32
+Z26 M3 ieee std_logic_1164
+Z27 M2 ieee std_logic_unsigned
+Z28 M1 ieee std_logic_arith
+R8
+R9
+Eprim_sdff
+R4
+R10
+R5
+l0
+L87
+Z29 VHZDBHc7EHMMlKLZ:7l2?P1
+R7
+32
+R8
+R9
+Abeh
+R10
+Z30 DE work prim_sdff HZDBHc7EHMMlKLZ:7l2?P1
+l97
+L95
+Z31 V@KF;3mY=J>QVDOIl9k?c51
+R7
+32
+R14
+R8
+R9
+Ezeroohm1
+R4
+R10
+R5
+l0
+L189
+Z32 VaajiH=affEnY`VBgj=VoV2
+R7
+32
+R8
+R9
+Azeroohm1_a
+R10
+Z33 DE work zeroohm1 aajiH=affEnY`VBgj=VoV2
+l202
+L197
+Z34 V1g5GS;_H4iKP[SR00lVV73
+R7
+32
+R14
+R8
+R9
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb b/bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb
new file mode 100644 (file)
index 0000000..cc2eaf5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt7195cb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt7n53ie b/bsp4/Designflow/sim/pre/work/@_opt/vopt7n53ie
new file mode 100644 (file)
index 0000000..3b61e8b
--- /dev/null
@@ -0,0 +1,417 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Pmath_complex
+Z1 DPx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+Z2 OL;C;6.5b;42
+31
+b1
+Z3 Mx1 4 work 9 math_real
+Z4 OP;C;6.5b;42
+Z5 w1208391546
+Z6 d$MODEL_TECH/..
+Z7 8vhdl_src/ieee/1076-2code.vhd
+Z8 Fvhdl_src/ieee/1076-2code.vhd
+l0
+L687
+V1a;R8Z_kc3Q7^>9;gKVIV0
+Z9 OE;C;6.5b;42
+Z10 o-93 -work ieee -dirpath {$MODEL_TECH/..}
+Z11 tExplicit 1
+!s100 j6YPGc@:alQm=gAZDnLd<2
+Bbody
+DBx4 work 12 math_complex 0 22 1a;R8Z_kc3Q7^>9;gKVIV0
+R1
+R2
+31
+R3
+R4
+l0
+L3719
+VIMmI^hXJEW@Uoa4kJFX:K1
+R9
+R10
+R11
+nbody
+!s100 GRUnO8ScI[9kFB=Ki3;5f2
+Pmath_real
+R2
+31
+b1
+R4
+R5
+R6
+R7
+R8
+l0
+L55
+VzjAF7SKfg_RPI0GT^n1N`1
+R9
+R10
+R11
+!s100 ?h[BJdc9h<H[IRQe:3oKI1
+Bbody
+DBx4 work 9 math_real 0 22 zjAF7SKfg_RPI0GT^n1N`1
+R2
+31
+R4
+l0
+L1772
+V:TOmE?QHig?1Xi[gFIA[l1
+R9
+R10
+R11
+nbody
+!s100 k8]3?:F=XKke_dV>AMLfn1
+Pnumeric_bit
+R2
+31
+b1
+R4
+Z12 w1242971927
+R6
+Z13 8vhdl_src/ieee/mti_numeric_bit.vhd
+Z14 Fvhdl_src/ieee/mti_numeric_bit.vhd
+l0
+L58
+V0:R3B671ke]N`8]?lK_c_1
+R9
+Z15 o-93 -work ieee -dirpath {$MODEL_TECH/..} -nowarn 3
+R11
+!s100 b164i8a]Ti[DoEJ?8VoH00
+Bbody
+DBx4 work 11 numeric_bit 0 22 0:R3B671ke]N`8]?lK_c_1
+R2
+31
+R4
+l0
+L1045
+VMl`J4ca2be3ejNXY`>k4Y1
+R9
+R15
+R11
+nbody
+!s100 G_bI[L810b3Q]LV2V2za01
+Pnumeric_std
+Z16 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+b1
+Z17 Mx1 4 ieee 14 std_logic_1164
+R4
+R12
+R6
+Z18 8vhdl_src/ieee/mti_numeric_std.vhd
+Z19 Fvhdl_src/ieee/mti_numeric_std.vhd
+l0
+L57
+V=NSdli^?T5OD8;4F<blj<3
+R9
+R15
+R11
+!s100 VoXZ=H`a=49gQGdC[Y9Z21
+Bbody
+DBx4 work 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
+R16
+R2
+31
+R17
+R4
+l0
+L1100
+V;m@IM<mVXokEM:EdoJkM40
+R9
+R15
+R11
+nbody
+!s100 1cgbZWo^oXbeE6NO65mZ=1
+Pstd_logic_1164
+R2
+31
+b1
+R4
+R12
+R6
+Z20 8vhdl_src/ieee/stdlogic.vhd
+Z21 Fvhdl_src/ieee/stdlogic.vhd
+l0
+L36
+VGH1=`jDDBJ=`LM;:Ak`kf2
+R9
+R10
+R11
+!s100 Z6;nC83Z4f^^XJaZ:TVAb1
+Bbody
+DBx4 work 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+R2
+31
+R4
+l0
+L169
+V?YNEkS<^lY?<6LBZLFa8D0
+R9
+R10
+R11
+nbody
+!s100 6leLR2`?2Fd;N4T0X@_oa3
+Pstd_logic_arith
+R16
+R2
+31
+b1
+R17
+R4
+R12
+R6
+Z22 8vhdl_src/synopsys/mti_std_logic_arith.vhd
+Z23 Fvhdl_src/synopsys/mti_std_logic_arith.vhd
+l0
+L25
+VGJbAT?7@hRQU9IQ702DT]2
+R9
+R10
+R11
+!s100 Sa7R1jMegK@3B0AV8`ReA0
+Bbody
+DBx4 work 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+R17
+R4
+l0
+L620
+V@]n`Xb_DgYnHKLT95S1dB1
+R9
+R10
+R11
+nbody
+!s100 P1PiLbE11nL731z_^XjK92
+Pstd_logic_misc
+Z24 DPx8 synopsys 10 attributes 0 22 2Q8I4L@H0S1aHEXkjUYDC1
+R16
+R2
+31
+b1
+Z25 Mx2 4 ieee 14 std_logic_1164
+Z26 Mx1 8 synopsys 10 attributes
+R4
+R12
+R6
+Z27 8vhdl_src/synopsys/mti_std_logic_misc.vhd
+Z28 Fvhdl_src/synopsys/mti_std_logic_misc.vhd
+l0
+L24
+VD2f;@P3IKJA9T^H8HI[9K0
+R9
+R10
+R11
+!s100 1zB4YNJ<`YghL_A>3aVEY0
+Bbody
+DBx4 work 14 std_logic_misc 0 22 D2f;@P3IKJA9T^H8HI[9K0
+R24
+R16
+R2
+31
+R25
+R26
+R4
+l0
+L173
+Vd@dC3[2h4nN7HB2XD:8CM1
+R9
+R10
+R11
+nbody
+!s100 Nh<M=F4GQcbj[<UaS33LA1
+Pstd_logic_signed
+Z29 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R16
+R2
+31
+b1
+R25
+Z30 Mx1 4 ieee 15 std_logic_arith
+R4
+R12
+R6
+Z31 8vhdl_src/synopsys/mti_std_logic_signed.vhd
+Z32 Fvhdl_src/synopsys/mti_std_logic_signed.vhd
+l0
+L35
+V<9<Kcl:S52:oW`F]FQhb20
+R9
+R10
+R11
+!s100 mSh:b6d=DKVg2KeEQH^kd0
+Bbody
+DBx4 work 16 std_logic_signed 0 22 <9<Kcl:S52:oW`F]FQhb20
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L232
+VDR>6>65S7FR:e[I>ADUQO1
+R9
+R10
+R11
+nbody
+!s100 ]?UNFEkZD:LZf;=G2=^OM3
+Pstd_logic_textio
+R16
+Z33 DPx3 std 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R2
+31
+b1
+Z34 Mx2 3 std 6 textio
+R17
+R4
+R12
+R6
+Z35 8vhdl_src/synopsys/std_logic_textio.vhd
+Z36 Fvhdl_src/synopsys/std_logic_textio.vhd
+l0
+L22
+V8YS?iX`WD1REQG`ZRYQGB2
+R9
+R10
+R11
+!s100 <34OlBOka?E186MPPbJ<F1
+Bbody
+DBx4 work 16 std_logic_textio 0 22 8YS?iX`WD1REQG`ZRYQGB2
+R16
+R33
+R2
+31
+R34
+R17
+R4
+l0
+L70
+Vj9DSczGXI>dbiF;m2[GMa2
+R9
+R10
+R11
+nbody
+!s100 6OHe=[AFemLP2O5e01aCn1
+Pstd_logic_unsigned
+R29
+R16
+R2
+31
+b1
+R25
+R30
+R4
+R12
+R6
+Z37 8vhdl_src/synopsys/mti_std_logic_unsigned.vhd
+Z38 Fvhdl_src/synopsys/mti_std_logic_unsigned.vhd
+l0
+L34
+VhEMVMlaNCR^<OOoVNV;m90
+R9
+R10
+R11
+!s100 m;ka?gIZQ?7M5D732VDkQ2
+Bbody
+DBx4 work 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R29
+R16
+R2
+31
+R25
+R30
+R4
+l0
+L234
+V1=Y]oOSl8JChnzj5R39ha2
+R9
+R10
+R11
+nbody
+!s100 4k4oOhm[kk0Z>a:GNXQeK2
+Pvital_primitives
+Z39 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R16
+R2
+30
+b1
+R25
+Mx1 4 ieee 12 vital_timing
+R4
+Z40 w1242971928
+R6
+8vhdl_src/vital95/prmtvs_p.vhd
+Fvhdl_src/vital95/prmtvs_p.vhd
+l0
+L47
+VE9g6AWKAc2T]enMfl94If3
+R9
+Z41 o-87 -novital -novital -work ieee -dirpath {$MODEL_TECH/..}
+R11
+!s100 j6nRfL18l=3@J0:=7g8GH0
+Bbody
+DBx4 work 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+R33
+R39
+R16
+R2
+30
+Z42 Mx3 4 ieee 14 std_logic_1164
+Mx2 4 ieee 12 vital_timing
+Z43 Mx1 3 std 6 textio
+R4
+8vhdl_src/vital95/prmtvs_b.vhd
+Fvhdl_src/vital95/prmtvs_b.vhd
+l0
+L26
+V>[EMmIIzoCHn?@614I_=a3
+R9
+R41
+R11
+nbody
+!s100 ccDc[]`DWjj?>mGBe93>82
+Pvital_timing
+R16
+R2
+30
+b1
+R17
+R4
+R40
+R6
+8vhdl_src/vital95/timing_p.vhd
+Fvhdl_src/vital95/timing_p.vhd
+l0
+L46
+VOBWK>;kUYmkG<OChK2lhV1
+R9
+R41
+R11
+!s100 0aicHc]@V^<Hc5ggAgIP82
+Bbody
+DBx4 work 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+R33
+R16
+R2
+30
+R25
+R43
+R4
+8vhdl_src/vital95/timing_b.vhd
+Fvhdl_src/vital95/timing_b.vhd
+l0
+L25
+VfN[Pf:HE;^Z^LCeH6gGI81
+R9
+R41
+R11
+nbody
+!s100 hhU`7L40D93Ij3b8NNlJ>1
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb b/bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt7n9txb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw b/bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt7s20bw differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v b/bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v
new file mode 100644 (file)
index 0000000..a98a7de
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt80xs7v differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f b/bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt82hf3f differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh b/bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh
new file mode 100644 (file)
index 0000000..b676990
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt8584xh differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf b/bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf
new file mode 100644 (file)
index 0000000..dec6b33
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt86fkyf differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x b/bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x
new file mode 100644 (file)
index 0000000..93a56ff
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt88gj3x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k b/bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt8b6n9k differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw b/bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw
new file mode 100644 (file)
index 0000000..1a34e48
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt8dmvdw differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz b/bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz
new file mode 100644 (file)
index 0000000..4460f78
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt8tnifz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm b/bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm
new file mode 100644 (file)
index 0000000..2dc820c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt95dczm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj b/bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj
new file mode 100644 (file)
index 0000000..2eb6b7b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt97nfyj differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q b/bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q
new file mode 100644 (file)
index 0000000..6d6a913
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9b8e1q differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn b/bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn
new file mode 100644 (file)
index 0000000..b6e288a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9cf0tn differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6 b/bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9e5ne6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by b/bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by
new file mode 100644 (file)
index 0000000..f2103b4
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9ge3by differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq b/bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq
new file mode 100644 (file)
index 0000000..7604c4f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9kmwxq differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3 b/bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopt9knet3 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5 b/bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5
new file mode 100644 (file)
index 0000000..745a8a9
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopta37xe5 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h b/bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h
new file mode 100644 (file)
index 0000000..990eea4
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptabmh3h differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptarbydi b/bsp4/Designflow/sim/pre/work/@_opt/voptarbydi
new file mode 100644 (file)
index 0000000..fb40d8c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptarbydi differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd b/bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd
new file mode 100644 (file)
index 0000000..215bfef
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptarwhfd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx b/bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx
new file mode 100644 (file)
index 0000000..a6d64a9
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptazcvwx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i b/bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i
new file mode 100644 (file)
index 0000000..d510ccb
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptb36e1i differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy b/bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy
new file mode 100644 (file)
index 0000000..3e6f523
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptb4gjwy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptb5612n b/bsp4/Designflow/sim/pre/work/@_opt/voptb5612n
new file mode 100644 (file)
index 0000000..da050e7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptb5612n differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e b/bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e
new file mode 100644 (file)
index 0000000..9101c35
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptb6m35e differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4 b/bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4
new file mode 100644 (file)
index 0000000..9943a9d
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptbf83d4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49 b/bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49
new file mode 100644 (file)
index 0000000..0939537
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptbhvx49 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m b/bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m
new file mode 100644 (file)
index 0000000..b6e288a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptbirf1m differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws b/bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws
new file mode 100644 (file)
index 0000000..f54f5c8
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptbrhxws differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek b/bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek
new file mode 100644 (file)
index 0000000..7402874
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptc4gvek differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd b/bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptc8xzcd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptc92bae b/bsp4/Designflow/sim/pre/work/@_opt/voptc92bae
new file mode 100644 (file)
index 0000000..8f3014b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptc92bae differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm b/bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm
new file mode 100644 (file)
index 0000000..b6e288a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptc9m1nm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8 b/bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8
new file mode 100644 (file)
index 0000000..6020c36
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptcgtwj8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk b/bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk
new file mode 100644 (file)
index 0000000..3730e16
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptcm1kgk differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptcw759t b/bsp4/Designflow/sim/pre/work/@_opt/voptcw759t
new file mode 100644 (file)
index 0000000..c2cfd03
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptcw759t differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr b/bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr
new file mode 100644 (file)
index 0000000..581d3c7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptcx3afr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptd275x6 b/bsp4/Designflow/sim/pre/work/@_opt/voptd275x6
new file mode 100644 (file)
index 0000000..32f538c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptd275x6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4 b/bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4
new file mode 100644 (file)
index 0000000..b39a2d9
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptd4k9m4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptda3a10 b/bsp4/Designflow/sim/pre/work/@_opt/voptda3a10
new file mode 100644 (file)
index 0000000..4b956ad
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptda3a10 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a b/bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a
new file mode 100644 (file)
index 0000000..0e1156c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdafa9a differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptde88ev b/bsp4/Designflow/sim/pre/work/@_opt/voptde88ev
new file mode 100644 (file)
index 0000000..1f50821
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptde88ev differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz b/bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz
new file mode 100644 (file)
index 0000000..db85558
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdgztaz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr b/bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr
new file mode 100644 (file)
index 0000000..be8fef6
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdh5gcr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdkka42 b/bsp4/Designflow/sim/pre/work/@_opt/voptdkka42
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdkka42 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm b/bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm
new file mode 100644 (file)
index 0000000..e87e9e5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdmx3hm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptdst94k b/bsp4/Designflow/sim/pre/work/@_opt/voptdst94k
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptdst94k differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb b/bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopte0aswb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf b/bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf
new file mode 100644 (file)
index 0000000..dddf2cc
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopte1c2jf differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q b/bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopte31e2q differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc b/bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc
new file mode 100644 (file)
index 0000000..21254e8
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptej6kvc differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t b/bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptejmx2t differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptemie0k b/bsp4/Designflow/sim/pre/work/@_opt/voptemie0k
new file mode 100644 (file)
index 0000000..ca3cbe6
--- /dev/null
@@ -0,0 +1,78 @@
+m255
+K3
+cModel Technology Builtin Library
+13
+Z0 dD:\qa\buildsites\6.5b\builds\win32\modeltech
+Penv
+Z1 OL;C;6.5b;42
+32
+b1
+Z2 OP;C;6.5b;42
+Z3 w1242971927
+Z4 d$MODEL_TECH/..
+Z5 8vhdl_src/std/env.vhd
+Z6 Fvhdl_src/std/env.vhd
+l0
+L1
+VMS<MD0@]6L0EihU2C?95]0
+Z7 OE;C;6.5b;42
+Z8 o-work std -dirpath {$MODEL_TECH/..}
+Z9 tExplicit 1
+!s100 Jk]WLNXXY90REn6H_ahP:3
+Bbody
+DBx4 work 3 env 0 22 MS<MD0@]6L0EihU2C?95]0
+R1
+32
+R2
+l0
+L11
+Vc=H5Zk>h;Gmh>9BN<MDk<3
+R7
+R8
+R9
+nbody
+!s100 Q2aL@L`86VXT`NWicN9BE0
+Pstandard
+R1
+33
+R2
+R3
+R4
+8vhdl_src/std/standard.vhd
+Fvhdl_src/std/standard.vhd
+l0
+L8
+VM]UbYN`go6foOAGb42z_92
+R7
+o-s -2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 ddgmKj`IlOCVkRDj1[3^93
+Ptextio
+R1
+33
+b1
+R2
+R3
+R4
+Z10 8vhdl_src/std/textio.vhd
+Z11 Fvhdl_src/std/textio.vhd
+l0
+L12
+Vm2KQDRRhmF833<<DjYdL70
+R7
+Z12 o-2008 -work std -dirpath {$MODEL_TECH/..}
+R9
+!s100 9=H8XRm2GgHGk4^GSVfTD3
+Bbody
+DBx4 work 6 textio 0 22 m2KQDRRhmF833<<DjYdL70
+R1
+33
+R2
+l0
+L162
+VCbz:dGNX5zl`2nYKYBS>`3
+R7
+R12
+R9
+nbody
+!s100 9k9n?Y0BCW@M9E4=S3GCM2
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6 b/bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6
new file mode 100644 (file)
index 0000000..47c445a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopteqacj6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr b/bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr
new file mode 100644 (file)
index 0000000..730b2f5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptesw0dr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptet5r96 b/bsp4/Designflow/sim/pre/work/@_opt/voptet5r96
new file mode 100644 (file)
index 0000000..ebf09e8
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptet5r96 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e b/bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e
new file mode 100644 (file)
index 0000000..e279a75
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptewzz5e differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x b/bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfcjj3x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw b/bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw
new file mode 100644 (file)
index 0000000..2dc820c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfdszgw differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw b/bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfj6qgw differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd b/bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd
new file mode 100644 (file)
index 0000000..63eeea5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfrrsdd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx b/bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx
new file mode 100644 (file)
index 0000000..fa176ef
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptftf3fx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9 b/bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfyj1d9 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q b/bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfyzb6q differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg b/bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg
new file mode 100644 (file)
index 0000000..a4f7c2e
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptfzvhbg differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc b/bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgcc0zc differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgf218h b/bsp4/Designflow/sim/pre/work/@_opt/voptgf218h
new file mode 100644 (file)
index 0000000..2f50791
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgf218h differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgj358q b/bsp4/Designflow/sim/pre/work/@_opt/voptgj358q
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgj358q differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y b/bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y
new file mode 100644 (file)
index 0000000..89525a4
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgs5z2y differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgsd662 b/bsp4/Designflow/sim/pre/work/@_opt/voptgsd662
new file mode 100644 (file)
index 0000000..1953d90
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgsd662 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptgshed9 b/bsp4/Designflow/sim/pre/work/@_opt/voptgshed9
new file mode 100644 (file)
index 0000000..10a8431
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptgshed9 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv b/bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv
new file mode 100644 (file)
index 0000000..5e7625d
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopth1qbhv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopth969di b/bsp4/Designflow/sim/pre/work/@_opt/vopth969di
new file mode 100644 (file)
index 0000000..b6e288a
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopth969di differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0 b/bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopthzb2h0 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg b/bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg
new file mode 100644 (file)
index 0000000..e8c91c5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopti2vthg differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr b/bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr
new file mode 100644 (file)
index 0000000..3323b19
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopti5aevr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg b/bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg
new file mode 100644 (file)
index 0000000..6c35750
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptia6ddg differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a b/bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a
new file mode 100644 (file)
index 0000000..2fe6882
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptiaj93a differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k b/bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptibfm7k differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd b/bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd
new file mode 100644 (file)
index 0000000..e6c27fe
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptiy2jrd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptiy80et b/bsp4/Designflow/sim/pre/work/@_opt/voptiy80et
new file mode 100644 (file)
index 0000000..d6420f1
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptiy80et differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj b/bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptj0ieqj differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n b/bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n
new file mode 100644 (file)
index 0000000..0dd24a0
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptj20w5n differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r b/bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r
new file mode 100644 (file)
index 0000000..8997b11
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjbjk3r differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn b/bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn
new file mode 100644 (file)
index 0000000..27294b3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjctvcn differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j b/bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjdje4j differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej b/bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej
new file mode 100644 (file)
index 0000000..03bce0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjeb2ej differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g b/bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjevi8g differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b b/bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b
new file mode 100644 (file)
index 0000000..271e0ba
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptjmds8b differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik b/bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptk1rwik differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk b/bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk
new file mode 100644 (file)
index 0000000..af549f4
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptk3szbk differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar b/bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptk8g1ar differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy b/bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy
new file mode 100644 (file)
index 0000000..d1b2d94
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptkgb9wy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk b/bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptkjvfzk differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn b/bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptkxhykn differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptm09i64 b/bsp4/Designflow/sim/pre/work/@_opt/voptm09i64
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptm09i64 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x b/bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x
new file mode 100644 (file)
index 0000000..d9ae35f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptm50x7x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y b/bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y
new file mode 100644 (file)
index 0000000..454036e
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptm66t0y differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf b/bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmcwxdf differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h b/bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmezs4h differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0 b/bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmhv3b0 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq b/bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq
new file mode 100644 (file)
index 0000000..937a811
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmsnjwq differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi b/bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmw9ryi differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26 b/bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26
new file mode 100644 (file)
index 0000000..214ac05
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmxmy26 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8 b/bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8
new file mode 100644 (file)
index 0000000..856ad8f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmz99m8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4 b/bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4
new file mode 100644 (file)
index 0000000..3e91b59
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptmzqwf4 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7 b/bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7
new file mode 100644 (file)
index 0000000..6554a81
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptn7xfe7 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy b/bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy
new file mode 100644 (file)
index 0000000..9810496
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptnd09vy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptnm275x b/bsp4/Designflow/sim/pre/work/@_opt/voptnm275x
new file mode 100644 (file)
index 0000000..62875b6
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptnm275x differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptnmis97 b/bsp4/Designflow/sim/pre/work/@_opt/voptnmis97
new file mode 100644 (file)
index 0000000..9f6c576
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptnmis97 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61 b/bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61
new file mode 100644 (file)
index 0000000..68e7f5b
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptnw2k61 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv b/bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv
new file mode 100644 (file)
index 0000000..3e2c5aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptq1dbyv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5 b/bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5
new file mode 100644 (file)
index 0000000..d025396
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptq1fsg5 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx b/bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptq79gnx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9 b/bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9
new file mode 100644 (file)
index 0000000..e4fec74
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptqdwgy9 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx b/bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptqm21kx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k b/bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k
new file mode 100644 (file)
index 0000000..7af2846
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptr2ms4k differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptr663fr b/bsp4/Designflow/sim/pre/work/@_opt/voptr663fr
new file mode 100644 (file)
index 0000000..9d8f8a1
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptr663fr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00 b/bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00
new file mode 100644 (file)
index 0000000..a63b312
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptrbgi00 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx b/bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx
new file mode 100644 (file)
index 0000000..8de8519
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptrj6fcx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze b/bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze
new file mode 100644 (file)
index 0000000..e710dff
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptrkvhze differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm b/bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm
new file mode 100644 (file)
index 0000000..5b5efad
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptrs5hnm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv b/bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv
new file mode 100644 (file)
index 0000000..2bfeb94
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptrxfgzv differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6 b/bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6
new file mode 100644 (file)
index 0000000..dd6d347
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopts66ce6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m b/bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m
new file mode 100644 (file)
index 0000000..2c00711
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptsawh0m differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h b/bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h
new file mode 100644 (file)
index 0000000..e7751aa
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptt5qz3h differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83 b/bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83
new file mode 100644 (file)
index 0000000..2cc2f79
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptt9wd83 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz b/bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz
new file mode 100644 (file)
index 0000000..3c8311e
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopttazdsz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy b/bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy
new file mode 100644 (file)
index 0000000..0525678
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopttbc6dy differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6 b/bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6
new file mode 100644 (file)
index 0000000..9a549ff
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopttwitv6 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn b/bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn
new file mode 100644 (file)
index 0000000..0aef4ca
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptv10hdn differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptv5v0a2 b/bsp4/Designflow/sim/pre/work/@_opt/voptv5v0a2
new file mode 100644 (file)
index 0000000..4a49842
--- /dev/null
@@ -0,0 +1,1227 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/handl/test/stratix
+T_opt
+Z1 VHA3l6=UZ5BMC>F;<8m`;`1
+Z2 07 10 9 stratix stratix_io structure 1
+Z3 =1-0015609ec7f9-46ee61a4-39280-1c60
+Z4 o-quiet -auto_acc_if_foreign -work stratix
+Z5 tExplicit 1
+Z6 OE;O;6.3;37
+Estratix_and1
+w0
+Z7 DPx17 __model_tech/ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z8 DPx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z9 DPx17 __model_tech/ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z10 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z11 OE;C;6.5b;42
+32
+Z12 8/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_atoms.vhd
+Z13 F/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_atoms.vhd
+l0
+L1185
+Z14 VSdl`G>5?aj<MgVMWO7?O<0
+Z15 o-work work
+R5
+Aaltvital
+R7
+R8
+R9
+R10
+Z16 DEx20 __model_tech/stratix 12 stratix_and1 0 22 Sdl`G>5?aj<MgVMWO7?O<0
+R11
+32
+Z17 Mx5 17 __model_tech/ieee 14 std_logic_1164
+Z18 Mx4 17 __model_tech/ieee 12 vital_timing
+Z19 Mx3 16 __model_tech/std 6 textio
+Z20 Mx2 20 __model_tech/stratix 17 stratix_atom_pack
+Z21 Mx1 17 __model_tech/ieee 16 vital_primitives
+l1207
+L1202
+Z22 VAf[EL6IlnGJB]e;<SVi890
+R15
+R5
+Estratix_asynch_io
+w0
+R8
+R7
+R9
+Z23 DPx17 __model_tech/ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+R10
+R11
+32
+R12
+R13
+l0
+L2150
+Z24 Vge^J1HXaC6^id<4aYS6c43
+R15
+R5
+Abehave
+R8
+R7
+R9
+R23
+R10
+Z25 DEx20 __model_tech/stratix 17 stratix_asynch_io 0 22 ge^J1HXaC6^id<4aYS6c43
+R11
+32
+Mx6 17 __model_tech/ieee 14 std_logic_1164
+Mx5 17 __model_tech/ieee 15 std_logic_arith
+R18
+R19
+Z26 Mx2 17 __model_tech/ieee 16 vital_primitives
+Z27 Mx1 20 __model_tech/stratix 17 stratix_atom_pack
+l2189
+L2185
+Z28 V^GWzckYY?WiKf2WI2k7JY2
+R15
+R5
+Estratix_asynch_lcell
+w0
+R8
+R7
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L1274
+Z29 V8j4Kk3oSOGiVF;kHH9H=I1
+R15
+R5
+Avital_le
+32
+R8
+R7
+R9
+R10
+Z30 DEx20 __model_tech/stratix 20 stratix_asynch_lcell 0 22 8j4Kk3oSOGiVF;kHH9H=I1
+R11
+R17
+R18
+R19
+R26
+R27
+l1364
+L1346
+Z31 V;6MMEIZd;L=V0a2a=2?fm3
+R15
+R5
+Pstratix_atom_pack
+R7
+R9
+R10
+R11
+32
+b1
+Z32 Mx3 17 __model_tech/ieee 14 std_logic_1164
+Mx2 17 __model_tech/ieee 12 vital_timing
+R21
+Z33 w1170703014
+R12
+R13
+l0
+L24
+Z34 V4LU4R]0>3N6GcAdgd1O1R2
+b1
+R15
+R5
+Bbody
+DBx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+R7
+R9
+R10
+R11
+32
+Mx4 17 __model_tech/ieee 14 std_logic_1164
+Mx3 17 __model_tech/ieee 12 vital_timing
+Mx2 16 __model_tech/std 6 textio
+R21
+l0
+L77
+Z35 VM?dBl7420_0BjH>lIYbL41
+R15
+R5
+nbody
+Pstratix_components
+R7
+R8
+R9
+R10
+R11
+32
+R17
+R18
+R19
+R20
+R21
+R33
+Z36 8/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_components.vhd
+Z37 F/opt/altera7.0/quartus7.0/eda/sim_lib/stratix_components.vhd
+l0
+L24
+Z38 VETJi=`V@8?ceQEj0KODmn3
+R15
+R5
+Estratix_crcblock
+R33
+Z39 DP ieee vital_primitives E9g6AWKAc2T]enMfl94If3
+Z40 DP ieee vital_timing OBWK>;kUYmkG<OChK2lhV1
+Z41 DP work stratix_atom_pack 4LU4R]0>3N6GcAdgd1O1R2
+Z42 DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2
+R12
+R13
+l0
+Z43 L11440
+Z44 VebIKjQ;h:c8H:U<_[MiI<1
+Z45 OE;C;6.3;37
+32
+R15
+R5
+Aarchitecture_crcblock
+R39
+R40
+R41
+R42
+Z46 DE work stratix_crcblock ebIKjQ;h:c8H:U<_[MiI<1
+Z47 l11455
+Z48 L11454
+Z49 V_MCh`NDa3]]G1=`^;BO<c2
+R45
+32
+Z50 M4 ieee std_logic_1164
+Z51 M3 work stratix_atom_pack
+Z52 M2 ieee vital_timing
+Z53 M1 ieee vital_primitives
+R15
+R5
+Estratix_dffe
+R33
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L817
+Z54 VM^?0eIMFoSWj64@66;fKU1
+R45
+32
+R15
+R5
+Abehave
+R41
+R39
+R40
+R42
+Z55 DE work stratix_dffe M^?0eIMFoSWj64@66;fKU1
+l862
+L853
+Z56 VDm:W_j6;6Um04NBQS6@7z2
+R45
+32
+R50
+Z57 M3 ieee vital_timing
+Z58 M2 ieee vital_primitives
+Z59 M1 work stratix_atom_pack
+R15
+R5
+Estratix_dll
+R33
+Z60 DP work stratix_pllpack 05H1iWjcWbE5Ha>kmg08Q2
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+Z61 L11163
+Z62 V1[cSRI=OC?Ub3Fae774oQ1
+R45
+32
+R15
+R5
+Avital_dll
+R60
+R41
+R39
+R40
+R42
+Z63 DE work stratix_dll 1[cSRI=OC?Ub3Fae774oQ1
+Z64 l11186
+Z65 L11181
+Z66 VVL<O2gegLiLQJBi0hfnaS2
+R45
+32
+Z67 M5 ieee std_logic_1164
+Z68 M4 ieee vital_timing
+Z69 M3 ieee vital_primitives
+Z70 M2 work stratix_atom_pack
+Z71 M1 work stratix_pllpack
+R15
+R5
+Estratix_io
+w0
+R16
+Z72 DEx20 __model_tech/stratix 13 stratix_mux21 0 22 eQLY`BE4]EFc9AB8Y_z^b2
+Z73 DEx20 __model_tech/stratix 19 stratix_io_register 0 22 PP3H7jXg<<Q`]2miO]XLY3
+R23
+R25
+Z74 DPx20 __model_tech/stratix 15 stratix_pllpack 0 22 05H1iWjcWbE5Ha>kmg08Q2
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L2622
+Z75 V8g8W4@DX]PW8dgJFjd5lT1
+R15
+R5
+Astructure
+32
+R25
+R72
+R16
+R73
+R23
+R74
+R8
+R7
+R9
+R10
+Z76 DEx20 __model_tech/stratix 10 stratix_io 0 22 8g8W4@DX]PW8dgJFjd5lT1
+R11
+Mx7 17 __model_tech/ieee 14 std_logic_1164
+Mx6 17 __model_tech/ieee 12 vital_timing
+Mx5 16 __model_tech/std 6 textio
+Mx4 17 __model_tech/ieee 16 vital_primitives
+Mx3 20 __model_tech/stratix 17 stratix_atom_pack
+Mx2 20 __model_tech/stratix 15 stratix_pllpack
+Z77 Mx1 17 __model_tech/ieee 15 std_logic_arith
+l2786
+L2669
+Z78 V_OQ6lS<VSGQ`YQ7_[RdT90
+R15
+R5
+Estratix_io_register
+w0
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L2418
+Z79 VPP3H7jXg<<Q`]2miO]XLY3
+R15
+R5
+Avital_io_reg
+32
+R8
+R7
+R9
+R10
+R73
+R11
+R17
+R18
+R19
+R26
+R27
+l2461
+L2457
+Z80 VW5ZYbm[GV0cF@UBV1ibJP2
+R15
+R5
+Estratix_jtag
+R33
+R39
+R40
+R41
+R42
+R12
+R13
+l0
+Z81 L11401
+Z82 VaCj9V;Ld;:lO3aD5jMX_K0
+R45
+32
+R15
+R5
+Aarchitecture_jtag
+R39
+R40
+R41
+R42
+Z83 DE work stratix_jtag aCj9V;Ld;:lO3aD5jMX_K0
+Z84 l11425
+Z85 L11424
+Z86 V^XQzBIV9QSlgZ1@EV^4Jz2
+R45
+32
+R50
+R51
+R52
+R53
+R15
+R5
+Estratix_lcell
+w0
+Z87 DEx20 __model_tech/stratix 22 stratix_lcell_register 0 22 CWH?gQ078^87jkOg?o7Z63
+R30
+R8
+R7
+R9
+R10
+32
+R11
+R12
+R13
+l0
+L1890
+Z88 VaWl_l1>i5>lzY<SO57h5o1
+R15
+R5
+Avital_le_atom
+32
+R87
+R30
+R8
+R7
+R9
+R10
+Z89 DEx20 __model_tech/stratix 13 stratix_lcell 0 22 aWl_l1>i5>lzY<SO57h5o1
+R11
+R17
+R18
+R19
+R26
+R27
+l2069
+L1932
+Z90 Vd5`2G@D9KLPDWMME?AYhK3
+R15
+R5
+Estratix_lcell_register
+w0
+R8
+R7
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L1567
+Z91 VCWH?gQ078^87jkOg?o7Z63
+R15
+R5
+Avital_le_reg
+32
+R8
+R7
+R9
+R10
+R87
+R11
+R17
+R18
+R19
+R26
+R27
+l1659
+L1625
+Z92 Vi5Yf[Hej@WA06<1X5H[]D3
+R15
+R5
+Estratix_lvds_receiver
+R33
+Z93 DE work stratix_and1 Sdl`G>5?aj<MgVMWO7?O<0
+R55
+Z94 DE work stratix_lvds_rx_parallel_register 5``IQH;BN8XU6n3=]<QA:0
+Z95 DP std textio K]Z^fghZ6B=BjnK5NomDT3
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L7464
+Z96 VZJJ6_nh3T^QX:cB1:M>Sn1
+R45
+32
+R15
+R5
+Avital_receiver_atom
+R95
+R41
+R39
+R40
+R42
+Z97 DE work stratix_lvds_receiver ZJJ6_nh3T^QX:cB1:M>Sn1
+l7568
+L7483
+Z98 V8]8CJhVKG:K^biBG=Lf[H1
+R45
+32
+R67
+R68
+R69
+R70
+Z99 M1 std textio
+R15
+R5
+Estratix_lvds_rx_parallel_register
+R33
+R95
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L7374
+Z100 V5``IQH;BN8XU6n3=]<QA:0
+R45
+32
+R15
+R5
+Avital_rx_reg
+R95
+R41
+R39
+R40
+R42
+R94
+l7403
+L7398
+Z101 V7g=z7L91nZ0b2M[CHYkn30
+R45
+32
+R67
+R68
+R69
+R70
+R99
+R15
+R5
+Estratix_lvds_transmitter
+R33
+Z102 DE work stratix_lvds_tx_out_block 6?L`2TJV=^]0G2B@YePiO0
+R95
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L7106
+Z103 VgHFV7<X_@dG^_bjf3EW]F2
+R45
+32
+R15
+R5
+Avital_transmitter_atom
+R95
+R41
+R39
+R40
+R42
+Z104 DE work stratix_lvds_transmitter gHFV7<X_@dG^_bjf3EW]F2
+l7238
+L7128
+Z105 V8hCeSzIi^>@ATc^5AB89`1
+R45
+32
+R67
+R68
+R69
+R70
+R99
+R15
+R5
+Estratix_lvds_tx_out_block
+R33
+R55
+Z106 DE work stratix_lvds_tx_parallel_register ^FQVdYR7Bd1jX:hL]cgSG1
+R95
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L6979
+Z107 V6?L`2TJV=^]0G2B@YePiO0
+R45
+32
+R15
+R5
+Avital_tx_out_block
+R95
+R41
+R39
+R40
+R42
+R102
+l7012
+L7006
+Z108 V2E88Bi[J4md8X1TIRnKCc1
+R45
+32
+R67
+R68
+R69
+R70
+R99
+R15
+R5
+Estratix_lvds_tx_parallel_register
+R33
+R95
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L6859
+Z109 V^FQVdYR7Bd1jX:hL]cgSG1
+R45
+32
+R15
+R5
+Avital_tx_reg
+R95
+R41
+R39
+R40
+R42
+R106
+l6891
+L6886
+Z110 Vg]DVbjF_T4Hi4U6a]=lDf0
+R45
+32
+R67
+R68
+R69
+R70
+R99
+R15
+R5
+Estratix_m_cntr
+R33
+R39
+R40
+R42
+R12
+R13
+l0
+L7663
+Z111 VGzhfbTYW@P9W`dc<LM`o<3
+R45
+32
+R15
+R5
+Abehave
+R39
+R40
+R42
+Z112 DE work stratix_m_cntr GzhfbTYW@P9W`dc<LM`o<3
+l7674
+L7673
+Z113 V`DnSHjXWN6AToWh]Cfoo?3
+R45
+32
+Z114 M3 ieee std_logic_1164
+R52
+R53
+R15
+R5
+Estratix_mac_mult
+R33
+Z115 DE work stratix_mac_mult_internal bcZ7dVno7AIA7_ZWc^MhD3
+Z116 DE work stratix_mac_register P_zd1eochY^VHLgcE6BfU2
+R41
+R39
+R40
+Z117 DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90
+Z118 DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2
+R42
+R12
+R13
+l0
+L5016
+Z119 V2:W`FK9UC8lc9[BNkWXcQ2
+R45
+32
+R15
+R5
+Amult_arch
+R41
+R39
+R40
+R117
+R118
+R42
+Z120 DE work stratix_mac_mult 2:W`FK9UC8lc9[BNkWXcQ2
+l5176
+L5071
+Z121 VE8Nf5BFMfBc^PU_lV3W3V1
+R45
+32
+Z122 M6 ieee std_logic_1164
+Z123 M5 ieee std_logic_arith
+Z124 M4 ieee std_logic_unsigned
+R57
+R58
+R59
+R15
+R5
+Estratix_mac_mult_internal
+R33
+R41
+R39
+R40
+R118
+R42
+R12
+R13
+l0
+L3127
+Z125 VbcZ7dVno7AIA7_ZWc^MhD3
+R45
+32
+R15
+R5
+Amult_internal_arch
+R41
+R39
+R40
+R118
+R42
+R115
+l3162
+L3157
+Z126 VL;AK:JPPhZk7FzC:k0HL10
+R45
+32
+R67
+Z127 M4 ieee std_logic_arith
+R57
+R58
+R59
+R15
+R5
+Estratix_mac_out
+R33
+R117
+Z128 DE work stratix_mac_out_internal 6aK`BWa1UC[hT@`dA0nKg2
+R116
+R41
+R39
+R40
+R118
+R42
+R12
+R13
+l0
+L5300
+Z129 V9No]^LkEH<F;AkYcl3ee`1
+R45
+32
+R15
+R5
+Aout_arch
+R117
+R41
+R39
+R40
+R118
+R42
+Z130 DE work stratix_mac_out 9No]^LkEH<F;AkYcl3ee`1
+l5549
+L5374
+Z131 VmG5[EnWeTXZmALa5K5Qn02
+R45
+32
+R122
+R123
+R68
+R69
+R70
+Z132 M1 ieee std_logic_unsigned
+R15
+R5
+Estratix_mac_out_internal
+R33
+R41
+R39
+R40
+R117
+R118
+R42
+R12
+R13
+l0
+L3268
+Z133 V6aK`BWa1UC[hT@`dA0nKg2
+R45
+32
+R15
+R5
+Amac_add
+R41
+R39
+R40
+R117
+R118
+R42
+R128
+l3413
+L3388
+Z134 VgOedUHm<ZjfgXknGP_WOP2
+R45
+32
+R122
+R123
+R124
+R57
+R58
+R59
+R15
+R5
+Estratix_mac_register
+R33
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L2991
+Z135 VP_zd1eochY^VHLgcE6BfU2
+R45
+32
+R15
+R5
+Areg_arch
+R41
+R39
+R40
+R42
+R116
+l3028
+L3021
+Z136 V]OaL?nZ6@eg`_Qz?OJ`bD1
+R45
+32
+R50
+R57
+R58
+R59
+R15
+R5
+Estratix_mux21
+w0
+R7
+R8
+R9
+R10
+R11
+32
+R12
+R13
+l0
+L996
+Z137 VeQLY`BE4]EFc9AB8Y_z^b2
+R15
+R5
+Aaltvital
+R7
+R8
+R9
+R10
+R72
+R11
+32
+R17
+R18
+R19
+R20
+R21
+l1021
+L1016
+Z138 VISAX]WafYQzd;H`JQb2BU0
+R15
+R5
+Estratix_mux41
+R33
+R39
+R41
+R40
+R42
+R12
+R13
+l0
+L1081
+Z139 VcNGDO?DnQhNBgJ^31=XJ?2
+R45
+32
+R15
+R5
+Aaltvital
+R39
+R41
+R40
+R42
+Z140 DE work stratix_mux41 cNGDO?DnQhNBgJ^31=XJ?2
+l1115
+L1109
+Z141 VZ;3DU@^CejSm0nk]:DFdF2
+R45
+32
+R50
+R57
+R70
+R53
+R15
+R5
+Estratix_n_cntr
+R33
+R39
+R40
+R42
+R12
+R13
+l0
+L7716
+Z142 V`bPZAQilaTf^cQ@f6mRI53
+R45
+32
+R15
+R5
+Abehave
+R39
+R40
+R42
+Z143 DE work stratix_n_cntr `bPZAQilaTf^cQ@f6mRI53
+l7725
+L7724
+Z144 V?@ok=N^FYQfW2`CbBS6]e2
+R45
+32
+R114
+R52
+R53
+R15
+R5
+Estratix_pll
+R33
+Z145 DE work stratix_pll_reg 3K4O3E[JZ12c372zZD4A[0
+R55
+Z146 DE work stratix_scale_cntr >6]VzDH3>NL:_PDfo@<_93
+R143
+R112
+R60
+R41
+R95
+R39
+R40
+R42
+R12
+R13
+l0
+L7923
+Z147 VP@:fKPe2M7;<ZQMF;U:W30
+R45
+32
+R15
+R5
+Avital_pll
+R60
+R41
+R95
+R39
+R40
+R42
+Z148 DE work stratix_pll P@:fKPe2M7;<ZQMF;U:W30
+l8548
+L8190
+Z149 V]>R:V3oF;`]nTm?zgfC_M0
+R45
+32
+R122
+Z150 M5 ieee vital_timing
+Z151 M4 ieee vital_primitives
+Z152 M3 std textio
+R70
+R71
+R15
+R5
+Estratix_pll_reg
+R33
+R42
+R12
+R13
+l0
+L7866
+Z153 V3K4O3E[JZ12c372zZD4A[0
+R45
+32
+R15
+R5
+Abehave
+R42
+R145
+l7876
+L7875
+Z154 V4D3D:CkZ25k?HW8zncRZi3
+R45
+32
+Z155 M1 ieee std_logic_1164
+R15
+R5
+Pstratix_pllpack
+R10
+R11
+32
+b1
+Z156 Mx1 17 __model_tech/ieee 14 std_logic_1164
+R33
+R12
+R13
+l0
+L230
+Z157 V05H1iWjcWbE5Ha>kmg08Q2
+b1
+R15
+R5
+Bbody
+DBx20 __model_tech/stratix 15 stratix_pllpack 0 22 05H1iWjcWbE5Ha>kmg08Q2
+R10
+R11
+32
+R156
+l0
+L294
+Z158 VAF3fZBz=:cR8[XC`8][282
+R15
+R5
+nbody
+Estratix_ram_block
+R33
+Z159 DE work stratix_ram_pulse_generator SlR5:_dE<OV:BWMOMEd^j1
+Z160 DE work stratix_ram_register _<R^_FmT?ePRAZ6RGAmH@2
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L6027
+Z161 V;ikjGGCL6WAzRcnd0V?`83
+R45
+32
+R15
+R5
+Ablock_arch
+R41
+R39
+R40
+R42
+Z162 DE work stratix_ram_block ;ikjGGCL6WAzRcnd0V?`83
+l6309
+L6106
+Z163 VgK4fJLA7fOIZ_iSm:9FmN3
+R45
+32
+R50
+R57
+R58
+R59
+R15
+R5
+Estratix_ram_pulse_generator
+R33
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L5965
+Z164 VSlR5:_dE<OV:BWMOMEd^j1
+R45
+32
+R15
+R5
+Apgen_arch
+R41
+R39
+R40
+R42
+R159
+l5982
+L5978
+Z165 V[3zMTFPPlZ?ITW<cShYH22
+R45
+32
+R50
+R57
+R58
+R59
+R15
+R5
+Estratix_ram_register
+R33
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+L5804
+Z166 V_<R^_FmT?ePRAZ6RGAmH@2
+R45
+32
+R15
+R5
+Areg_arch
+R41
+R39
+R40
+R42
+R160
+l5844
+L5837
+Z167 VI1h3gImhMzaYjBoLd:FHE3
+R45
+32
+R50
+R57
+R58
+R59
+R15
+R5
+Estratix_routing_wire
+R33
+R41
+R39
+R40
+R42
+R12
+R13
+l0
+Z168 L11675
+Z169 Vh69i5h=e==KHZ@YGCKE693
+R45
+32
+R15
+R5
+Abehave
+R41
+R39
+R40
+R42
+Z170 DE work stratix_routing_wire h69i5h=e==KHZ@YGCKE693
+Z171 l11694
+Z172 L11690
+Z173 VEI1l8E;d2JM]025_1_TMS3
+R45
+32
+R50
+R57
+R58
+R59
+R15
+R5
+Estratix_rublock
+R33
+R39
+R40
+R41
+R118
+R42
+R12
+R13
+l0
+Z174 L11470
+Z175 VYC@[Jf7enXSANO:znk]0>0
+R45
+32
+R15
+R5
+Aarchitecture_rublock
+R39
+R40
+R41
+R118
+R42
+Z176 DE work stratix_rublock YC@[Jf7enXSANO:znk]0>0
+Z177 l11502
+Z178 L11494
+Z179 VRJdW9W0PEl6f6bJh9WUH;0
+R45
+32
+R67
+R127
+R51
+R52
+R53
+R15
+R5
+Estratix_scale_cntr
+R33
+R39
+R40
+R42
+R12
+R13
+l0
+L7774
+Z180 V>6]VzDH3>NL:_PDfo@<_93
+R45
+32
+R15
+R5
+Abehave
+R39
+R40
+R42
+R146
+l7787
+L7786
+Z181 Vg8Q6mDROzN5>cgB5GCz9>1
+R45
+32
+R114
+R52
+R53
+R15
+R5
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i b/bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i
new file mode 100644 (file)
index 0000000..6554866
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptv9dz8i differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w b/bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w
new file mode 100644 (file)
index 0000000..f7b7ace
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptv9is2w differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz b/bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz
new file mode 100644 (file)
index 0000000..2e13829
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvc0cvz differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvdey23 b/bsp4/Designflow/sim/pre/work/@_opt/voptvdey23
new file mode 100644 (file)
index 0000000..4bcbc30
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvdey23 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptve8zdn b/bsp4/Designflow/sim/pre/work/@_opt/voptve8zdn
new file mode 100644 (file)
index 0000000..d90b77e
--- /dev/null
@@ -0,0 +1,258 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre
+T_opt
+Z1 V9ZLk`O78oFgz7?3D`AM6m3
+Z2 04 12 0 work vga_conf_pre 1
+Z3 =1-0015609ed0a8-4af058ea-7c4d1-790d
+Z4 o-quiet -auto_acc_if_foreign -work work
+Z5 n@_opt
+Z6 OE;O;6.5b;42
+Evga
+Z7 w1257265305
+Z8 DPx17 __model_tech/ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z9 DPx20 __model_tech/stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z10 DPx17 __model_tech/ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z11 DPx20 __model_tech/stratix 18 stratix_components 0 22 ETJi=`V@8?ceQEj0KODmn3
+Z12 DPx21 __model_tech/synplify 10 components 0 22 @=LFfPB8UiBPm8Y3jZ0Dj3
+Z13 DPx17 __model_tech/ieee 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
+Z14 DPx17 __model_tech/ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+32
+Z15 8/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vhm
+Z16 F/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vhm
+l0
+L4533
+Z17 V_AKaP>g_z3;H?[j4SIkEJ3
+Z18 OE;C;6.5b;42
+Z19 o-work work
+Z20 tExplicit 1
+Z21 !s100 GLiW2HX1d;F:740SRcE`T1
+Abeh
+Z22 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 11 vga_control 0 22 c[8UcKzm1=5YbM[1P_A8V0
+Z23 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 10 vga_driver 0 22 ][gj?A8M9aEN4T?IfB[nn2
+Z24 DEx20 __model_tech/stratix 10 stratix_io 0 22 8g8W4@DX]PW8dgJFjd5lT1
+Z25 DEx20 __model_tech/stratix 13 stratix_lcell 0 22 aWl_l1>i5>lzY<SO57h5o1
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+Z26 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 3 vga 0 22 _AKaP>g_z3;H?[j4SIkEJ3
+32
+Z27 Mx8 17 __model_tech/ieee 14 std_logic_1164
+Z28 Mx7 17 __model_tech/ieee 11 numeric_std
+Z29 Mx6 21 __model_tech/synplify 10 components
+Z30 Mx5 20 __model_tech/stratix 18 stratix_components
+Z31 Mx4 17 __model_tech/ieee 12 vital_timing
+Z32 Mx3 16 __model_tech/std 6 textio
+Z33 Mx2 20 __model_tech/stratix 17 stratix_atom_pack
+Z34 Mx1 17 __model_tech/ieee 16 vital_primitives
+l4873
+L4570
+Z35 V4MkFMEzoaBO_>SXMeUCLU0
+R18
+R19
+R20
+Z36 !s100 IFXRAMl`fOYGC@`o>zE=S3
+Cvga_conf_pre
+R8
+R9
+R10
+R11
+R12
+R13
+R26
+DAx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 10 vga_pre_tb 9 structure 22 JFe?g0DaUzZBYk[>IoSWP0
+Z37 DPx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z38 DPx17 __model_tech/ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z39 DPx17 __model_tech/ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R14
+Z40 DEx57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 10 vga_pre_tb 0 22 lBieNQVlYd]7:AWzH`k4l2
+32
+Z41 Mx11 17 __model_tech/ieee 14 std_logic_1164
+Z42 Mx10 17 __model_tech/ieee 18 std_logic_unsigned
+Z43 Mx9 17 __model_tech/ieee 15 std_logic_arith
+Z44 Mx8 57 /homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre/work 7 vga_pak
+R28
+R29
+R30
+R31
+R32
+R33
+R34
+Z45 astructure
+Z46 evga_pre_tb
+Z47 w1257262050
+Z48 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pre_tb.vhd
+Z49 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pre_tb.vhd
+l0
+L189
+Z50 VaNjILBk^@SVk6Z1ONfaFf3
+R18
+R19
+R20
+Z51 !s100 VZQjaChjIO3TWTR03Wl1B1
+Evga_control
+R7
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+32
+R15
+R16
+l0
+L21
+Z52 Vc[8UcKzm1=5YbM[1P_A8V0
+R18
+R19
+R20
+Z53 !s100 QQaQ1z6<=O3a[ZeJl5eU?2
+Abeh
+Z54 DEx20 __model_tech/stratix 22 stratix_lcell_register 0 22 CWH?gQ078^87jkOg?o7Z63
+Z55 DEx20 __model_tech/stratix 20 stratix_asynch_lcell 0 22 8j4Kk3oSOGiVF;kHH9H=I1
+R25
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+R22
+32
+R27
+R28
+R29
+R30
+R31
+R32
+R33
+R34
+l133
+L78
+Z56 VM2^VYFBjUfEgbCAe?[1`a0
+R18
+R19
+R20
+Z57 !s100 RC]fSSYMGlQdlomZHN6N81
+Evga_driver
+R7
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+32
+R15
+R16
+l0
+L1308
+Z58 V][gj?A8M9aEN4T?IfB[nn2
+R18
+R19
+R20
+Z59 !s100 c<J3@6GSPO89]PVD@Ao@@0
+Abeh
+R54
+R55
+R25
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+R23
+32
+R27
+R28
+R29
+R30
+R31
+R32
+R33
+R34
+l1506
+L1377
+Z60 VeB^:=KgUKlJ:>DP5D^=MT3
+R18
+R19
+R20
+Z61 !s100 ShYcRb34UG[M_Lj;KLTFh0
+Pvga_pak
+R38
+R39
+R14
+32
+Z62 Mx3 17 __model_tech/ieee 14 std_logic_1164
+Mx2 17 __model_tech/ieee 18 std_logic_unsigned
+Z63 Mx1 17 __model_tech/ieee 15 std_logic_arith
+Z64 w1257265128
+Z65 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z66 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z67 VHkmzP=gd;mD@MOhh4AYKl3
+R18
+R19
+R20
+Z68 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_pre_tb
+R47
+R37
+R38
+R39
+R14
+32
+R48
+R49
+l0
+L37
+Z69 VlBieNQVlYd]7:AWzH`k4l2
+R18
+R19
+R20
+Z70 !s100 E`OC=4TKQQZR9AW6:_aWL3
+Astructure
+R8
+R9
+R10
+R11
+R12
+R13
+R26
+R37
+R38
+R39
+R14
+R40
+32
+R41
+R42
+R43
+R44
+R28
+R29
+R30
+R31
+R32
+R33
+R34
+l101
+L45
+Z71 VJFe?g0DaUzZBYk[>IoSWP0
+R18
+R19
+R20
+Z72 !s100 mXQY>;W35^hoSE<0NCLIV3
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve b/bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve
new file mode 100644 (file)
index 0000000..bd16b9c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvkbzve differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn b/bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvnf3hn differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q b/bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q
new file mode 100644 (file)
index 0000000..acfa9ef
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvvj46q differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj b/bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj
new file mode 100644 (file)
index 0000000..0596f55
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptvyy2bj differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2 b/bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptw1vzc2 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax b/bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax
new file mode 100644 (file)
index 0000000..18b2dbe
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwbk9ax differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm b/bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm
new file mode 100644 (file)
index 0000000..9f6c576
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwj2xdm differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj b/bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj
new file mode 100644 (file)
index 0000000..f157137
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwj3fmj differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk b/bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk
new file mode 100644 (file)
index 0000000..7ba2918
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwk4hzk differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwntq90 b/bsp4/Designflow/sim/pre/work/@_opt/voptwntq90
new file mode 100644 (file)
index 0000000..2bfeb94
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwntq90 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1 b/bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1
new file mode 100644 (file)
index 0000000..2bfeb94
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptwtj4q1 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13 b/bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13
new file mode 100644 (file)
index 0000000..2500911
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptx5gn13 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em b/bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em
new file mode 100644 (file)
index 0000000..5fd1952
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptx9f3em differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b b/bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b
new file mode 100644 (file)
index 0000000..75d55a6
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxabw5b differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8 b/bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8
new file mode 100644 (file)
index 0000000..4aabbdd
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxbz8i8 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja b/bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja
new file mode 100644 (file)
index 0000000..9a31530
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxeeyja differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx b/bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx
new file mode 100644 (file)
index 0000000..4a2926c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxfcrjx differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxmke47 b/bsp4/Designflow/sim/pre/work/@_opt/voptxmke47
new file mode 100644 (file)
index 0000000..3de00bb
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxmke47 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79 b/bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79
new file mode 100644 (file)
index 0000000..f3dea38
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxq8h79 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd b/bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd
new file mode 100644 (file)
index 0000000..d423ff7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxqrdcd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7 b/bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7
new file mode 100644 (file)
index 0000000..04fd731
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxr2wa7 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd b/bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd
new file mode 100644 (file)
index 0000000..f13f648
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptxz6bcd differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb b/bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb
new file mode 100644 (file)
index 0000000..d2aeca7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/vopty3tymb differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03 b/bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03
new file mode 100644 (file)
index 0000000..535d125
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptyb9z03 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr b/bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr
new file mode 100644 (file)
index 0000000..7f14bfd
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptyby1mr differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptynym01 b/bsp4/Designflow/sim/pre/work/@_opt/voptynym01
new file mode 100644 (file)
index 0000000..9e241a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptynym01 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1 b/bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1
new file mode 100644 (file)
index 0000000..e97c4f8
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptyqtqn1 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09 b/bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptyyhe09 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh b/bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh
new file mode 100644 (file)
index 0000000..49855a3
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptz2j6dh differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptzczb23 b/bsp4/Designflow/sim/pre/work/@_opt/voptzczb23
new file mode 100644 (file)
index 0000000..8bc205f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptzczb23 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic b/bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic
new file mode 100644 (file)
index 0000000..9da7f0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptzec2ic differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1 b/bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1
new file mode 100644 (file)
index 0000000..4a4709e
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptzf9cq1 differ
diff --git a/bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw b/bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw
new file mode 100644 (file)
index 0000000..e8c91c5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/@_opt/voptzzv7nw differ
diff --git a/bsp4/Designflow/sim/pre/work/_info b/bsp4/Designflow/sim/pre/work/_info
new file mode 100644 (file)
index 0000000..e2d4eda
--- /dev/null
@@ -0,0 +1,230 @@
+m255
+K3
+13
+cModel Technology
+Z0 d/homes/burban/didelu/dide_16/bsp4/Designflow/sim/pre
+T_opt
+V9ZLk`O78oFgz7?3D`AM6m3
+04 12 0 work vga_conf_pre 1
+Z1 =1-0015609ed0a8-4af058ea-7c4d1-790d
+Z2 o-quiet -auto_acc_if_foreign -work work
+Z3 n@_opt
+Z4 OE;O;6.5b;42
+Evga
+Z5 w1257265305
+Z6 DPx4 ieee 16 vital_primitives 0 22 E9g6AWKAc2T]enMfl94If3
+Z7 DPx7 stratix 17 stratix_atom_pack 0 22 4LU4R]0>3N6GcAdgd1O1R2
+Z8 DPx4 ieee 12 vital_timing 0 22 OBWK>;kUYmkG<OChK2lhV1
+Z9 DPx7 stratix 18 stratix_components 0 22 ETJi=`V@8?ceQEj0KODmn3
+Z10 DPx8 synplify 10 components 0 22 @=LFfPB8UiBPm8Y3jZ0Dj3
+Z11 DPx4 ieee 11 numeric_std 0 22 =NSdli^?T5OD8;4F<blj<3
+Z12 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
+Z13 8/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vhm
+Z14 F/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vhm
+l0
+L4533
+Z15 V_AKaP>g_z3;H?[j4SIkEJ3
+Z16 OE;C;6.5b;42
+32
+Z17 o-work work
+Z18 tExplicit 1
+Z19 !s100 GLiW2HX1d;F:740SRcE`T1
+Abeh
+R6
+R7
+R8
+R9
+R10
+R11
+R12
+Z20 DEx4 work 3 vga 0 22 _AKaP>g_z3;H?[j4SIkEJ3
+l4873
+L4570
+Z21 V4MkFMEzoaBO_>SXMeUCLU0
+R16
+32
+Z22 Mx7 4 ieee 14 std_logic_1164
+Z23 Mx6 4 ieee 11 numeric_std
+Z24 Mx5 8 synplify 10 components
+Z25 Mx4 7 stratix 18 stratix_components
+Z26 Mx3 4 ieee 12 vital_timing
+Z27 Mx2 7 stratix 17 stratix_atom_pack
+Z28 Mx1 4 ieee 16 vital_primitives
+R17
+R18
+Z29 !s100 IFXRAMl`fOYGC@`o>zE=S3
+Cvga_conf_pre
+Z30 astructure
+Z31 evga_pre_tb
+R6
+R7
+R8
+R9
+R10
+R11
+R20
+Z32 DAx4 work 10 vga_pre_tb 9 structure 22 JFe?g0DaUzZBYk[>IoSWP0
+Z33 DPx4 work 7 vga_pak 0 22 HkmzP=gd;mD@MOhh4AYKl3
+Z34 DPx4 ieee 15 std_logic_arith 0 22 GJbAT?7@hRQU9IQ702DT]2
+Z35 DPx4 ieee 18 std_logic_unsigned 0 22 hEMVMlaNCR^<OOoVNV;m90
+R12
+Z36 DEx4 work 10 vga_pre_tb 0 22 lBieNQVlYd]7:AWzH`k4l2
+Z37 w1257262050
+Z38 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pre_tb.vhd
+Z39 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pre_tb.vhd
+l0
+L189
+Z40 VaNjILBk^@SVk6Z1ONfaFf3
+R16
+32
+Z41 Mx10 4 ieee 14 std_logic_1164
+Z42 Mx9 4 ieee 18 std_logic_unsigned
+Z43 Mx8 4 ieee 15 std_logic_arith
+Z44 Mx7 4 work 7 vga_pak
+R23
+R24
+R25
+R26
+R27
+R28
+R17
+R18
+Z45 !s100 VZQjaChjIO3TWTR03Wl1B1
+Evga_control
+R5
+R6
+R7
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+l0
+L21
+Z46 Vc[8UcKzm1=5YbM[1P_A8V0
+R16
+32
+R17
+R18
+Z47 !s100 QQaQ1z6<=O3a[ZeJl5eU?2
+Abeh
+R6
+R7
+R8
+R9
+R10
+R11
+R12
+Z48 DEx4 work 11 vga_control 0 22 c[8UcKzm1=5YbM[1P_A8V0
+l133
+L78
+Z49 VM2^VYFBjUfEgbCAe?[1`a0
+R16
+32
+R22
+R23
+R24
+R25
+R26
+R27
+R28
+R17
+R18
+Z50 !s100 RC]fSSYMGlQdlomZHN6N81
+Evga_driver
+R5
+R6
+R7
+R8
+R9
+R10
+R11
+R12
+R13
+R14
+l0
+L1308
+Z51 V][gj?A8M9aEN4T?IfB[nn2
+R16
+32
+R17
+R18
+Z52 !s100 c<J3@6GSPO89]PVD@Ao@@0
+Abeh
+R6
+R7
+R8
+R9
+R10
+R11
+R12
+Z53 DEx4 work 10 vga_driver 0 22 ][gj?A8M9aEN4T?IfB[nn2
+l1506
+L1377
+Z54 VeB^:=KgUKlJ:>DP5D^=MT3
+R16
+32
+R22
+R23
+R24
+R25
+R26
+R27
+R28
+R17
+R18
+Z55 !s100 ShYcRb34UG[M_Lj;KLTFh0
+Pvga_pak
+R34
+R35
+R12
+Z56 w1257265128
+Z57 8/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+Z58 F/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd
+l0
+L35
+Z59 VHkmzP=gd;mD@MOhh4AYKl3
+R16
+32
+Z60 Mx3 4 ieee 14 std_logic_1164
+Z61 Mx2 4 ieee 18 std_logic_unsigned
+Z62 Mx1 4 ieee 15 std_logic_arith
+R17
+R18
+Z63 !s100 VL:Z2?FJISz9N5>XaK:5k0
+Evga_pre_tb
+R37
+R33
+R34
+R35
+R12
+R38
+R39
+l0
+L37
+Z64 VlBieNQVlYd]7:AWzH`k4l2
+R16
+32
+R17
+R18
+Z65 !s100 E`OC=4TKQQZR9AW6:_aWL3
+Astructure
+R33
+R34
+R35
+R12
+R36
+l101
+L45
+Z66 VJFe?g0DaUzZBYk[>IoSWP0
+R16
+32
+Z67 Mx4 4 ieee 14 std_logic_1164
+Z68 Mx3 4 ieee 18 std_logic_unsigned
+Z69 Mx2 4 ieee 15 std_logic_arith
+Z70 Mx1 4 work 7 vga_pak
+R17
+R18
+Z71 !s100 mXQY>;W35^hoSE<0NCLIV3
diff --git a/bsp4/Designflow/sim/pre/work/_vmake b/bsp4/Designflow/sim/pre/work/_vmake
new file mode 100644 (file)
index 0000000..2f7e729
--- /dev/null
@@ -0,0 +1,3 @@
+m255
+K3
+cModel Technology
diff --git a/bsp4/Designflow/sim/pre/work/vga/_primary.dat b/bsp4/Designflow/sim/pre/work/vga/_primary.dat
new file mode 100644 (file)
index 0000000..0aef4ca
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga/_primary.dbs
new file mode 100644 (file)
index 0000000..2c00711
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga/beh.dat b/bsp4/Designflow/sim/pre/work/vga/beh.dat
new file mode 100644 (file)
index 0000000..36ab909
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga/beh.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga/beh.dbs b/bsp4/Designflow/sim/pre/work/vga/beh.dbs
new file mode 100644 (file)
index 0000000..c2cfd03
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga/beh.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dat b/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dat
new file mode 100644 (file)
index 0000000..7f14bfd
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs
new file mode 100644 (file)
index 0000000..581d3c7
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_conf_pre/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_control/_primary.dat b/bsp4/Designflow/sim/pre/work/vga_control/_primary.dat
new file mode 100644 (file)
index 0000000..dec6b33
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_control/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_control/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga_control/_primary.dbs
new file mode 100644 (file)
index 0000000..93a56ff
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_control/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_control/beh.dat b/bsp4/Designflow/sim/pre/work/vga_control/beh.dat
new file mode 100644 (file)
index 0000000..be8fef6
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_control/beh.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_control/beh.dbs b/bsp4/Designflow/sim/pre/work/vga_control/beh.dbs
new file mode 100644 (file)
index 0000000..745a8a9
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_control/beh.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dat b/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dat
new file mode 100644 (file)
index 0000000..3de00bb
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dbs
new file mode 100644 (file)
index 0000000..0939537
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_driver/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_driver/beh.dat b/bsp4/Designflow/sim/pre/work/vga_driver/beh.dat
new file mode 100644 (file)
index 0000000..e84db8c
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_driver/beh.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_driver/beh.dbs b/bsp4/Designflow/sim/pre/work/vga_driver/beh.dbs
new file mode 100644 (file)
index 0000000..cc2eaf5
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_driver/beh.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dat b/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dat
new file mode 100644 (file)
index 0000000..21254e8
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dbs
new file mode 100644 (file)
index 0000000..2500911
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pak/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dat b/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dat
new file mode 100644 (file)
index 0000000..6020c36
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs b/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs
new file mode 100644 (file)
index 0000000..04fd731
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pre_tb/_primary.dbs differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dat b/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dat
new file mode 100644 (file)
index 0000000..03bce0f
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dat differ
diff --git a/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dbs b/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dbs
new file mode 100644 (file)
index 0000000..f2103b4
Binary files /dev/null and b/bsp4/Designflow/sim/pre/work/vga_pre_tb/structure.dbs differ
diff --git a/bsp4/Designflow/src/board_driver_arc.vhd b/bsp4/Designflow/src/board_driver_arc.vhd
new file mode 100644 (file)
index 0000000..7636a37
--- /dev/null
@@ -0,0 +1,102 @@
+-------------------------------------------------------------------------------\r
+-- Title      : board_driver architecture\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : board_driver.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-12-15\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: display number on 7-segment display\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-12-15  1.0      handl   Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+use work.vga_pak.all;\r
+\r
+-------------------------------------------------------------------------------\r
+-- ARCHITECTURE\r
+-------------------------------------------------------------------------------\r
+\r
+\r
+architecture behav of board_driver is\r
+\r
+  attribute syn_preserve          : boolean;\r
+  attribute syn_preserve of behav : architecture is true;\r
+\r
+\r
+  signal   display_value  : std_logic_vector(2*BCD_WIDTH-1 downto 0);\r
+  signal   ten_value      : std_logic_vector(BCD_WIDTH-1 downto 0);\r
+  signal   one_value      : std_logic_vector(BCD_WIDTH-1 downto 0);\r
+  signal   digit_left     : std_logic_vector(SEG_WIDTH-1 downto 0);\r
+  signal   digit_right    : std_logic_vector(SEG_WIDTH-1 downto 0);\r
+\r
+begin\r
+\r
+  -----------------------------------------------------------------------------\r
+  -- generate control data\r
+  -----------------------------------------------------------------------------\r
+\r
+\r
+  display_value <= "00000001";                                 -- vector of two BCD coded numbers to be displayed\r
+  one_value <= display_value(BCD_WIDTH-1 downto 0);            -- BCD number to be displayed in right digit\r
+  ten_value <= display_value(2*BCD_WIDTH-1 downto BCD_WIDTH);  -- BCD number to be displayed in left digit\r
+\r
+\r
+  SEG_DATA: process(reset, one_value, ten_value)\r
+  begin\r
+    if (reset = RES_ACT) then                     -- upon reset\r
+      digit_left  <= DIGIT_OFF;                   -- ... switch off display\r
+      digit_right <= DIGIT_OFF;\r
+    else                                          -- during operation\r
+      case one_value is                           -- ...display "one" position according\r
+        when "0000" => digit_right <= DIGIT_ZERO; -- ...to translation table\r
+        when "0001" => digit_right <= DIGIT_ONE;\r
+        when "0010" => digit_right <= DIGIT_TWO;\r
+        when "0011" => digit_right <= DIGIT_THREE;\r
+        when "0100" => digit_right <= DIGIT_FOUR;\r
+        when "0101" => digit_right <= DIGIT_FIVE;\r
+        when "0110" => digit_right <= DIGIT_SIX;\r
+        when "0111" => digit_right <= DIGIT_SEVEN;\r
+        when "1000" => digit_right <= DIGIT_EIGHT;\r
+        when "1001" => digit_right <= DIGIT_NINE;\r
+        when others => digit_right <= DIGIT_F;    -- use "F" as overflow\r
+      end case;\r
+\r
+      case ten_value is                           -- same for "ten" position\r
+        when "0000" => digit_left <= DIGIT_ZERO;\r
+        when "0001" => digit_left <= DIGIT_ONE;\r
+        when "0010" => digit_left <= DIGIT_TWO;\r
+        when "0011" => digit_left <= DIGIT_THREE;\r
+        when "0100" => digit_left <= DIGIT_FOUR;\r
+        when "0101" => digit_left <= DIGIT_FIVE;\r
+        when "0110" => digit_left <= DIGIT_SIX;\r
+        when "0111" => digit_left <= DIGIT_SEVEN;\r
+        when "1000" => digit_left <= DIGIT_EIGHT;\r
+        when "1001" => digit_left <= DIGIT_NINE;\r
+        when others => digit_left <= DIGIT_F;\r
+      end case;\r
+    end if;\r
+  end process;\r
+\r
+\r
+-- combine the two digits to one bus\r
+  seven_seg(SEG_WIDTH-1 downto 0)  <= digit_right;\r
+  seven_seg(2*SEG_WIDTH-1 downto SEG_WIDTH) <= digit_left;\r
+  \r
+end behav;\r
diff --git a/bsp4/Designflow/src/board_driver_ent.vhd b/bsp4/Designflow/src/board_driver_ent.vhd
new file mode 100644 (file)
index 0000000..17e5cf7
--- /dev/null
@@ -0,0 +1,42 @@
+-------------------------------------------------------------------------------\r
+-- Title      : board_driver entity\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : board_driver_ent.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-12-15\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: display number on 7-segment display\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-12-15  1.0      handl   Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+use work.vga_pak.all;\r
+\r
+-------------------------------------------------------------------------------\r
+-- ENTITY\r
+-------------------------------------------------------------------------------\r
+\r
+entity board_driver is\r
+  \r
+  port (\r
+        reset      : in  std_logic;\r
+        seven_seg  : out std_logic_vector(2*SEG_WIDTH-1 downto 0)\r
+        );                       \r
+end board_driver;\r
diff --git a/bsp4/Designflow/src/vga.hex b/bsp4/Designflow/src/vga.hex
new file mode 100644 (file)
index 0000000..b3c05bd
--- /dev/null
@@ -0,0 +1,4097 @@
+:010000001ce3\r
+:010001001ce2\r
+:010002001ce1\r
+:010003001ce0\r
+:010004001cdf\r
+:010005001cde\r
+:010006001cdd\r
+:010007001cdc\r
+:010008001cdb\r
+:010009001cda\r
+:01000a001cd9\r
+:01000b001cd8\r
+:01000c001cd7\r
+:01000d001cd6\r
+:01000e001cd5\r
+:01000f001cd4\r
+:010010001cd3\r
+:010011001cd2\r
+:010012001cd1\r
+:010013001cd0\r
+:010014001ccf\r
+:010015001cce\r
+:010016001ccd\r
+:010017001ccc\r
+:010018001ccb\r
+:010019001cca\r
+:01001a001cc9\r
+:01001b001cc8\r
+:01001c001cc7\r
+:01001d001cc6\r
+:01001e001cc5\r
+:01001f001cc4\r
+:010020001cc3\r
+:010021001cc2\r
+:010022001cc1\r
+:010023001cc0\r
+:010024001cbf\r
+:010025001cbe\r
+:010026001cbd\r
+:010027001cbc\r
+:010028001cbb\r
+:010029001cba\r
+:01002a001cb9\r
+:01002b001cb8\r
+:01002c001cb7\r
+:01002d001cb6\r
+:01002e001cb5\r
+:01002f001cb4\r
+:010030001cb3\r
+:010031001cb2\r
+:010032001cb1\r
+:010033001cb0\r
+:010034001caf\r
+:010035001cae\r
+:010036001cad\r
+:010037001cac\r
+:010038001cab\r
+:010039001caa\r
+:01003a001ca9\r
+:01003b001ca8\r
+:01003c001ca7\r
+:01003d001ca6\r
+:01003e001ca5\r
+:01003f001ca4\r
+:0100400003bc\r
+:0100410000be\r
+:0100420000bd\r
+:0100430000bc\r
+:0100440000bb\r
+:0100450000ba\r
+:0100460000b9\r
+:0100470000b8\r
+:0100480000b7\r
+:0100490000b6\r
+:01004a0000b5\r
+:01004b0000b4\r
+:01004c0000b3\r
+:01004d0000b2\r
+:01004e0000b1\r
+:01004f0000b0\r
+:0100500000af\r
+:0100510000ae\r
+:0100520000ad\r
+:0100530000ac\r
+:0100540000ab\r
+:0100550000aa\r
+:0100560000a9\r
+:0100570000a8\r
+:0100580000a7\r
+:0100590000a6\r
+:01005a0000a5\r
+:01005b0000a4\r
+:01005c0000a3\r
+:01005d0000a2\r
+:01005e0000a1\r
+:01005f0000a0\r
+:01006000009f\r
+:01006100009e\r
+:01006200009d\r
+:01006300009c\r
+:01006400009b\r
+:01006500009a\r
+:010066000099\r
+:010067000098\r
+:010068000097\r
+:010069000096\r
+:01006a000095\r
+:01006b000094\r
+:01006c000093\r
+:01006d000092\r
+:01006e000091\r
+:01006f000090\r
+:01007000008f\r
+:01007100008e\r
+:01007200008d\r
+:01007300008c\r
+:01007400008b\r
+:01007500008a\r
+:010076000089\r
+:010077000088\r
+:010078000087\r
+:010079000086\r
+:01007a000085\r
+:01007b000084\r
+:01007c000083\r
+:01007d000082\r
+:01007e000081\r
+:01007f0090f0\r
+:01008000037c\r
+:01008100007e\r
+:01008200007d\r
+:01008300007c\r
+:01008400007b\r
+:01008500007a\r
+:010086000079\r
+:010087000078\r
+:010088000077\r
+:010089000076\r
+:01008a000075\r
+:01008b000074\r
+:01008c000073\r
+:01008d000072\r
+:01008e000071\r
+:01008f000070\r
+:01009000006f\r
+:01009100006e\r
+:01009200006d\r
+:01009300006c\r
+:01009400006b\r
+:01009500006a\r
+:010096000069\r
+:010097000068\r
+:010098000067\r
+:010099000066\r
+:01009a000065\r
+:01009b000064\r
+:01009c000063\r
+:01009d000062\r
+:01009e000061\r
+:01009f000060\r
+:0100a000005f\r
+:0100a100005e\r
+:0100a200005d\r
+:0100a300005c\r
+:0100a400005b\r
+:0100a500005a\r
+:0100a6000059\r
+:0100a7000058\r
+:0100a8000057\r
+:0100a9000056\r
+:0100aa000055\r
+:0100ab000054\r
+:0100ac000053\r
+:0100ad000052\r
+:0100ae000051\r
+:0100af000050\r
+:0100b000004f\r
+:0100b100004e\r
+:0100b200004d\r
+:0100b300004c\r
+:0100b400004b\r
+:0100b500004a\r
+:0100b6000049\r
+:0100b7000048\r
+:0100b8000047\r
+:0100b9000046\r
+:0100ba000045\r
+:0100bb000044\r
+:0100bc000043\r
+:0100bd000042\r
+:0100be000041\r
+:0100bf0090b0\r
+:0100c000033c\r
+:0100c100003e\r
+:0100c200003d\r
+:0100c300003c\r
+:0100c400003b\r
+:0100c500003a\r
+:0100c6000039\r
+:0100c7000038\r
+:0100c8000037\r
+:0100c9000036\r
+:0100ca000035\r
+:0100cb000034\r
+:0100cc000033\r
+:0100cd000032\r
+:0100ce000031\r
+:0100cf000030\r
+:0100d000002f\r
+:0100d100002e\r
+:0100d200002d\r
+:0100d300002c\r
+:0100d400002b\r
+:0100d500002a\r
+:0100d6000029\r
+:0100d7000028\r
+:0100d8000027\r
+:0100d9000026\r
+:0100da000025\r
+:0100db000024\r
+:0100dc000023\r
+:0100dd000022\r
+:0100de000021\r
+:0100df000020\r
+:0100e000001f\r
+:0100e100001e\r
+:0100e200001d\r
+:0100e300001c\r
+:0100e400001b\r
+:0100e500001a\r
+:0100e6000019\r
+:0100e7000018\r
+:0100e8000017\r
+:0100e9000016\r
+:0100ea000015\r
+:0100eb000014\r
+:0100ec000013\r
+:0100ed000012\r
+:0100ee000011\r
+:0100ef000010\r
+:0100f000000f\r
+:0100f100000e\r
+:0100f200000d\r
+:0100f300000c\r
+:0100f400000b\r
+:0100f500000a\r
+:0100f6000009\r
+:0100f7000008\r
+:0100f8000007\r
+:0100f9000006\r
+:0100fa000005\r
+:0100fb000004\r
+:0100fc000003\r
+:0100fd000002\r
+:0100fe000001\r
+:0100ff009070\r
+:0101000003fb\r
+:0101010000fd\r
+:0101020000fc\r
+:0101030000fb\r
+:0101040000fa\r
+:0101050000f9\r
+:0101060000f8\r
+:0101070000f7\r
+:0101080000f6\r
+:0101090000f5\r
+:01010a0000f4\r
+:01010b0000f3\r
+:01010c0000f2\r
+:01010d0000f1\r
+:01010e0000f0\r
+:01010f0000ef\r
+:0101100000ee\r
+:0101110000ed\r
+:0101120000ec\r
+:0101130000eb\r
+:0101140000ea\r
+:0101150000e9\r
+:0101160000e8\r
+:0101170000e7\r
+:0101180000e6\r
+:0101190000e5\r
+:01011a0000e4\r
+:01011b0000e3\r
+:01011c0000e2\r
+:01011d0000e1\r
+:01011e0000e0\r
+:01011f0000df\r
+:0101200000de\r
+:0101210000dd\r
+:0101220000dc\r
+:0101230000db\r
+:0101240000da\r
+:0101250000d9\r
+:0101260000d8\r
+:0101270000d7\r
+:0101280000d6\r
+:0101290000d5\r
+:01012a0000d4\r
+:01012b0000d3\r
+:01012c0000d2\r
+:01012d0000d1\r
+:01012e0000d0\r
+:01012f0000cf\r
+:0101300000ce\r
+:0101310000cd\r
+:0101320000cc\r
+:0101330000cb\r
+:0101340000ca\r
+:0101350000c9\r
+:0101360000c8\r
+:0101370000c7\r
+:0101380000c6\r
+:0101390000c5\r
+:01013a0000c4\r
+:01013b0000c3\r
+:01013c0000c2\r
+:01013d0000c1\r
+:01013e0000c0\r
+:01013f00902f\r
+:0101400003bb\r
+:0101410000bd\r
+:0101420000bc\r
+:0101430000bb\r
+:0101440000ba\r
+:0101450000b9\r
+:0101460000b8\r
+:0101470000b7\r
+:0101480000b6\r
+:0101490000b5\r
+:01014a0000b4\r
+:01014b0000b3\r
+:01014c0000b2\r
+:01014d0000b1\r
+:01014e0000b0\r
+:01014f0000af\r
+:0101500000ae\r
+:0101510000ad\r
+:0101520000ac\r
+:0101530000ab\r
+:0101540000aa\r
+:0101550000a9\r
+:0101560000a8\r
+:0101570000a7\r
+:0101580000a6\r
+:0101590000a5\r
+:01015a0000a4\r
+:01015b0000a3\r
+:01015c0000a2\r
+:01015d0000a1\r
+:01015e0000a0\r
+:01015f00009f\r
+:01016000009e\r
+:01016100009d\r
+:01016200009c\r
+:01016300009b\r
+:01016400009a\r
+:010165000099\r
+:010166000098\r
+:010167000097\r
+:010168000096\r
+:010169000095\r
+:01016a000094\r
+:01016b000093\r
+:01016c000092\r
+:01016d000091\r
+:01016e000090\r
+:01016f00008f\r
+:01017000008e\r
+:01017100008d\r
+:01017200008c\r
+:01017300008b\r
+:01017400008a\r
+:010175000089\r
+:010176000088\r
+:010177000087\r
+:010178000086\r
+:010179000085\r
+:01017a000084\r
+:01017b000083\r
+:01017c000082\r
+:01017d000081\r
+:01017e000080\r
+:01017f0090ef\r
+:01018000037b\r
+:01018100007d\r
+:01018200007c\r
+:01018300007b\r
+:01018400007a\r
+:010185000079\r
+:010186000078\r
+:010187000077\r
+:010188000076\r
+:010189000075\r
+:01018a000074\r
+:01018b000073\r
+:01018c000072\r
+:01018d000071\r
+:01018e000070\r
+:01018f00006f\r
+:01019000006e\r
+:01019100006d\r
+:01019200006c\r
+:01019300006b\r
+:01019400006a\r
+:010195000069\r
+:010196000068\r
+:010197000067\r
+:010198000066\r
+:010199000065\r
+:01019a000064\r
+:01019b000063\r
+:01019c000062\r
+:01019d000061\r
+:01019e000060\r
+:01019f00005f\r
+:0101a000005e\r
+:0101a100005d\r
+:0101a200005c\r
+:0101a300005b\r
+:0101a400005a\r
+:0101a5000059\r
+:0101a6000058\r
+:0101a7000057\r
+:0101a8000056\r
+:0101a9000055\r
+:0101aa000054\r
+:0101ab000053\r
+:0101ac000052\r
+:0101ad000051\r
+:0101ae000050\r
+:0101af00004f\r
+:0101b000004e\r
+:0101b100004d\r
+:0101b200004c\r
+:0101b300004b\r
+:0101b400004a\r
+:0101b5000049\r
+:0101b6000048\r
+:0101b7000047\r
+:0101b8000046\r
+:0101b9000045\r
+:0101ba000044\r
+:0101bb000043\r
+:0101bc000042\r
+:0101bd000041\r
+:0101be000040\r
+:0101bf0090af\r
+:0101c000033b\r
+:0101c100003d\r
+:0101c200003c\r
+:0101c300003b\r
+:0101c400003a\r
+:0101c5000039\r
+:0101c6000038\r
+:0101c7000037\r
+:0101c8000036\r
+:0101c9000035\r
+:0101ca000034\r
+:0101cb000033\r
+:0101cc000032\r
+:0101cd000031\r
+:0101ce000030\r
+:0101cf00002f\r
+:0101d000002e\r
+:0101d100002d\r
+:0101d200002c\r
+:0101d300002b\r
+:0101d400002a\r
+:0101d5000029\r
+:0101d6000028\r
+:0101d7000027\r
+:0101d8000026\r
+:0101d9000025\r
+:0101da000024\r
+:0101db000023\r
+:0101dc000022\r
+:0101dd000021\r
+:0101de000020\r
+:0101df00001f\r
+:0101e000001e\r
+:0101e100001d\r
+:0101e200001c\r
+:0101e300001b\r
+:0101e400001a\r
+:0101e5000019\r
+:0101e6000018\r
+:0101e7000017\r
+:0101e8000016\r
+:0101e9000015\r
+:0101ea000014\r
+:0101eb000013\r
+:0101ec000012\r
+:0101ed000011\r
+:0101ee000010\r
+:0101ef00000f\r
+:0101f000000e\r
+:0101f100000d\r
+:0101f200000c\r
+:0101f300000b\r
+:0101f400000a\r
+:0101f5000009\r
+:0101f6000008\r
+:0101f7000007\r
+:0101f8000006\r
+:0101f9000005\r
+:0101fa000004\r
+:0101fb000003\r
+:0101fc000002\r
+:0101fd000001\r
+:0101fe000000\r
+:0101ff00906f\r
+:0102000003fa\r
+:0102010000fc\r
+:0102020000fb\r
+:0102030000fa\r
+:0102040000f9\r
+:0102050000f8\r
+:0102060000f7\r
+:0102070000f6\r
+:0102080000f5\r
+:0102090000f4\r
+:01020a0000f3\r
+:01020b0000f2\r
+:01020c0000f1\r
+:01020d0000f0\r
+:01020e0000ef\r
+:01020f0000ee\r
+:0102100000ed\r
+:0102110000ec\r
+:0102120000eb\r
+:0102130000ea\r
+:0102140000e9\r
+:0102150000e8\r
+:0102160000e7\r
+:0102170000e6\r
+:0102180000e5\r
+:0102190000e4\r
+:01021a0000e3\r
+:01021b0000e2\r
+:01021c0000e1\r
+:01021d0000e0\r
+:01021e0000df\r
+:01021f0000de\r
+:0102200000dd\r
+:0102210000dc\r
+:0102220000db\r
+:0102230000da\r
+:0102240000d9\r
+:0102250000d8\r
+:0102260000d7\r
+:0102270000d6\r
+:0102280000d5\r
+:0102290000d4\r
+:01022a0000d3\r
+:01022b0000d2\r
+:01022c0000d1\r
+:01022d0000d0\r
+:01022e0000cf\r
+:01022f0000ce\r
+:0102300000cd\r
+:0102310000cc\r
+:0102320000cb\r
+:0102330000ca\r
+:0102340000c9\r
+:0102350000c8\r
+:0102360000c7\r
+:0102370000c6\r
+:0102380000c5\r
+:0102390000c4\r
+:01023a0000c3\r
+:01023b0000c2\r
+:01023c0000c1\r
+:01023d0000c0\r
+:01023e0000bf\r
+:01023f00902e\r
+:0102400003ba\r
+:0102410000bc\r
+:0102420000bb\r
+:0102430000ba\r
+:0102440000b9\r
+:0102450000b8\r
+:0102460000b7\r
+:0102470000b6\r
+:0102480000b5\r
+:0102490000b4\r
+:01024a0000b3\r
+:01024b0000b2\r
+:01024c0000b1\r
+:01024d0000b0\r
+:01024e0000af\r
+:01024f0000ae\r
+:0102500000ad\r
+:0102510000ac\r
+:0102520000ab\r
+:0102530000aa\r
+:0102540000a9\r
+:0102550000a8\r
+:0102560000a7\r
+:0102570000a6\r
+:0102580000a5\r
+:0102590000a4\r
+:01025a0000a3\r
+:01025b0000a2\r
+:01025c0000a1\r
+:01025d0000a0\r
+:01025e00009f\r
+:01025f00009e\r
+:01026000009d\r
+:01026100009c\r
+:01026200009b\r
+:01026300009a\r
+:010264000099\r
+:010265000098\r
+:010266000097\r
+:010267000096\r
+:010268000095\r
+:010269000094\r
+:01026a000093\r
+:01026b000092\r
+:01026c000091\r
+:01026d000090\r
+:01026e00008f\r
+:01026f00008e\r
+:01027000008d\r
+:01027100008c\r
+:01027200008b\r
+:01027300008a\r
+:010274000089\r
+:010275000088\r
+:010276000087\r
+:010277000086\r
+:010278000085\r
+:010279000084\r
+:01027a000083\r
+:01027b000082\r
+:01027c000081\r
+:01027d000080\r
+:01027e00007f\r
+:01027f0090ee\r
+:01028000037a\r
+:01028100007c\r
+:01028200007b\r
+:01028300007a\r
+:010284000079\r
+:010285000078\r
+:010286000077\r
+:010287000076\r
+:010288000075\r
+:010289000074\r
+:01028a000073\r
+:01028b000072\r
+:01028c000071\r
+:01028d000070\r
+:01028e00006f\r
+:01028f00006e\r
+:01029000006d\r
+:01029100006c\r
+:01029200006b\r
+:01029300006a\r
+:010294000069\r
+:010295000068\r
+:010296000067\r
+:010297000066\r
+:010298000065\r
+:010299000064\r
+:01029a000063\r
+:01029b000062\r
+:01029c000061\r
+:01029d000060\r
+:01029e00005f\r
+:01029f00005e\r
+:0102a000005d\r
+:0102a100005c\r
+:0102a200005b\r
+:0102a300005a\r
+:0102a4000059\r
+:0102a5000058\r
+:0102a6000057\r
+:0102a7000056\r
+:0102a8000055\r
+:0102a9000054\r
+:0102aa000053\r
+:0102ab000052\r
+:0102ac000051\r
+:0102ad000050\r
+:0102ae00004f\r
+:0102af00004e\r
+:0102b000004d\r
+:0102b100004c\r
+:0102b200004b\r
+:0102b300004a\r
+:0102b4000049\r
+:0102b5000048\r
+:0102b6000047\r
+:0102b7000046\r
+:0102b8000045\r
+:0102b9000044\r
+:0102ba000043\r
+:0102bb000042\r
+:0102bc000041\r
+:0102bd000040\r
+:0102be00003f\r
+:0102bf0090ae\r
+:0102c000033a\r
+:0102c100003c\r
+:0102c200003b\r
+:0102c300003a\r
+:0102c4000039\r
+:0102c5000038\r
+:0102c6000037\r
+:0102c7000036\r
+:0102c8000035\r
+:0102c9000034\r
+:0102ca000033\r
+:0102cb000032\r
+:0102cc000031\r
+:0102cd000030\r
+:0102ce00002f\r
+:0102cf00002e\r
+:0102d000002d\r
+:0102d100002c\r
+:0102d200002b\r
+:0102d300002a\r
+:0102d4000029\r
+:0102d5000028\r
+:0102d6000027\r
+:0102d7000026\r
+:0102d8000025\r
+:0102d9000024\r
+:0102da000023\r
+:0102db000022\r
+:0102dc000021\r
+:0102dd000020\r
+:0102de00001f\r
+:0102df00001e\r
+:0102e000001d\r
+:0102e100001c\r
+:0102e200001b\r
+:0102e300001a\r
+:0102e4000019\r
+:0102e5000018\r
+:0102e6000017\r
+:0102e7000016\r
+:0102e8000015\r
+:0102e9000014\r
+:0102ea000013\r
+:0102eb000012\r
+:0102ec000011\r
+:0102ed000010\r
+:0102ee00000f\r
+:0102ef00000e\r
+:0102f000000d\r
+:0102f100000c\r
+:0102f200000b\r
+:0102f300000a\r
+:0102f4000009\r
+:0102f5000008\r
+:0102f6000007\r
+:0102f7000006\r
+:0102f8000005\r
+:0102f9000004\r
+:0102fa000003\r
+:0102fb000002\r
+:0102fc000001\r
+:0102fd000000\r
+:0102fe0000ff\r
+:0102ff00906e\r
+:0103000003f9\r
+:0103010000fb\r
+:0103020000fa\r
+:0103030000f9\r
+:0103040000f8\r
+:0103050000f7\r
+:0103060000f6\r
+:0103070000f5\r
+:0103080000f4\r
+:0103090000f3\r
+:01030a0000f2\r
+:01030b0000f1\r
+:01030c0000f0\r
+:01030d0000ef\r
+:01030e0000ee\r
+:01030f0000ed\r
+:0103100000ec\r
+:0103110000eb\r
+:0103120000ea\r
+:0103130000e9\r
+:0103140000e8\r
+:0103150000e7\r
+:0103160000e6\r
+:0103170000e5\r
+:0103180000e4\r
+:0103190000e3\r
+:01031a0000e2\r
+:01031b0000e1\r
+:01031c0000e0\r
+:01031d0000df\r
+:01031e0000de\r
+:01031f0000dd\r
+:0103200000dc\r
+:0103210000db\r
+:0103220000da\r
+:0103230000d9\r
+:0103240000d8\r
+:0103250000d7\r
+:0103260000d6\r
+:0103270000d5\r
+:0103280000d4\r
+:0103290000d3\r
+:01032a0000d2\r
+:01032b0000d1\r
+:01032c0000d0\r
+:01032d0000cf\r
+:01032e0000ce\r
+:01032f0000cd\r
+:0103300000cc\r
+:0103310000cb\r
+:0103320000ca\r
+:0103330000c9\r
+:0103340000c8\r
+:0103350000c7\r
+:0103360000c6\r
+:0103370000c5\r
+:0103380000c4\r
+:0103390000c3\r
+:01033a0000c2\r
+:01033b0000c1\r
+:01033c0000c0\r
+:01033d0000bf\r
+:01033e0000be\r
+:01033f00902d\r
+:0103400003b9\r
+:0103410000bb\r
+:0103420000ba\r
+:0103430000b9\r
+:0103440000b8\r
+:0103450000b7\r
+:0103460000b6\r
+:0103470000b5\r
+:0103480000b4\r
+:0103490000b3\r
+:01034a0000b2\r
+:01034b0000b1\r
+:01034c0000b0\r
+:01034d0000af\r
+:01034e0000ae\r
+:01034f0000ad\r
+:0103500000ac\r
+:0103510000ab\r
+:0103520000aa\r
+:0103530000a9\r
+:0103540000a8\r
+:0103550000a7\r
+:0103560000a6\r
+:0103570000a5\r
+:0103580000a4\r
+:0103590000a3\r
+:01035a0000a2\r
+:01035b0000a1\r
+:01035c0000a0\r
+:01035d00009f\r
+:01035e00009e\r
+:01035f00009d\r
+:01036000009c\r
+:01036100009b\r
+:01036200009a\r
+:010363000099\r
+:010364000098\r
+:010365000097\r
+:010366000096\r
+:010367000095\r
+:010368000094\r
+:010369000093\r
+:01036a000092\r
+:01036b000091\r
+:01036c000090\r
+:01036d00008f\r
+:01036e00008e\r
+:01036f00008d\r
+:01037000008c\r
+:01037100008b\r
+:01037200008a\r
+:010373000089\r
+:010374000088\r
+:010375000087\r
+:010376000086\r
+:010377000085\r
+:010378000084\r
+:010379000083\r
+:01037a000082\r
+:01037b000081\r
+:01037c000080\r
+:01037d00007f\r
+:01037e00007e\r
+:01037f0090ed\r
+:010380000379\r
+:01038100007b\r
+:01038200007a\r
+:010383000079\r
+:010384000078\r
+:010385000077\r
+:010386000076\r
+:010387000075\r
+:010388000074\r
+:010389000073\r
+:01038a000072\r
+:01038b000071\r
+:01038c000070\r
+:01038d00006f\r
+:01038e00006e\r
+:01038f00006d\r
+:01039000006c\r
+:01039100006b\r
+:01039200006a\r
+:010393000069\r
+:010394000068\r
+:010395000067\r
+:010396000066\r
+:010397000065\r
+:010398000064\r
+:010399000063\r
+:01039a000062\r
+:01039b000061\r
+:01039c000060\r
+:01039d00005f\r
+:01039e00005e\r
+:01039f00005d\r
+:0103a000005c\r
+:0103a100005b\r
+:0103a200005a\r
+:0103a3000059\r
+:0103a4000058\r
+:0103a5000057\r
+:0103a6000056\r
+:0103a7000055\r
+:0103a8000054\r
+:0103a9000053\r
+:0103aa000052\r
+:0103ab000051\r
+:0103ac000050\r
+:0103ad00004f\r
+:0103ae00004e\r
+:0103af00004d\r
+:0103b000004c\r
+:0103b100004b\r
+:0103b200004a\r
+:0103b3000049\r
+:0103b4000048\r
+:0103b5000047\r
+:0103b6000046\r
+:0103b7000045\r
+:0103b8000044\r
+:0103b9000043\r
+:0103ba000042\r
+:0103bb000041\r
+:0103bc000040\r
+:0103bd00003f\r
+:0103be00003e\r
+:0103bf0090ad\r
+:0103c0000339\r
+:0103c100003b\r
+:0103c200003a\r
+:0103c3000039\r
+:0103c4000038\r
+:0103c5000037\r
+:0103c6000036\r
+:0103c7000035\r
+:0103c8000034\r
+:0103c9000033\r
+:0103ca000032\r
+:0103cb000031\r
+:0103cc000030\r
+:0103cd00002f\r
+:0103ce00002e\r
+:0103cf00002d\r
+:0103d000002c\r
+:0103d100002b\r
+:0103d200002a\r
+:0103d3000029\r
+:0103d4000028\r
+:0103d5000027\r
+:0103d6000026\r
+:0103d7000025\r
+:0103d8000024\r
+:0103d9000023\r
+:0103da000022\r
+:0103db000021\r
+:0103dc000020\r
+:0103dd00001f\r
+:0103de00001e\r
+:0103df00001d\r
+:0103e000001c\r
+:0103e100001b\r
+:0103e200001a\r
+:0103e3000019\r
+:0103e4000018\r
+:0103e5000017\r
+:0103e6000016\r
+:0103e7000015\r
+:0103e8000014\r
+:0103e9000013\r
+:0103ea000012\r
+:0103eb000011\r
+:0103ec000010\r
+:0103ed00000f\r
+:0103ee00000e\r
+:0103ef00000d\r
+:0103f000000c\r
+:0103f100000b\r
+:0103f200000a\r
+:0103f3000009\r
+:0103f4000008\r
+:0103f5000007\r
+:0103f6000006\r
+:0103f7000005\r
+:0103f8000004\r
+:0103f9000003\r
+:0103fa000002\r
+:0103fb000001\r
+:0103fc000000\r
+:0103fd0000ff\r
+:0103fe0000fe\r
+:0103ff00906d\r
+:0104000003f8\r
+:0104010000fa\r
+:0104020000f9\r
+:0104030000f8\r
+:0104040000f7\r
+:0104050000f6\r
+:0104060000f5\r
+:0104070000f4\r
+:0104080000f3\r
+:0104090000f2\r
+:01040a0000f1\r
+:01040b0000f0\r
+:01040c0000ef\r
+:01040d0000ee\r
+:01040e0000ed\r
+:01040f0000ec\r
+:0104100000eb\r
+:0104110000ea\r
+:0104120000e9\r
+:0104130000e8\r
+:0104140000e7\r
+:0104150000e6\r
+:0104160000e5\r
+:0104170000e4\r
+:0104180000e3\r
+:0104190000e2\r
+:01041a0000e1\r
+:01041b0000e0\r
+:01041c0000df\r
+:01041d0000de\r
+:01041e0000dd\r
+:01041f0000dc\r
+:0104200000db\r
+:0104210000da\r
+:0104220000d9\r
+:0104230000d8\r
+:0104240000d7\r
+:0104250000d6\r
+:0104260000d5\r
+:0104270000d4\r
+:0104280000d3\r
+:0104290000d2\r
+:01042a0000d1\r
+:01042b0000d0\r
+:01042c0000cf\r
+:01042d0000ce\r
+:01042e0000cd\r
+:01042f0000cc\r
+:0104300000cb\r
+:0104310000ca\r
+:0104320000c9\r
+:0104330000c8\r
+:0104340000c7\r
+:0104350000c6\r
+:0104360000c5\r
+:0104370000c4\r
+:0104380000c3\r
+:0104390000c2\r
+:01043a0000c1\r
+:01043b0000c0\r
+:01043c0000bf\r
+:01043d0000be\r
+:01043e0000bd\r
+:01043f00902c\r
+:0104400003b8\r
+:0104410000ba\r
+:0104420000b9\r
+:0104430000b8\r
+:0104440000b7\r
+:0104450000b6\r
+:0104460000b5\r
+:0104470000b4\r
+:0104480000b3\r
+:0104490000b2\r
+:01044a0000b1\r
+:01044b0000b0\r
+:01044c0000af\r
+:01044d0000ae\r
+:01044e0000ad\r
+:01044f0000ac\r
+:0104500000ab\r
+:0104510000aa\r
+:0104520000a9\r
+:0104530000a8\r
+:0104540000a7\r
+:0104550000a6\r
+:0104560000a5\r
+:0104570000a4\r
+:0104580000a3\r
+:0104590000a2\r
+:01045a0000a1\r
+:01045b0000a0\r
+:01045c00009f\r
+:01045d00009e\r
+:01045e00009d\r
+:01045f00009c\r
+:01046000009b\r
+:01046100009a\r
+:010462000099\r
+:010463000098\r
+:010464000097\r
+:010465000096\r
+:010466000095\r
+:010467000094\r
+:010468000093\r
+:010469000092\r
+:01046a000091\r
+:01046b000090\r
+:01046c00008f\r
+:01046d00008e\r
+:01046e00008d\r
+:01046f00008c\r
+:01047000008b\r
+:01047100008a\r
+:010472000089\r
+:010473000088\r
+:010474000087\r
+:010475000086\r
+:010476000085\r
+:010477000084\r
+:010478000083\r
+:010479000082\r
+:01047a000081\r
+:01047b000080\r
+:01047c00007f\r
+:01047d00007e\r
+:01047e00007d\r
+:01047f0090ec\r
+:010480000378\r
+:01048100007a\r
+:010482000079\r
+:010483000078\r
+:010484000077\r
+:010485000076\r
+:010486000075\r
+:010487000074\r
+:010488000073\r
+:010489000072\r
+:01048a000071\r
+:01048b000070\r
+:01048c00006f\r
+:01048d00006e\r
+:01048e00006d\r
+:01048f00006c\r
+:01049000006b\r
+:01049100006a\r
+:010492000069\r
+:010493000068\r
+:010494000067\r
+:010495000066\r
+:010496000065\r
+:010497000064\r
+:010498000063\r
+:010499000062\r
+:01049a000061\r
+:01049b000060\r
+:01049c00005f\r
+:01049d00005e\r
+:01049e00005d\r
+:01049f00005c\r
+:0104a000005b\r
+:0104a100005a\r
+:0104a2000059\r
+:0104a3000058\r
+:0104a4000057\r
+:0104a5000056\r
+:0104a6000055\r
+:0104a7000054\r
+:0104a8000053\r
+:0104a9000052\r
+:0104aa000051\r
+:0104ab000050\r
+:0104ac00004f\r
+:0104ad00004e\r
+:0104ae00004d\r
+:0104af00004c\r
+:0104b000004b\r
+:0104b100004a\r
+:0104b2000049\r
+:0104b3000048\r
+:0104b4000047\r
+:0104b5000046\r
+:0104b6000045\r
+:0104b7000044\r
+:0104b8000043\r
+:0104b9000042\r
+:0104ba000041\r
+:0104bb000040\r
+:0104bc00003f\r
+:0104bd00003e\r
+:0104be00003d\r
+:0104bf0090ac\r
+:0104c0000338\r
+:0104c100003a\r
+:0104c2000039\r
+:0104c3000038\r
+:0104c4000037\r
+:0104c5000036\r
+:0104c6000035\r
+:0104c7000034\r
+:0104c8000033\r
+:0104c9000032\r
+:0104ca000031\r
+:0104cb000030\r
+:0104cc00002f\r
+:0104cd00002e\r
+:0104ce00002d\r
+:0104cf00002c\r
+:0104d000002b\r
+:0104d100002a\r
+:0104d2000029\r
+:0104d3000028\r
+:0104d4000027\r
+:0104d5000026\r
+:0104d6000025\r
+:0104d7000024\r
+:0104d8000023\r
+:0104d9000022\r
+:0104da000021\r
+:0104db000020\r
+:0104dc00001f\r
+:0104dd00001e\r
+:0104de00001d\r
+:0104df00001c\r
+:0104e000001b\r
+:0104e100001a\r
+:0104e2000019\r
+:0104e3000018\r
+:0104e4000017\r
+:0104e5000016\r
+:0104e6000015\r
+:0104e7000014\r
+:0104e8000013\r
+:0104e9000012\r
+:0104ea000011\r
+:0104eb000010\r
+:0104ec00000f\r
+:0104ed00000e\r
+:0104ee00000d\r
+:0104ef00000c\r
+:0104f000000b\r
+:0104f100000a\r
+:0104f2000009\r
+:0104f3000008\r
+:0104f4000007\r
+:0104f5000006\r
+:0104f6000005\r
+:0104f7000004\r
+:0104f8000003\r
+:0104f9000002\r
+:0104fa000001\r
+:0104fb000000\r
+:0104fc0000ff\r
+:0104fd0000fe\r
+:0104fe0000fd\r
+:0104ff00906c\r
+:0105000003f7\r
+:0105010000f9\r
+:0105020000f8\r
+:0105030000f7\r
+:0105040000f6\r
+:0105050000f5\r
+:0105060000f4\r
+:0105070000f3\r
+:0105080000f2\r
+:0105090000f1\r
+:01050a0000f0\r
+:01050b0000ef\r
+:01050c0000ee\r
+:01050d0000ed\r
+:01050e0000ec\r
+:01050f0000eb\r
+:0105100000ea\r
+:0105110000e9\r
+:0105120000e8\r
+:0105130000e7\r
+:0105140000e6\r
+:0105150000e5\r
+:0105160000e4\r
+:0105170000e3\r
+:0105180000e2\r
+:0105190000e1\r
+:01051a0000e0\r
+:01051b0000df\r
+:01051c0000de\r
+:01051d0000dd\r
+:01051e0000dc\r
+:01051f0000db\r
+:0105200000da\r
+:0105210000d9\r
+:0105220000d8\r
+:0105230000d7\r
+:0105240000d6\r
+:0105250000d5\r
+:0105260000d4\r
+:0105270000d3\r
+:0105280000d2\r
+:0105290000d1\r
+:01052a0000d0\r
+:01052b0000cf\r
+:01052c0000ce\r
+:01052d0000cd\r
+:01052e0000cc\r
+:01052f0000cb\r
+:0105300000ca\r
+:0105310000c9\r
+:0105320000c8\r
+:0105330000c7\r
+:0105340000c6\r
+:0105350000c5\r
+:0105360000c4\r
+:0105370000c3\r
+:0105380000c2\r
+:0105390000c1\r
+:01053a0000c0\r
+:01053b0000bf\r
+:01053c0000be\r
+:01053d0000bd\r
+:01053e0000bc\r
+:01053f00902b\r
+:0105400003b7\r
+:0105410000b9\r
+:0105420000b8\r
+:0105430000b7\r
+:0105440000b6\r
+:0105450000b5\r
+:0105460000b4\r
+:0105470000b3\r
+:0105480000b2\r
+:0105490000b1\r
+:01054a0000b0\r
+:01054b0000af\r
+:01054c0000ae\r
+:01054d0000ad\r
+:01054e0000ac\r
+:01054f0000ab\r
+:0105500000aa\r
+:0105510000a9\r
+:0105520000a8\r
+:0105530000a7\r
+:0105540000a6\r
+:0105550000a5\r
+:0105560000a4\r
+:0105570000a3\r
+:0105580000a2\r
+:0105590000a1\r
+:01055a0000a0\r
+:01055b00009f\r
+:01055c00009e\r
+:01055d00009d\r
+:01055e00009c\r
+:01055f00009b\r
+:01056000009a\r
+:010561000099\r
+:010562000098\r
+:010563000097\r
+:010564000096\r
+:010565000095\r
+:010566000094\r
+:010567000093\r
+:010568000092\r
+:010569000091\r
+:01056a000090\r
+:01056b00008f\r
+:01056c00008e\r
+:01056d00008d\r
+:01056e00008c\r
+:01056f00008b\r
+:01057000008a\r
+:010571000089\r
+:010572000088\r
+:010573000087\r
+:010574000086\r
+:010575000085\r
+:010576000084\r
+:010577000083\r
+:010578000082\r
+:010579000081\r
+:01057a000080\r
+:01057b00007f\r
+:01057c00007e\r
+:01057d00007d\r
+:01057e00007c\r
+:01057f0090eb\r
+:010580000377\r
+:010581000079\r
+:010582000078\r
+:010583000077\r
+:010584000076\r
+:010585000075\r
+:010586000074\r
+:010587000073\r
+:010588000072\r
+:010589000071\r
+:01058a000070\r
+:01058b00006f\r
+:01058c00006e\r
+:01058d00006d\r
+:01058e00006c\r
+:01058f00006b\r
+:01059000006a\r
+:010591000069\r
+:010592000068\r
+:010593000067\r
+:010594000066\r
+:010595000065\r
+:010596000064\r
+:010597000063\r
+:010598000062\r
+:010599000061\r
+:01059a000060\r
+:01059b00005f\r
+:01059c00005e\r
+:01059d00005d\r
+:01059e00005c\r
+:01059f00005b\r
+:0105a000005a\r
+:0105a1000059\r
+:0105a2000058\r
+:0105a3000057\r
+:0105a4000056\r
+:0105a5000055\r
+:0105a6000054\r
+:0105a7000053\r
+:0105a8000052\r
+:0105a9000051\r
+:0105aa000050\r
+:0105ab00004f\r
+:0105ac00004e\r
+:0105ad00004d\r
+:0105ae00004c\r
+:0105af00004b\r
+:0105b000004a\r
+:0105b1000049\r
+:0105b2000048\r
+:0105b3000047\r
+:0105b4000046\r
+:0105b5000045\r
+:0105b6000044\r
+:0105b7000043\r
+:0105b8000042\r
+:0105b9000041\r
+:0105ba000040\r
+:0105bb00003f\r
+:0105bc00003e\r
+:0105bd00003d\r
+:0105be00003c\r
+:0105bf0090ab\r
+:0105c0000337\r
+:0105c1000039\r
+:0105c2000038\r
+:0105c3000037\r
+:0105c4000036\r
+:0105c5000035\r
+:0105c6000034\r
+:0105c7000033\r
+:0105c8000032\r
+:0105c9000031\r
+:0105ca000030\r
+:0105cb00002f\r
+:0105cc00002e\r
+:0105cd00002d\r
+:0105ce00002c\r
+:0105cf00002b\r
+:0105d000002a\r
+:0105d1000029\r
+:0105d2000028\r
+:0105d3000027\r
+:0105d4000026\r
+:0105d5000025\r
+:0105d6000024\r
+:0105d7000023\r
+:0105d8000022\r
+:0105d9000021\r
+:0105da000020\r
+:0105db00001f\r
+:0105dc00001e\r
+:0105dd00001d\r
+:0105de00001c\r
+:0105df00001b\r
+:0105e000001a\r
+:0105e1000019\r
+:0105e2000018\r
+:0105e3000017\r
+:0105e4000016\r
+:0105e5000015\r
+:0105e6000014\r
+:0105e7000013\r
+:0105e8000012\r
+:0105e9000011\r
+:0105ea000010\r
+:0105eb00000f\r
+:0105ec00000e\r
+:0105ed00000d\r
+:0105ee00000c\r
+:0105ef00000b\r
+:0105f000000a\r
+:0105f1000009\r
+:0105f2000008\r
+:0105f3000007\r
+:0105f4000006\r
+:0105f5000005\r
+:0105f6000004\r
+:0105f7000003\r
+:0105f8000002\r
+:0105f9000001\r
+:0105fa000000\r
+:0105fb0000ff\r
+:0105fc0000fe\r
+:0105fd0000fd\r
+:0105fe0000fc\r
+:0105ff00906b\r
+:0106000003f6\r
+:0106010000f8\r
+:0106020000f7\r
+:0106030000f6\r
+:0106040000f5\r
+:0106050000f4\r
+:0106060000f3\r
+:0106070000f2\r
+:0106080000f1\r
+:0106090000f0\r
+:01060a0000ef\r
+:01060b0000ee\r
+:01060c0000ed\r
+:01060d0000ec\r
+:01060e0000eb\r
+:01060f0000ea\r
+:0106100000e9\r
+:0106110000e8\r
+:0106120000e7\r
+:0106130000e6\r
+:0106140000e5\r
+:0106150000e4\r
+:0106160000e3\r
+:0106170000e2\r
+:0106180000e1\r
+:0106190000e0\r
+:01061a0000df\r
+:01061b0000de\r
+:01061c0000dd\r
+:01061d0000dc\r
+:01061e0000db\r
+:01061f0000da\r
+:0106200000d9\r
+:0106210000d8\r
+:0106220000d7\r
+:0106230000d6\r
+:0106240000d5\r
+:0106250000d4\r
+:0106260000d3\r
+:0106270000d2\r
+:0106280000d1\r
+:0106290000d0\r
+:01062a0000cf\r
+:01062b0000ce\r
+:01062c0000cd\r
+:01062d0000cc\r
+:01062e0000cb\r
+:01062f0000ca\r
+:0106300000c9\r
+:0106310000c8\r
+:0106320000c7\r
+:0106330000c6\r
+:0106340000c5\r
+:0106350000c4\r
+:0106360000c3\r
+:0106370000c2\r
+:0106380000c1\r
+:0106390000c0\r
+:01063a0000bf\r
+:01063b0000be\r
+:01063c0000bd\r
+:01063d0000bc\r
+:01063e0000bb\r
+:01063f00902a\r
+:0106400003b6\r
+:0106410000b8\r
+:0106420000b7\r
+:0106430000b6\r
+:0106440000b5\r
+:0106450000b4\r
+:0106460000b3\r
+:0106470000b2\r
+:0106480000b1\r
+:0106490000b0\r
+:01064a0000af\r
+:01064b0000ae\r
+:01064c0000ad\r
+:01064d0000ac\r
+:01064e0000ab\r
+:01064f0000aa\r
+:0106500000a9\r
+:0106510000a8\r
+:0106520000a7\r
+:0106530000a6\r
+:0106540000a5\r
+:0106550000a4\r
+:0106560000a3\r
+:0106570000a2\r
+:0106580000a1\r
+:0106590000a0\r
+:01065a00009f\r
+:01065b00009e\r
+:01065c00009d\r
+:01065d00009c\r
+:01065e00009b\r
+:01065f00009a\r
+:010660000099\r
+:010661000098\r
+:010662000097\r
+:010663000096\r
+:010664000095\r
+:010665000094\r
+:010666000093\r
+:010667000092\r
+:010668000091\r
+:010669000090\r
+:01066a00008f\r
+:01066b00008e\r
+:01066c00008d\r
+:01066d00008c\r
+:01066e00008b\r
+:01066f00008a\r
+:010670000089\r
+:010671000088\r
+:010672000087\r
+:010673000086\r
+:010674000085\r
+:010675000084\r
+:010676000083\r
+:010677000082\r
+:010678000081\r
+:010679000080\r
+:01067a00007f\r
+:01067b00007e\r
+:01067c00007d\r
+:01067d00007c\r
+:01067e00007b\r
+:01067f0090ea\r
+:010680000376\r
+:010681000078\r
+:010682000077\r
+:010683000076\r
+:010684000075\r
+:010685000074\r
+:010686000073\r
+:010687000072\r
+:010688000071\r
+:010689000070\r
+:01068a00006f\r
+:01068b00006e\r
+:01068c00006d\r
+:01068d00006c\r
+:01068e00006b\r
+:01068f00006a\r
+:010690000069\r
+:010691000068\r
+:010692000067\r
+:010693000066\r
+:010694000065\r
+:010695000064\r
+:010696000063\r
+:010697000062\r
+:010698000061\r
+:010699000060\r
+:01069a00005f\r
+:01069b00005e\r
+:01069c00005d\r
+:01069d00005c\r
+:01069e00005b\r
+:01069f00005a\r
+:0106a0000059\r
+:0106a1000058\r
+:0106a2000057\r
+:0106a3000056\r
+:0106a4000055\r
+:0106a5000054\r
+:0106a6000053\r
+:0106a7000052\r
+:0106a8000051\r
+:0106a9000050\r
+:0106aa00004f\r
+:0106ab00004e\r
+:0106ac00004d\r
+:0106ad00004c\r
+:0106ae00004b\r
+:0106af00004a\r
+:0106b0000049\r
+:0106b1000048\r
+:0106b2000047\r
+:0106b3000046\r
+:0106b4000045\r
+:0106b5000044\r
+:0106b6000043\r
+:0106b7000042\r
+:0106b8000041\r
+:0106b9000040\r
+:0106ba00003f\r
+:0106bb00003e\r
+:0106bc00003d\r
+:0106bd00003c\r
+:0106be00003b\r
+:0106bf0090aa\r
+:0106c0000336\r
+:0106c1000038\r
+:0106c2000037\r
+:0106c3000036\r
+:0106c4000035\r
+:0106c5000034\r
+:0106c6000033\r
+:0106c7000032\r
+:0106c8000031\r
+:0106c9000030\r
+:0106ca00002f\r
+:0106cb00002e\r
+:0106cc00002d\r
+:0106cd00002c\r
+:0106ce00002b\r
+:0106cf00002a\r
+:0106d0000029\r
+:0106d1000028\r
+:0106d2000027\r
+:0106d3000026\r
+:0106d4000025\r
+:0106d5000024\r
+:0106d6000023\r
+:0106d7000022\r
+:0106d8000021\r
+:0106d9000020\r
+:0106da00001f\r
+:0106db00001e\r
+:0106dc00001d\r
+:0106dd00001c\r
+:0106de00001b\r
+:0106df00001a\r
+:0106e0000019\r
+:0106e1000018\r
+:0106e2000017\r
+:0106e3000016\r
+:0106e4000015\r
+:0106e5000014\r
+:0106e6000013\r
+:0106e7000012\r
+:0106e8000011\r
+:0106e9000010\r
+:0106ea00000f\r
+:0106eb00000e\r
+:0106ec00000d\r
+:0106ed00000c\r
+:0106ee00000b\r
+:0106ef00000a\r
+:0106f0000009\r
+:0106f1000008\r
+:0106f2000007\r
+:0106f3000006\r
+:0106f4000005\r
+:0106f5000004\r
+:0106f6000003\r
+:0106f7000002\r
+:0106f8000001\r
+:0106f9000000\r
+:0106fa0000ff\r
+:0106fb0000fe\r
+:0106fc0000fd\r
+:0106fd0000fc\r
+:0106fe0000fb\r
+:0106ff00906a\r
+:0107000003f5\r
+:0107010000f7\r
+:0107020000f6\r
+:0107030000f5\r
+:0107040000f4\r
+:0107050000f3\r
+:0107060000f2\r
+:0107070000f1\r
+:0107080000f0\r
+:0107090000ef\r
+:01070a0000ee\r
+:01070b0000ed\r
+:01070c0000ec\r
+:01070d0000eb\r
+:01070e0000ea\r
+:01070f0000e9\r
+:0107100000e8\r
+:0107110000e7\r
+:0107120000e6\r
+:0107130000e5\r
+:0107140000e4\r
+:0107150000e3\r
+:0107160000e2\r
+:0107170000e1\r
+:0107180000e0\r
+:0107190000df\r
+:01071a0000de\r
+:01071b0000dd\r
+:01071c0000dc\r
+:01071d0000db\r
+:01071e0000da\r
+:01071f0000d9\r
+:0107200000d8\r
+:0107210000d7\r
+:0107220000d6\r
+:0107230000d5\r
+:0107240000d4\r
+:0107250000d3\r
+:0107260000d2\r
+:0107270000d1\r
+:0107280000d0\r
+:0107290000cf\r
+:01072a0000ce\r
+:01072b0000cd\r
+:01072c0000cc\r
+:01072d0000cb\r
+:01072e0000ca\r
+:01072f0000c9\r
+:0107300000c8\r
+:0107310000c7\r
+:0107320000c6\r
+:0107330000c5\r
+:0107340000c4\r
+:0107350000c3\r
+:0107360000c2\r
+:0107370000c1\r
+:0107380000c0\r
+:0107390000bf\r
+:01073a0000be\r
+:01073b0000bd\r
+:01073c0000bc\r
+:01073d0000bb\r
+:01073e0000ba\r
+:01073f009029\r
+:0107400003b5\r
+:0107410000b7\r
+:0107420000b6\r
+:0107430000b5\r
+:0107440000b4\r
+:0107450000b3\r
+:0107460000b2\r
+:0107470000b1\r
+:0107480000b0\r
+:0107490000af\r
+:01074a0000ae\r
+:01074b0000ad\r
+:01074c0000ac\r
+:01074d0000ab\r
+:01074e0000aa\r
+:01074f0000a9\r
+:0107500000a8\r
+:0107510000a7\r
+:0107520000a6\r
+:0107530000a5\r
+:0107540000a4\r
+:0107550000a3\r
+:0107560000a2\r
+:0107570000a1\r
+:0107580000a0\r
+:01075900009f\r
+:01075a00009e\r
+:01075b00009d\r
+:01075c00009c\r
+:01075d00009b\r
+:01075e00009a\r
+:01075f000099\r
+:010760000098\r
+:010761000097\r
+:010762000096\r
+:010763000095\r
+:010764000094\r
+:010765000093\r
+:010766000092\r
+:010767000091\r
+:010768000090\r
+:01076900008f\r
+:01076a00008e\r
+:01076b00008d\r
+:01076c00008c\r
+:01076d00008b\r
+:01076e00008a\r
+:01076f000089\r
+:010770000088\r
+:010771000087\r
+:010772000086\r
+:010773000085\r
+:010774000084\r
+:010775000083\r
+:010776000082\r
+:010777000081\r
+:010778000080\r
+:01077900007f\r
+:01077a00007e\r
+:01077b00007d\r
+:01077c00007c\r
+:01077d00007b\r
+:01077e00007a\r
+:01077f0090e9\r
+:010780000375\r
+:010781000077\r
+:010782000076\r
+:010783000075\r
+:010784000074\r
+:010785000073\r
+:010786000072\r
+:010787000071\r
+:010788000070\r
+:01078900006f\r
+:01078a00006e\r
+:01078b00006d\r
+:01078c00006c\r
+:01078d00006b\r
+:01078e00006a\r
+:01078f000069\r
+:010790000068\r
+:010791000067\r
+:010792000066\r
+:010793000065\r
+:010794000064\r
+:010795000063\r
+:010796000062\r
+:010797000061\r
+:010798000060\r
+:01079900005f\r
+:01079a00005e\r
+:01079b00005d\r
+:01079c00005c\r
+:01079d00005b\r
+:01079e00005a\r
+:01079f000059\r
+:0107a0000058\r
+:0107a1000057\r
+:0107a2000056\r
+:0107a3000055\r
+:0107a4000054\r
+:0107a5000053\r
+:0107a6000052\r
+:0107a7000051\r
+:0107a8000050\r
+:0107a900004f\r
+:0107aa00004e\r
+:0107ab00004d\r
+:0107ac00004c\r
+:0107ad00004b\r
+:0107ae00004a\r
+:0107af000049\r
+:0107b0000048\r
+:0107b1000047\r
+:0107b2000046\r
+:0107b3000045\r
+:0107b4000044\r
+:0107b5000043\r
+:0107b6000042\r
+:0107b7000041\r
+:0107b8000040\r
+:0107b900003f\r
+:0107ba00003e\r
+:0107bb00003d\r
+:0107bc00003c\r
+:0107bd00003b\r
+:0107be00003a\r
+:0107bf0090a9\r
+:0107c0000335\r
+:0107c1000037\r
+:0107c2000036\r
+:0107c3000035\r
+:0107c4000034\r
+:0107c5000033\r
+:0107c6000032\r
+:0107c7000031\r
+:0107c8000030\r
+:0107c900002f\r
+:0107ca00002e\r
+:0107cb00002d\r
+:0107cc00002c\r
+:0107cd00002b\r
+:0107ce00002a\r
+:0107cf000029\r
+:0107d0000028\r
+:0107d1000027\r
+:0107d2000026\r
+:0107d3000025\r
+:0107d4000024\r
+:0107d5000023\r
+:0107d6000022\r
+:0107d7000021\r
+:0107d8000020\r
+:0107d900001f\r
+:0107da00001e\r
+:0107db00001d\r
+:0107dc00001c\r
+:0107dd00001b\r
+:0107de00001a\r
+:0107df000019\r
+:0107e0000018\r
+:0107e1000017\r
+:0107e2000016\r
+:0107e3000015\r
+:0107e4000014\r
+:0107e5000013\r
+:0107e6000012\r
+:0107e7000011\r
+:0107e8000010\r
+:0107e900000f\r
+:0107ea00000e\r
+:0107eb00000d\r
+:0107ec00000c\r
+:0107ed00000b\r
+:0107ee00000a\r
+:0107ef000009\r
+:0107f0000008\r
+:0107f1000007\r
+:0107f2000006\r
+:0107f3000005\r
+:0107f4000004\r
+:0107f5000003\r
+:0107f6000002\r
+:0107f7000001\r
+:0107f8000000\r
+:0107f90000ff\r
+:0107fa0000fe\r
+:0107fb0000fd\r
+:0107fc0000fc\r
+:0107fd0000fb\r
+:0107fe0000fa\r
+:0107ff009069\r
+:0108000003f4\r
+:0108010000f6\r
+:0108020000f5\r
+:0108030000f4\r
+:0108040000f3\r
+:0108050000f2\r
+:0108060000f1\r
+:0108070000f0\r
+:0108080000ef\r
+:0108090000ee\r
+:01080a0000ed\r
+:01080b0000ec\r
+:01080c0000eb\r
+:01080d0000ea\r
+:01080e0000e9\r
+:01080f0000e8\r
+:0108100000e7\r
+:0108110000e6\r
+:0108120000e5\r
+:0108130000e4\r
+:0108140000e3\r
+:0108150000e2\r
+:0108160000e1\r
+:0108170000e0\r
+:0108180000df\r
+:0108190000de\r
+:01081a0000dd\r
+:01081b0000dc\r
+:01081c0000db\r
+:01081d0000da\r
+:01081e0000d9\r
+:01081f0000d8\r
+:0108200000d7\r
+:0108210000d6\r
+:0108220000d5\r
+:0108230000d4\r
+:0108240000d3\r
+:0108250000d2\r
+:0108260000d1\r
+:0108270000d0\r
+:0108280000cf\r
+:0108290000ce\r
+:01082a0000cd\r
+:01082b0000cc\r
+:01082c0000cb\r
+:01082d0000ca\r
+:01082e0000c9\r
+:01082f0000c8\r
+:0108300000c7\r
+:0108310000c6\r
+:0108320000c5\r
+:0108330000c4\r
+:0108340000c3\r
+:0108350000c2\r
+:0108360000c1\r
+:0108370000c0\r
+:0108380000bf\r
+:0108390000be\r
+:01083a0000bd\r
+:01083b0000bc\r
+:01083c0000bb\r
+:01083d0000ba\r
+:01083e0000b9\r
+:01083f009028\r
+:0108400003b4\r
+:0108410000b6\r
+:0108420000b5\r
+:0108430000b4\r
+:0108440000b3\r
+:0108450000b2\r
+:0108460000b1\r
+:0108470000b0\r
+:0108480000af\r
+:0108490000ae\r
+:01084a0000ad\r
+:01084b0000ac\r
+:01084c0000ab\r
+:01084d0000aa\r
+:01084e0000a9\r
+:01084f0000a8\r
+:0108500000a7\r
+:0108510000a6\r
+:0108520000a5\r
+:0108530000a4\r
+:0108540000a3\r
+:0108550000a2\r
+:0108560000a1\r
+:0108570000a0\r
+:01085800009f\r
+:01085900009e\r
+:01085a00009d\r
+:01085b00009c\r
+:01085c00009b\r
+:01085d00009a\r
+:01085e000099\r
+:01085f000098\r
+:010860000097\r
+:010861000096\r
+:010862000095\r
+:010863000094\r
+:010864000093\r
+:010865000092\r
+:010866000091\r
+:010867000090\r
+:01086800008f\r
+:01086900008e\r
+:01086a00008d\r
+:01086b00008c\r
+:01086c00008b\r
+:01086d00008a\r
+:01086e000089\r
+:01086f000088\r
+:010870000087\r
+:010871000086\r
+:010872000085\r
+:010873000084\r
+:010874000083\r
+:010875000082\r
+:010876000081\r
+:010877000080\r
+:01087800007f\r
+:01087900007e\r
+:01087a00007d\r
+:01087b00007c\r
+:01087c00007b\r
+:01087d00007a\r
+:01087e000079\r
+:01087f0090e8\r
+:010880000374\r
+:010881000076\r
+:010882000075\r
+:010883000074\r
+:010884000073\r
+:010885000072\r
+:010886000071\r
+:010887000070\r
+:01088800006f\r
+:01088900006e\r
+:01088a00006d\r
+:01088b00006c\r
+:01088c00006b\r
+:01088d00006a\r
+:01088e000069\r
+:01088f000068\r
+:010890000067\r
+:010891000066\r
+:010892000065\r
+:010893000064\r
+:010894000063\r
+:010895000062\r
+:010896000061\r
+:010897000060\r
+:01089800005f\r
+:01089900005e\r
+:01089a00005d\r
+:01089b00005c\r
+:01089c00005b\r
+:01089d00005a\r
+:01089e000059\r
+:01089f000058\r
+:0108a0000057\r
+:0108a1000056\r
+:0108a2000055\r
+:0108a3000054\r
+:0108a4000053\r
+:0108a5000052\r
+:0108a6000051\r
+:0108a7000050\r
+:0108a800004f\r
+:0108a900004e\r
+:0108aa00004d\r
+:0108ab00004c\r
+:0108ac00004b\r
+:0108ad00004a\r
+:0108ae000049\r
+:0108af000048\r
+:0108b0000047\r
+:0108b1000046\r
+:0108b2000045\r
+:0108b3000044\r
+:0108b4000043\r
+:0108b5000042\r
+:0108b6000041\r
+:0108b7000040\r
+:0108b800003f\r
+:0108b900003e\r
+:0108ba00003d\r
+:0108bb00003c\r
+:0108bc00003b\r
+:0108bd00003a\r
+:0108be000039\r
+:0108bf0090a8\r
+:0108c0000334\r
+:0108c1000036\r
+:0108c2000035\r
+:0108c3000034\r
+:0108c4000033\r
+:0108c5000032\r
+:0108c6000031\r
+:0108c7000030\r
+:0108c800002f\r
+:0108c900002e\r
+:0108ca00002d\r
+:0108cb00002c\r
+:0108cc00002b\r
+:0108cd00002a\r
+:0108ce000029\r
+:0108cf000028\r
+:0108d0000027\r
+:0108d1000026\r
+:0108d2000025\r
+:0108d3000024\r
+:0108d4000023\r
+:0108d5000022\r
+:0108d6000021\r
+:0108d7000020\r
+:0108d800001f\r
+:0108d900001e\r
+:0108da00001d\r
+:0108db00001c\r
+:0108dc00001b\r
+:0108dd00001a\r
+:0108de000019\r
+:0108df000018\r
+:0108e0000017\r
+:0108e1000016\r
+:0108e2000015\r
+:0108e3000014\r
+:0108e4000013\r
+:0108e5000012\r
+:0108e6000011\r
+:0108e7000010\r
+:0108e800000f\r
+:0108e900000e\r
+:0108ea00000d\r
+:0108eb00000c\r
+:0108ec00000b\r
+:0108ed00000a\r
+:0108ee000009\r
+:0108ef000008\r
+:0108f0000007\r
+:0108f1000006\r
+:0108f2000005\r
+:0108f3000004\r
+:0108f4000003\r
+:0108f5000002\r
+:0108f6000001\r
+:0108f7000000\r
+:0108f80000ff\r
+:0108f90000fe\r
+:0108fa0000fd\r
+:0108fb0000fc\r
+:0108fc0000fb\r
+:0108fd0000fa\r
+:0108fe0000f9\r
+:0108ff009068\r
+:0109000003f3\r
+:0109010000f5\r
+:0109020000f4\r
+:0109030000f3\r
+:0109040000f2\r
+:0109050000f1\r
+:0109060000f0\r
+:0109070000ef\r
+:0109080000ee\r
+:0109090000ed\r
+:01090a0000ec\r
+:01090b0000eb\r
+:01090c0000ea\r
+:01090d0000e9\r
+:01090e0000e8\r
+:01090f0000e7\r
+:0109100000e6\r
+:0109110000e5\r
+:0109120000e4\r
+:0109130000e3\r
+:0109140000e2\r
+:0109150000e1\r
+:0109160000e0\r
+:0109170000df\r
+:0109180000de\r
+:0109190000dd\r
+:01091a0000dc\r
+:01091b0000db\r
+:01091c0000da\r
+:01091d0000d9\r
+:01091e0000d8\r
+:01091f0000d7\r
+:0109200000d6\r
+:0109210000d5\r
+:0109220000d4\r
+:0109230000d3\r
+:0109240000d2\r
+:0109250000d1\r
+:0109260000d0\r
+:0109270000cf\r
+:0109280000ce\r
+:0109290000cd\r
+:01092a0000cc\r
+:01092b0000cb\r
+:01092c0000ca\r
+:01092d0000c9\r
+:01092e0000c8\r
+:01092f0000c7\r
+:0109300000c6\r
+:0109310000c5\r
+:0109320000c4\r
+:0109330000c3\r
+:0109340000c2\r
+:0109350000c1\r
+:0109360000c0\r
+:0109370000bf\r
+:0109380000be\r
+:0109390000bd\r
+:01093a0000bc\r
+:01093b0000bb\r
+:01093c0000ba\r
+:01093d0000b9\r
+:01093e0000b8\r
+:01093f009027\r
+:0109400003b3\r
+:0109410000b5\r
+:0109420000b4\r
+:0109430000b3\r
+:0109440000b2\r
+:0109450000b1\r
+:0109460000b0\r
+:0109470000af\r
+:0109480000ae\r
+:0109490000ad\r
+:01094a0000ac\r
+:01094b0000ab\r
+:01094c0000aa\r
+:01094d0000a9\r
+:01094e0000a8\r
+:01094f0000a7\r
+:0109500000a6\r
+:0109510000a5\r
+:0109520000a4\r
+:0109530000a3\r
+:0109540000a2\r
+:0109550000a1\r
+:0109560000a0\r
+:01095700009f\r
+:01095800009e\r
+:01095900009d\r
+:01095a00009c\r
+:01095b00009b\r
+:01095c00009a\r
+:01095d000099\r
+:01095e000098\r
+:01095f000097\r
+:010960000096\r
+:010961000095\r
+:010962000094\r
+:010963000093\r
+:010964000092\r
+:010965000091\r
+:010966000090\r
+:01096700008f\r
+:01096800008e\r
+:01096900008d\r
+:01096a00008c\r
+:01096b00008b\r
+:01096c00008a\r
+:01096d000089\r
+:01096e000088\r
+:01096f000087\r
+:010970000086\r
+:010971000085\r
+:010972000084\r
+:010973000083\r
+:010974000082\r
+:010975000081\r
+:010976000080\r
+:01097700007f\r
+:01097800007e\r
+:01097900007d\r
+:01097a00007c\r
+:01097b00007b\r
+:01097c00007a\r
+:01097d000079\r
+:01097e000078\r
+:01097f0090e7\r
+:010980000373\r
+:010981000075\r
+:010982000074\r
+:010983000073\r
+:010984000072\r
+:010985000071\r
+:010986000070\r
+:01098700006f\r
+:01098800006e\r
+:01098900006d\r
+:01098a00006c\r
+:01098b00006b\r
+:01098c00006a\r
+:01098d000069\r
+:01098e000068\r
+:01098f000067\r
+:010990000066\r
+:010991000065\r
+:010992000064\r
+:010993000063\r
+:010994000062\r
+:010995000061\r
+:010996000060\r
+:01099700005f\r
+:01099800005e\r
+:01099900005d\r
+:01099a00005c\r
+:01099b00005b\r
+:01099c00005a\r
+:01099d000059\r
+:01099e000058\r
+:01099f000057\r
+:0109a0000056\r
+:0109a1000055\r
+:0109a2000054\r
+:0109a3000053\r
+:0109a4000052\r
+:0109a5000051\r
+:0109a6000050\r
+:0109a700004f\r
+:0109a800004e\r
+:0109a900004d\r
+:0109aa00004c\r
+:0109ab00004b\r
+:0109ac00004a\r
+:0109ad000049\r
+:0109ae000048\r
+:0109af000047\r
+:0109b0000046\r
+:0109b1000045\r
+:0109b2000044\r
+:0109b3000043\r
+:0109b4000042\r
+:0109b5000041\r
+:0109b6000040\r
+:0109b700003f\r
+:0109b800003e\r
+:0109b900003d\r
+:0109ba00003c\r
+:0109bb00003b\r
+:0109bc00003a\r
+:0109bd000039\r
+:0109be000038\r
+:0109bf0090a7\r
+:0109c0000333\r
+:0109c1000035\r
+:0109c2000034\r
+:0109c3000033\r
+:0109c4000032\r
+:0109c5000031\r
+:0109c6000030\r
+:0109c700002f\r
+:0109c800002e\r
+:0109c900002d\r
+:0109ca00002c\r
+:0109cb00002b\r
+:0109cc00002a\r
+:0109cd000029\r
+:0109ce000028\r
+:0109cf000027\r
+:0109d0000026\r
+:0109d1000025\r
+:0109d2000024\r
+:0109d3000023\r
+:0109d4000022\r
+:0109d5000021\r
+:0109d6000020\r
+:0109d700001f\r
+:0109d800001e\r
+:0109d900001d\r
+:0109da00001c\r
+:0109db00001b\r
+:0109dc00001a\r
+:0109dd000019\r
+:0109de000018\r
+:0109df000017\r
+:0109e0000016\r
+:0109e1000015\r
+:0109e2000014\r
+:0109e3000013\r
+:0109e4000012\r
+:0109e5000011\r
+:0109e6000010\r
+:0109e700000f\r
+:0109e800000e\r
+:0109e900000d\r
+:0109ea00000c\r
+:0109eb00000b\r
+:0109ec00000a\r
+:0109ed000009\r
+:0109ee000008\r
+:0109ef000007\r
+:0109f0000006\r
+:0109f1000005\r
+:0109f2000004\r
+:0109f3000003\r
+:0109f4000002\r
+:0109f5000001\r
+:0109f6000000\r
+:0109f70000ff\r
+:0109f80000fe\r
+:0109f90000fd\r
+:0109fa0000fc\r
+:0109fb0000fb\r
+:0109fc0000fa\r
+:0109fd0000f9\r
+:0109fe0000f8\r
+:0109ff009067\r
+:010a000003f2\r
+:010a010000f4\r
+:010a020000f3\r
+:010a030000f2\r
+:010a040000f1\r
+:010a050000f0\r
+:010a060000ef\r
+:010a070000ee\r
+:010a080000ed\r
+:010a090000ec\r
+:010a0a0000eb\r
+:010a0b0000ea\r
+:010a0c0000e9\r
+:010a0d0000e8\r
+:010a0e0000e7\r
+:010a0f0000e6\r
+:010a100000e5\r
+:010a110000e4\r
+:010a120000e3\r
+:010a130000e2\r
+:010a140000e1\r
+:010a150000e0\r
+:010a160000df\r
+:010a170000de\r
+:010a180000dd\r
+:010a190000dc\r
+:010a1a0000db\r
+:010a1b0000da\r
+:010a1c0000d9\r
+:010a1d0000d8\r
+:010a1e0000d7\r
+:010a1f0000d6\r
+:010a200000d5\r
+:010a210000d4\r
+:010a220000d3\r
+:010a230000d2\r
+:010a240000d1\r
+:010a250000d0\r
+:010a260000cf\r
+:010a270000ce\r
+:010a280000cd\r
+:010a290000cc\r
+:010a2a0000cb\r
+:010a2b0000ca\r
+:010a2c0000c9\r
+:010a2d0000c8\r
+:010a2e0000c7\r
+:010a2f0000c6\r
+:010a300000c5\r
+:010a310000c4\r
+:010a320000c3\r
+:010a330000c2\r
+:010a340000c1\r
+:010a350000c0\r
+:010a360000bf\r
+:010a370000be\r
+:010a380000bd\r
+:010a390000bc\r
+:010a3a0000bb\r
+:010a3b0000ba\r
+:010a3c0000b9\r
+:010a3d0000b8\r
+:010a3e0000b7\r
+:010a3f009026\r
+:010a400003b2\r
+:010a410000b4\r
+:010a420000b3\r
+:010a430000b2\r
+:010a440000b1\r
+:010a450000b0\r
+:010a460000af\r
+:010a470000ae\r
+:010a480000ad\r
+:010a490000ac\r
+:010a4a0000ab\r
+:010a4b0000aa\r
+:010a4c0000a9\r
+:010a4d0000a8\r
+:010a4e0000a7\r
+:010a4f0000a6\r
+:010a500000a5\r
+:010a510000a4\r
+:010a520000a3\r
+:010a530000a2\r
+:010a540000a1\r
+:010a550000a0\r
+:010a5600009f\r
+:010a5700009e\r
+:010a5800009d\r
+:010a5900009c\r
+:010a5a00009b\r
+:010a5b00009a\r
+:010a5c000099\r
+:010a5d000098\r
+:010a5e000097\r
+:010a5f000096\r
+:010a60000095\r
+:010a61000094\r
+:010a62000093\r
+:010a63000092\r
+:010a64000091\r
+:010a65000090\r
+:010a6600008f\r
+:010a6700008e\r
+:010a6800008d\r
+:010a6900008c\r
+:010a6a00008b\r
+:010a6b00008a\r
+:010a6c000089\r
+:010a6d000088\r
+:010a6e000087\r
+:010a6f000086\r
+:010a70000085\r
+:010a71000084\r
+:010a72000083\r
+:010a73000082\r
+:010a74000081\r
+:010a75000080\r
+:010a7600007f\r
+:010a7700007e\r
+:010a7800007d\r
+:010a7900007c\r
+:010a7a00007b\r
+:010a7b00007a\r
+:010a7c000079\r
+:010a7d000078\r
+:010a7e000077\r
+:010a7f0090e6\r
+:010a80000372\r
+:010a81000074\r
+:010a82000073\r
+:010a83000072\r
+:010a84000071\r
+:010a85000070\r
+:010a8600006f\r
+:010a8700006e\r
+:010a8800006d\r
+:010a8900006c\r
+:010a8a00006b\r
+:010a8b00006a\r
+:010a8c000069\r
+:010a8d000068\r
+:010a8e000067\r
+:010a8f000066\r
+:010a90000065\r
+:010a91000064\r
+:010a92000063\r
+:010a93000062\r
+:010a94000061\r
+:010a95000060\r
+:010a9600005f\r
+:010a9700005e\r
+:010a9800005d\r
+:010a9900005c\r
+:010a9a00005b\r
+:010a9b00005a\r
+:010a9c000059\r
+:010a9d000058\r
+:010a9e000057\r
+:010a9f000056\r
+:010aa0000055\r
+:010aa1000054\r
+:010aa2000053\r
+:010aa3000052\r
+:010aa4000051\r
+:010aa5000050\r
+:010aa600004f\r
+:010aa700004e\r
+:010aa800004d\r
+:010aa900004c\r
+:010aaa00004b\r
+:010aab00004a\r
+:010aac000049\r
+:010aad000048\r
+:010aae000047\r
+:010aaf000046\r
+:010ab0000045\r
+:010ab1000044\r
+:010ab2000043\r
+:010ab3000042\r
+:010ab4000041\r
+:010ab5000040\r
+:010ab600003f\r
+:010ab700003e\r
+:010ab800003d\r
+:010ab900003c\r
+:010aba00003b\r
+:010abb00003a\r
+:010abc000039\r
+:010abd000038\r
+:010abe000037\r
+:010abf0090a6\r
+:010ac0000332\r
+:010ac1000034\r
+:010ac2000033\r
+:010ac3000032\r
+:010ac4000031\r
+:010ac5000030\r
+:010ac600002f\r
+:010ac700002e\r
+:010ac800002d\r
+:010ac900002c\r
+:010aca00002b\r
+:010acb00002a\r
+:010acc000029\r
+:010acd000028\r
+:010ace000027\r
+:010acf000026\r
+:010ad0000025\r
+:010ad1000024\r
+:010ad2000023\r
+:010ad3000022\r
+:010ad4000021\r
+:010ad5000020\r
+:010ad600001f\r
+:010ad700001e\r
+:010ad800001d\r
+:010ad900001c\r
+:010ada00001b\r
+:010adb00001a\r
+:010adc000019\r
+:010add000018\r
+:010ade000017\r
+:010adf000016\r
+:010ae0000015\r
+:010ae1000014\r
+:010ae2000013\r
+:010ae3000012\r
+:010ae4000011\r
+:010ae5000010\r
+:010ae600000f\r
+:010ae700000e\r
+:010ae800000d\r
+:010ae900000c\r
+:010aea00000b\r
+:010aeb00000a\r
+:010aec000009\r
+:010aed000008\r
+:010aee000007\r
+:010aef000006\r
+:010af0000005\r
+:010af1000004\r
+:010af2000003\r
+:010af3000002\r
+:010af4000001\r
+:010af5000000\r
+:010af60000ff\r
+:010af70000fe\r
+:010af80000fd\r
+:010af90000fc\r
+:010afa0000fb\r
+:010afb0000fa\r
+:010afc0000f9\r
+:010afd0000f8\r
+:010afe0000f7\r
+:010aff009066\r
+:010b000003f1\r
+:010b010000f3\r
+:010b020000f2\r
+:010b030000f1\r
+:010b040000f0\r
+:010b050000ef\r
+:010b060000ee\r
+:010b070000ed\r
+:010b080000ec\r
+:010b090000eb\r
+:010b0a0000ea\r
+:010b0b0000e9\r
+:010b0c0000e8\r
+:010b0d0000e7\r
+:010b0e0000e6\r
+:010b0f0000e5\r
+:010b100000e4\r
+:010b110000e3\r
+:010b120000e2\r
+:010b130000e1\r
+:010b140000e0\r
+:010b150000df\r
+:010b160000de\r
+:010b170000dd\r
+:010b180000dc\r
+:010b190000db\r
+:010b1a0000da\r
+:010b1b0000d9\r
+:010b1c0000d8\r
+:010b1d0000d7\r
+:010b1e0000d6\r
+:010b1f0000d5\r
+:010b200000d4\r
+:010b210000d3\r
+:010b220000d2\r
+:010b230000d1\r
+:010b240000d0\r
+:010b250000cf\r
+:010b260000ce\r
+:010b270000cd\r
+:010b280000cc\r
+:010b290000cb\r
+:010b2a0000ca\r
+:010b2b0000c9\r
+:010b2c0000c8\r
+:010b2d0000c7\r
+:010b2e0000c6\r
+:010b2f0000c5\r
+:010b300000c4\r
+:010b310000c3\r
+:010b320000c2\r
+:010b330000c1\r
+:010b340000c0\r
+:010b350000bf\r
+:010b360000be\r
+:010b370000bd\r
+:010b380000bc\r
+:010b390000bb\r
+:010b3a0000ba\r
+:010b3b0000b9\r
+:010b3c0000b8\r
+:010b3d0000b7\r
+:010b3e0000b6\r
+:010b3f009025\r
+:010b400003b1\r
+:010b410000b3\r
+:010b420000b2\r
+:010b430000b1\r
+:010b440000b0\r
+:010b450000af\r
+:010b460000ae\r
+:010b470000ad\r
+:010b480000ac\r
+:010b490000ab\r
+:010b4a0000aa\r
+:010b4b0000a9\r
+:010b4c0000a8\r
+:010b4d0000a7\r
+:010b4e0000a6\r
+:010b4f0000a5\r
+:010b500000a4\r
+:010b510000a3\r
+:010b520000a2\r
+:010b530000a1\r
+:010b540000a0\r
+:010b5500009f\r
+:010b5600009e\r
+:010b5700009d\r
+:010b5800009c\r
+:010b5900009b\r
+:010b5a00009a\r
+:010b5b000099\r
+:010b5c000098\r
+:010b5d000097\r
+:010b5e000096\r
+:010b5f000095\r
+:010b60000094\r
+:010b61000093\r
+:010b62000092\r
+:010b63000091\r
+:010b64000090\r
+:010b6500008f\r
+:010b6600008e\r
+:010b6700008d\r
+:010b6800008c\r
+:010b6900008b\r
+:010b6a00008a\r
+:010b6b000089\r
+:010b6c000088\r
+:010b6d000087\r
+:010b6e000086\r
+:010b6f000085\r
+:010b70000084\r
+:010b71000083\r
+:010b72000082\r
+:010b73000081\r
+:010b74000080\r
+:010b7500007f\r
+:010b7600007e\r
+:010b7700007d\r
+:010b7800007c\r
+:010b7900007b\r
+:010b7a00007a\r
+:010b7b000079\r
+:010b7c000078\r
+:010b7d000077\r
+:010b7e000076\r
+:010b7f0090e5\r
+:010b80000371\r
+:010b81000073\r
+:010b82000072\r
+:010b83000071\r
+:010b84000070\r
+:010b8500006f\r
+:010b8600006e\r
+:010b8700006d\r
+:010b8800006c\r
+:010b8900006b\r
+:010b8a00006a\r
+:010b8b000069\r
+:010b8c000068\r
+:010b8d000067\r
+:010b8e000066\r
+:010b8f000065\r
+:010b90000064\r
+:010b91000063\r
+:010b92000062\r
+:010b93000061\r
+:010b94000060\r
+:010b9500005f\r
+:010b9600005e\r
+:010b9700005d\r
+:010b9800005c\r
+:010b9900005b\r
+:010b9a00005a\r
+:010b9b000059\r
+:010b9c000058\r
+:010b9d000057\r
+:010b9e000056\r
+:010b9f000055\r
+:010ba0000054\r
+:010ba1000053\r
+:010ba2000052\r
+:010ba3000051\r
+:010ba4000050\r
+:010ba500004f\r
+:010ba600004e\r
+:010ba700004d\r
+:010ba800004c\r
+:010ba900004b\r
+:010baa00004a\r
+:010bab000049\r
+:010bac000048\r
+:010bad000047\r
+:010bae000046\r
+:010baf000045\r
+:010bb0000044\r
+:010bb1000043\r
+:010bb2000042\r
+:010bb3000041\r
+:010bb4000040\r
+:010bb500003f\r
+:010bb600003e\r
+:010bb700003d\r
+:010bb800003c\r
+:010bb900003b\r
+:010bba00003a\r
+:010bbb000039\r
+:010bbc000038\r
+:010bbd000037\r
+:010bbe000036\r
+:010bbf0090a5\r
+:010bc0000331\r
+:010bc1000033\r
+:010bc2000032\r
+:010bc3000031\r
+:010bc4000030\r
+:010bc500002f\r
+:010bc600002e\r
+:010bc700002d\r
+:010bc800002c\r
+:010bc900002b\r
+:010bca00002a\r
+:010bcb000029\r
+:010bcc000028\r
+:010bcd000027\r
+:010bce000026\r
+:010bcf000025\r
+:010bd0000024\r
+:010bd1000023\r
+:010bd2000022\r
+:010bd3000021\r
+:010bd4000020\r
+:010bd500001f\r
+:010bd600001e\r
+:010bd700001d\r
+:010bd800001c\r
+:010bd900001b\r
+:010bda00001a\r
+:010bdb000019\r
+:010bdc000018\r
+:010bdd000017\r
+:010bde000016\r
+:010bdf000015\r
+:010be0000014\r
+:010be1000013\r
+:010be2000012\r
+:010be3000011\r
+:010be4000010\r
+:010be500000f\r
+:010be600000e\r
+:010be700000d\r
+:010be800000c\r
+:010be900000b\r
+:010bea00000a\r
+:010beb000009\r
+:010bec000008\r
+:010bed000007\r
+:010bee000006\r
+:010bef000005\r
+:010bf0000004\r
+:010bf1000003\r
+:010bf2000002\r
+:010bf3000001\r
+:010bf4000000\r
+:010bf50000ff\r
+:010bf60000fe\r
+:010bf70000fd\r
+:010bf80000fc\r
+:010bf90000fb\r
+:010bfa0000fa\r
+:010bfb0000f9\r
+:010bfc0000f8\r
+:010bfd0000f7\r
+:010bfe0000f6\r
+:010bff009065\r
+:010c000003f0\r
+:010c010000f2\r
+:010c020000f1\r
+:010c030000f0\r
+:010c040000ef\r
+:010c050000ee\r
+:010c060000ed\r
+:010c070000ec\r
+:010c080000eb\r
+:010c090000ea\r
+:010c0a0000e9\r
+:010c0b0000e8\r
+:010c0c0000e7\r
+:010c0d0000e6\r
+:010c0e0000e5\r
+:010c0f0000e4\r
+:010c100000e3\r
+:010c110000e2\r
+:010c120000e1\r
+:010c130000e0\r
+:010c140000df\r
+:010c150000de\r
+:010c160000dd\r
+:010c170000dc\r
+:010c180000db\r
+:010c190000da\r
+:010c1a0000d9\r
+:010c1b0000d8\r
+:010c1c0000d7\r
+:010c1d0000d6\r
+:010c1e0000d5\r
+:010c1f0000d4\r
+:010c200000d3\r
+:010c210000d2\r
+:010c220000d1\r
+:010c230000d0\r
+:010c240000cf\r
+:010c250000ce\r
+:010c260000cd\r
+:010c270000cc\r
+:010c280000cb\r
+:010c290000ca\r
+:010c2a0000c9\r
+:010c2b0000c8\r
+:010c2c0000c7\r
+:010c2d0000c6\r
+:010c2e0000c5\r
+:010c2f0000c4\r
+:010c300000c3\r
+:010c310000c2\r
+:010c320000c1\r
+:010c330000c0\r
+:010c340000bf\r
+:010c350000be\r
+:010c360000bd\r
+:010c370000bc\r
+:010c380000bb\r
+:010c390000ba\r
+:010c3a0000b9\r
+:010c3b0000b8\r
+:010c3c0000b7\r
+:010c3d0000b6\r
+:010c3e0000b5\r
+:010c3f009024\r
+:010c400003b0\r
+:010c410000b2\r
+:010c420000b1\r
+:010c430000b0\r
+:010c440000af\r
+:010c450000ae\r
+:010c460000ad\r
+:010c470000ac\r
+:010c480000ab\r
+:010c490000aa\r
+:010c4a0000a9\r
+:010c4b0000a8\r
+:010c4c0000a7\r
+:010c4d0000a6\r
+:010c4e0000a5\r
+:010c4f0000a4\r
+:010c500000a3\r
+:010c510000a2\r
+:010c520000a1\r
+:010c530000a0\r
+:010c5400009f\r
+:010c5500009e\r
+:010c5600009d\r
+:010c5700009c\r
+:010c5800009b\r
+:010c5900009a\r
+:010c5a000099\r
+:010c5b000098\r
+:010c5c000097\r
+:010c5d000096\r
+:010c5e000095\r
+:010c5f000094\r
+:010c60000093\r
+:010c61000092\r
+:010c62000091\r
+:010c63000090\r
+:010c6400008f\r
+:010c6500008e\r
+:010c6600008d\r
+:010c6700008c\r
+:010c6800008b\r
+:010c6900008a\r
+:010c6a000089\r
+:010c6b000088\r
+:010c6c000087\r
+:010c6d000086\r
+:010c6e000085\r
+:010c6f000084\r
+:010c70000083\r
+:010c71000082\r
+:010c72000081\r
+:010c73000080\r
+:010c7400007f\r
+:010c7500007e\r
+:010c7600007d\r
+:010c7700007c\r
+:010c7800007b\r
+:010c7900007a\r
+:010c7a000079\r
+:010c7b000078\r
+:010c7c000077\r
+:010c7d000076\r
+:010c7e000075\r
+:010c7f0090e4\r
+:010c80000370\r
+:010c81000072\r
+:010c82000071\r
+:010c83000070\r
+:010c8400006f\r
+:010c8500006e\r
+:010c8600006d\r
+:010c8700006c\r
+:010c8800006b\r
+:010c8900006a\r
+:010c8a000069\r
+:010c8b000068\r
+:010c8c000067\r
+:010c8d000066\r
+:010c8e000065\r
+:010c8f000064\r
+:010c90000063\r
+:010c91000062\r
+:010c92000061\r
+:010c93000060\r
+:010c9400005f\r
+:010c9500005e\r
+:010c9600005d\r
+:010c9700005c\r
+:010c9800005b\r
+:010c9900005a\r
+:010c9a000059\r
+:010c9b000058\r
+:010c9c000057\r
+:010c9d000056\r
+:010c9e000055\r
+:010c9f000054\r
+:010ca0000053\r
+:010ca1000052\r
+:010ca2000051\r
+:010ca3000050\r
+:010ca400004f\r
+:010ca500004e\r
+:010ca600004d\r
+:010ca700004c\r
+:010ca800004b\r
+:010ca900004a\r
+:010caa000049\r
+:010cab000048\r
+:010cac000047\r
+:010cad000046\r
+:010cae000045\r
+:010caf000044\r
+:010cb0000043\r
+:010cb1000042\r
+:010cb2000041\r
+:010cb3000040\r
+:010cb400003f\r
+:010cb500003e\r
+:010cb600003d\r
+:010cb700003c\r
+:010cb800003b\r
+:010cb900003a\r
+:010cba000039\r
+:010cbb000038\r
+:010cbc000037\r
+:010cbd000036\r
+:010cbe000035\r
+:010cbf0090a4\r
+:010cc0000330\r
+:010cc1000032\r
+:010cc2000031\r
+:010cc3000030\r
+:010cc400002f\r
+:010cc500002e\r
+:010cc600002d\r
+:010cc700002c\r
+:010cc800002b\r
+:010cc900002a\r
+:010cca000029\r
+:010ccb000028\r
+:010ccc000027\r
+:010ccd000026\r
+:010cce000025\r
+:010ccf000024\r
+:010cd0000023\r
+:010cd1000022\r
+:010cd2000021\r
+:010cd3000020\r
+:010cd400001f\r
+:010cd500001e\r
+:010cd600001d\r
+:010cd700001c\r
+:010cd800001b\r
+:010cd900001a\r
+:010cda000019\r
+:010cdb000018\r
+:010cdc000017\r
+:010cdd000016\r
+:010cde000015\r
+:010cdf000014\r
+:010ce0000013\r
+:010ce1000012\r
+:010ce2000011\r
+:010ce3000010\r
+:010ce400000f\r
+:010ce500000e\r
+:010ce600000d\r
+:010ce700000c\r
+:010ce800000b\r
+:010ce900000a\r
+:010cea000009\r
+:010ceb000008\r
+:010cec000007\r
+:010ced000006\r
+:010cee000005\r
+:010cef000004\r
+:010cf0000003\r
+:010cf1000002\r
+:010cf2000001\r
+:010cf3000000\r
+:010cf40000ff\r
+:010cf50000fe\r
+:010cf60000fd\r
+:010cf70000fc\r
+:010cf80000fb\r
+:010cf90000fa\r
+:010cfa0000f9\r
+:010cfb0000f8\r
+:010cfc0000f7\r
+:010cfd0000f6\r
+:010cfe0000f5\r
+:010cff009064\r
+:010d000003ef\r
+:010d010000f1\r
+:010d020000f0\r
+:010d030000ef\r
+:010d040000ee\r
+:010d050000ed\r
+:010d060000ec\r
+:010d070000eb\r
+:010d080000ea\r
+:010d090000e9\r
+:010d0a0000e8\r
+:010d0b0000e7\r
+:010d0c0000e6\r
+:010d0d0000e5\r
+:010d0e0000e4\r
+:010d0f0000e3\r
+:010d100000e2\r
+:010d110000e1\r
+:010d120000e0\r
+:010d130000df\r
+:010d140000de\r
+:010d150000dd\r
+:010d160000dc\r
+:010d170000db\r
+:010d180000da\r
+:010d190000d9\r
+:010d1a0000d8\r
+:010d1b0000d7\r
+:010d1c0000d6\r
+:010d1d0000d5\r
+:010d1e0000d4\r
+:010d1f0000d3\r
+:010d200000d2\r
+:010d210000d1\r
+:010d220000d0\r
+:010d230000cf\r
+:010d240000ce\r
+:010d250000cd\r
+:010d260000cc\r
+:010d270000cb\r
+:010d280000ca\r
+:010d290000c9\r
+:010d2a0000c8\r
+:010d2b0000c7\r
+:010d2c0000c6\r
+:010d2d0000c5\r
+:010d2e0000c4\r
+:010d2f0000c3\r
+:010d300000c2\r
+:010d310000c1\r
+:010d320000c0\r
+:010d330000bf\r
+:010d340000be\r
+:010d350000bd\r
+:010d360000bc\r
+:010d370000bb\r
+:010d380000ba\r
+:010d390000b9\r
+:010d3a0000b8\r
+:010d3b0000b7\r
+:010d3c0000b6\r
+:010d3d0000b5\r
+:010d3e0000b4\r
+:010d3f009023\r
+:010d400003af\r
+:010d410000b1\r
+:010d420000b0\r
+:010d430000af\r
+:010d440000ae\r
+:010d450000ad\r
+:010d460000ac\r
+:010d470000ab\r
+:010d480000aa\r
+:010d490000a9\r
+:010d4a0000a8\r
+:010d4b0000a7\r
+:010d4c0000a6\r
+:010d4d0000a5\r
+:010d4e0000a4\r
+:010d4f0000a3\r
+:010d500000a2\r
+:010d510000a1\r
+:010d520000a0\r
+:010d5300009f\r
+:010d5400009e\r
+:010d5500009d\r
+:010d5600009c\r
+:010d5700009b\r
+:010d5800009a\r
+:010d59000099\r
+:010d5a000098\r
+:010d5b000097\r
+:010d5c000096\r
+:010d5d000095\r
+:010d5e000094\r
+:010d5f000093\r
+:010d60000092\r
+:010d61000091\r
+:010d62000090\r
+:010d6300008f\r
+:010d6400008e\r
+:010d6500008d\r
+:010d6600008c\r
+:010d6700008b\r
+:010d6800008a\r
+:010d69000089\r
+:010d6a000088\r
+:010d6b000087\r
+:010d6c000086\r
+:010d6d000085\r
+:010d6e000084\r
+:010d6f000083\r
+:010d70000082\r
+:010d71000081\r
+:010d72000080\r
+:010d7300007f\r
+:010d7400007e\r
+:010d7500007d\r
+:010d7600007c\r
+:010d7700007b\r
+:010d7800007a\r
+:010d79000079\r
+:010d7a000078\r
+:010d7b000077\r
+:010d7c000076\r
+:010d7d000075\r
+:010d7e000074\r
+:010d7f0090e3\r
+:010d8000036f\r
+:010d81000071\r
+:010d82000070\r
+:010d8300006f\r
+:010d8400006e\r
+:010d8500006d\r
+:010d8600006c\r
+:010d8700006b\r
+:010d8800006a\r
+:010d89000069\r
+:010d8a000068\r
+:010d8b000067\r
+:010d8c000066\r
+:010d8d000065\r
+:010d8e000064\r
+:010d8f000063\r
+:010d90000062\r
+:010d91000061\r
+:010d92000060\r
+:010d9300005f\r
+:010d9400005e\r
+:010d9500005d\r
+:010d9600005c\r
+:010d9700005b\r
+:010d9800005a\r
+:010d99000059\r
+:010d9a000058\r
+:010d9b000057\r
+:010d9c000056\r
+:010d9d000055\r
+:010d9e000054\r
+:010d9f000053\r
+:010da0000052\r
+:010da1000051\r
+:010da2000050\r
+:010da300004f\r
+:010da400004e\r
+:010da500004d\r
+:010da600004c\r
+:010da700004b\r
+:010da800004a\r
+:010da9000049\r
+:010daa000048\r
+:010dab000047\r
+:010dac000046\r
+:010dad000045\r
+:010dae000044\r
+:010daf000043\r
+:010db0000042\r
+:010db1000041\r
+:010db2000040\r
+:010db300003f\r
+:010db400003e\r
+:010db500003d\r
+:010db600003c\r
+:010db700003b\r
+:010db800003a\r
+:010db9000039\r
+:010dba000038\r
+:010dbb000037\r
+:010dbc000036\r
+:010dbd000035\r
+:010dbe000034\r
+:010dbf0090a3\r
+:010dc000032f\r
+:010dc1000031\r
+:010dc2000030\r
+:010dc300002f\r
+:010dc400002e\r
+:010dc500002d\r
+:010dc600002c\r
+:010dc700002b\r
+:010dc800002a\r
+:010dc9000029\r
+:010dca000028\r
+:010dcb000027\r
+:010dcc000026\r
+:010dcd000025\r
+:010dce000024\r
+:010dcf000023\r
+:010dd0000022\r
+:010dd1000021\r
+:010dd2000020\r
+:010dd300001f\r
+:010dd400001e\r
+:010dd500001d\r
+:010dd600001c\r
+:010dd700001b\r
+:010dd800001a\r
+:010dd9000019\r
+:010dda000018\r
+:010ddb000017\r
+:010ddc000016\r
+:010ddd000015\r
+:010dde000014\r
+:010ddf000013\r
+:010de0000012\r
+:010de1000011\r
+:010de2000010\r
+:010de300000f\r
+:010de400000e\r
+:010de500000d\r
+:010de600000c\r
+:010de700000b\r
+:010de800000a\r
+:010de9000009\r
+:010dea000008\r
+:010deb000007\r
+:010dec000006\r
+:010ded000005\r
+:010dee000004\r
+:010def000003\r
+:010df0000002\r
+:010df1000001\r
+:010df2000000\r
+:010df30000ff\r
+:010df40000fe\r
+:010df50000fd\r
+:010df60000fc\r
+:010df70000fb\r
+:010df80000fa\r
+:010df90000f9\r
+:010dfa0000f8\r
+:010dfb0000f7\r
+:010dfc0000f6\r
+:010dfd0000f5\r
+:010dfe0000f4\r
+:010dff009063\r
+:010e000003ee\r
+:010e010000f0\r
+:010e020000ef\r
+:010e030000ee\r
+:010e040000ed\r
+:010e050000ec\r
+:010e060000eb\r
+:010e070000ea\r
+:010e080000e9\r
+:010e090000e8\r
+:010e0a0000e7\r
+:010e0b0000e6\r
+:010e0c0000e5\r
+:010e0d0000e4\r
+:010e0e0000e3\r
+:010e0f0000e2\r
+:010e100000e1\r
+:010e110000e0\r
+:010e120000df\r
+:010e130000de\r
+:010e140000dd\r
+:010e150000dc\r
+:010e160000db\r
+:010e170000da\r
+:010e180000d9\r
+:010e190000d8\r
+:010e1a0000d7\r
+:010e1b0000d6\r
+:010e1c0000d5\r
+:010e1d0000d4\r
+:010e1e0000d3\r
+:010e1f0000d2\r
+:010e200000d1\r
+:010e210000d0\r
+:010e220000cf\r
+:010e230000ce\r
+:010e240000cd\r
+:010e250000cc\r
+:010e260000cb\r
+:010e270000ca\r
+:010e280000c9\r
+:010e290000c8\r
+:010e2a0000c7\r
+:010e2b0000c6\r
+:010e2c0000c5\r
+:010e2d0000c4\r
+:010e2e0000c3\r
+:010e2f0000c2\r
+:010e300000c1\r
+:010e310000c0\r
+:010e320000bf\r
+:010e330000be\r
+:010e340000bd\r
+:010e350000bc\r
+:010e360000bb\r
+:010e370000ba\r
+:010e380000b9\r
+:010e390000b8\r
+:010e3a0000b7\r
+:010e3b0000b6\r
+:010e3c0000b5\r
+:010e3d0000b4\r
+:010e3e0000b3\r
+:010e3f009022\r
+:010e400003ae\r
+:010e410000b0\r
+:010e420000af\r
+:010e430000ae\r
+:010e440000ad\r
+:010e450000ac\r
+:010e460000ab\r
+:010e470000aa\r
+:010e480000a9\r
+:010e490000a8\r
+:010e4a0000a7\r
+:010e4b0000a6\r
+:010e4c0000a5\r
+:010e4d0000a4\r
+:010e4e0000a3\r
+:010e4f0000a2\r
+:010e500000a1\r
+:010e510000a0\r
+:010e5200009f\r
+:010e5300009e\r
+:010e5400009d\r
+:010e5500009c\r
+:010e5600009b\r
+:010e5700009a\r
+:010e58000099\r
+:010e59000098\r
+:010e5a000097\r
+:010e5b000096\r
+:010e5c000095\r
+:010e5d000094\r
+:010e5e000093\r
+:010e5f000092\r
+:010e60000091\r
+:010e61000090\r
+:010e6200008f\r
+:010e6300008e\r
+:010e6400008d\r
+:010e6500008c\r
+:010e6600008b\r
+:010e6700008a\r
+:010e68000089\r
+:010e69000088\r
+:010e6a000087\r
+:010e6b000086\r
+:010e6c000085\r
+:010e6d000084\r
+:010e6e000083\r
+:010e6f000082\r
+:010e70000081\r
+:010e71000080\r
+:010e7200007f\r
+:010e7300007e\r
+:010e7400007d\r
+:010e7500007c\r
+:010e7600007b\r
+:010e7700007a\r
+:010e78000079\r
+:010e79000078\r
+:010e7a000077\r
+:010e7b000076\r
+:010e7c000075\r
+:010e7d000074\r
+:010e7e000073\r
+:010e7f0090e2\r
+:010e8000036e\r
+:010e81000070\r
+:010e8200006f\r
+:010e8300006e\r
+:010e8400006d\r
+:010e8500006c\r
+:010e8600006b\r
+:010e8700006a\r
+:010e88000069\r
+:010e89000068\r
+:010e8a000067\r
+:010e8b000066\r
+:010e8c000065\r
+:010e8d000064\r
+:010e8e000063\r
+:010e8f000062\r
+:010e90000061\r
+:010e91000060\r
+:010e9200005f\r
+:010e9300005e\r
+:010e9400005d\r
+:010e9500005c\r
+:010e9600005b\r
+:010e9700005a\r
+:010e98000059\r
+:010e99000058\r
+:010e9a000057\r
+:010e9b000056\r
+:010e9c000055\r
+:010e9d000054\r
+:010e9e000053\r
+:010e9f000052\r
+:010ea0000051\r
+:010ea1000050\r
+:010ea200004f\r
+:010ea300004e\r
+:010ea400004d\r
+:010ea500004c\r
+:010ea600004b\r
+:010ea700004a\r
+:010ea8000049\r
+:010ea9000048\r
+:010eaa000047\r
+:010eab000046\r
+:010eac000045\r
+:010ead000044\r
+:010eae000043\r
+:010eaf000042\r
+:010eb0000041\r
+:010eb1000040\r
+:010eb200003f\r
+:010eb300003e\r
+:010eb400003d\r
+:010eb500003c\r
+:010eb600003b\r
+:010eb700003a\r
+:010eb8000039\r
+:010eb9000038\r
+:010eba000037\r
+:010ebb000036\r
+:010ebc000035\r
+:010ebd000034\r
+:010ebe000033\r
+:010ebf0090a2\r
+:010ec000032e\r
+:010ec1000030\r
+:010ec200002f\r
+:010ec300002e\r
+:010ec400002d\r
+:010ec500002c\r
+:010ec600002b\r
+:010ec700002a\r
+:010ec8000029\r
+:010ec9000028\r
+:010eca000027\r
+:010ecb000026\r
+:010ecc000025\r
+:010ecd000024\r
+:010ece000023\r
+:010ecf000022\r
+:010ed0000021\r
+:010ed1000020\r
+:010ed200001f\r
+:010ed300001e\r
+:010ed400001d\r
+:010ed500001c\r
+:010ed600001b\r
+:010ed700001a\r
+:010ed8000019\r
+:010ed9000018\r
+:010eda000017\r
+:010edb000016\r
+:010edc000015\r
+:010edd000014\r
+:010ede000013\r
+:010edf000012\r
+:010ee0000011\r
+:010ee1000010\r
+:010ee200000f\r
+:010ee300000e\r
+:010ee400000d\r
+:010ee500000c\r
+:010ee600000b\r
+:010ee700000a\r
+:010ee8000009\r
+:010ee9000008\r
+:010eea000007\r
+:010eeb000006\r
+:010eec000005\r
+:010eed000004\r
+:010eee000003\r
+:010eef000002\r
+:010ef0000001\r
+:010ef1000000\r
+:010ef20000ff\r
+:010ef30000fe\r
+:010ef40000fd\r
+:010ef50000fc\r
+:010ef60000fb\r
+:010ef70000fa\r
+:010ef80000f9\r
+:010ef90000f8\r
+:010efa0000f7\r
+:010efb0000f6\r
+:010efc0000f5\r
+:010efd0000f4\r
+:010efe0000f3\r
+:010eff009062\r
+:010f000003ed\r
+:010f010000ef\r
+:010f020000ee\r
+:010f030000ed\r
+:010f040000ec\r
+:010f050000eb\r
+:010f060000ea\r
+:010f070000e9\r
+:010f080000e8\r
+:010f090000e7\r
+:010f0a0000e6\r
+:010f0b0000e5\r
+:010f0c0000e4\r
+:010f0d0000e3\r
+:010f0e0000e2\r
+:010f0f0000e1\r
+:010f100000e0\r
+:010f110000df\r
+:010f120000de\r
+:010f130000dd\r
+:010f140000dc\r
+:010f150000db\r
+:010f160000da\r
+:010f170000d9\r
+:010f180000d8\r
+:010f190000d7\r
+:010f1a0000d6\r
+:010f1b0000d5\r
+:010f1c0000d4\r
+:010f1d0000d3\r
+:010f1e0000d2\r
+:010f1f0000d1\r
+:010f200000d0\r
+:010f210000cf\r
+:010f220000ce\r
+:010f230000cd\r
+:010f240000cc\r
+:010f250000cb\r
+:010f260000ca\r
+:010f270000c9\r
+:010f280000c8\r
+:010f290000c7\r
+:010f2a0000c6\r
+:010f2b0000c5\r
+:010f2c0000c4\r
+:010f2d0000c3\r
+:010f2e0000c2\r
+:010f2f0000c1\r
+:010f300000c0\r
+:010f310000bf\r
+:010f320000be\r
+:010f330000bd\r
+:010f340000bc\r
+:010f350000bb\r
+:010f360000ba\r
+:010f370000b9\r
+:010f380000b8\r
+:010f390000b7\r
+:010f3a0000b6\r
+:010f3b0000b5\r
+:010f3c0000b4\r
+:010f3d0000b3\r
+:010f3e0000b2\r
+:010f3f009021\r
+:010f400003ad\r
+:010f410000af\r
+:010f420000ae\r
+:010f430000ad\r
+:010f440000ac\r
+:010f450000ab\r
+:010f460000aa\r
+:010f470000a9\r
+:010f480000a8\r
+:010f490000a7\r
+:010f4a0000a6\r
+:010f4b0000a5\r
+:010f4c0000a4\r
+:010f4d0000a3\r
+:010f4e0000a2\r
+:010f4f0000a1\r
+:010f500000a0\r
+:010f5100009f\r
+:010f5200009e\r
+:010f5300009d\r
+:010f5400009c\r
+:010f5500009b\r
+:010f5600009a\r
+:010f57000099\r
+:010f58000098\r
+:010f59000097\r
+:010f5a000096\r
+:010f5b000095\r
+:010f5c000094\r
+:010f5d000093\r
+:010f5e000092\r
+:010f5f000091\r
+:010f60000090\r
+:010f6100008f\r
+:010f6200008e\r
+:010f6300008d\r
+:010f6400008c\r
+:010f6500008b\r
+:010f6600008a\r
+:010f67000089\r
+:010f68000088\r
+:010f69000087\r
+:010f6a000086\r
+:010f6b000085\r
+:010f6c000084\r
+:010f6d000083\r
+:010f6e000082\r
+:010f6f000081\r
+:010f70000080\r
+:010f7100007f\r
+:010f7200007e\r
+:010f7300007d\r
+:010f7400007c\r
+:010f7500007b\r
+:010f7600007a\r
+:010f77000079\r
+:010f78000078\r
+:010f79000077\r
+:010f7a000076\r
+:010f7b000075\r
+:010f7c000074\r
+:010f7d000073\r
+:010f7e000072\r
+:010f7f0090e1\r
+:010f8000036d\r
+:010f8100006f\r
+:010f8200006e\r
+:010f8300006d\r
+:010f8400006c\r
+:010f8500006b\r
+:010f8600006a\r
+:010f87000069\r
+:010f88000068\r
+:010f89000067\r
+:010f8a000066\r
+:010f8b000065\r
+:010f8c000064\r
+:010f8d000063\r
+:010f8e000062\r
+:010f8f000061\r
+:010f90000060\r
+:010f9100005f\r
+:010f9200005e\r
+:010f9300005d\r
+:010f9400005c\r
+:010f9500005b\r
+:010f9600005a\r
+:010f97000059\r
+:010f98000058\r
+:010f99000057\r
+:010f9a000056\r
+:010f9b000055\r
+:010f9c000054\r
+:010f9d000053\r
+:010f9e000052\r
+:010f9f000051\r
+:010fa0000050\r
+:010fa100004f\r
+:010fa200004e\r
+:010fa300004d\r
+:010fa400004c\r
+:010fa500004b\r
+:010fa600004a\r
+:010fa7000049\r
+:010fa8000048\r
+:010fa9000047\r
+:010faa000046\r
+:010fab000045\r
+:010fac000044\r
+:010fad000043\r
+:010fae000042\r
+:010faf000041\r
+:010fb0000040\r
+:010fb100003f\r
+:010fb200003e\r
+:010fb300003d\r
+:010fb400003c\r
+:010fb500003b\r
+:010fb600003a\r
+:010fb7000039\r
+:010fb8000038\r
+:010fb9000037\r
+:010fba000036\r
+:010fbb000035\r
+:010fbc000034\r
+:010fbd000033\r
+:010fbe000032\r
+:010fbf0090a1\r
+:010fc000e050\r
+:010fc100e04f\r
+:010fc200e04e\r
+:010fc300e04d\r
+:010fc400e04c\r
+:010fc500e04b\r
+:010fc600e04a\r
+:010fc700e049\r
+:010fc800e048\r
+:010fc900e047\r
+:010fca00e046\r
+:010fcb00e045\r
+:010fcc00e044\r
+:010fcd00e043\r
+:010fce00e042\r
+:010fcf00e041\r
+:010fd000e040\r
+:010fd100e03f\r
+:010fd200e03e\r
+:010fd300e03d\r
+:010fd400e03c\r
+:010fd500e03b\r
+:010fd600e03a\r
+:010fd700e039\r
+:010fd800e038\r
+:010fd900e037\r
+:010fda00e036\r
+:010fdb00e035\r
+:010fdc00e034\r
+:010fdd00e033\r
+:010fde00e032\r
+:010fdf00e031\r
+:010fe000e030\r
+:010fe100e02f\r
+:010fe200e02e\r
+:010fe300e02d\r
+:010fe400e02c\r
+:010fe500e02b\r
+:010fe600e02a\r
+:010fe700e029\r
+:010fe800e028\r
+:010fe900e027\r
+:010fea00e026\r
+:010feb00e025\r
+:010fec00e024\r
+:010fed00e023\r
+:010fee00e022\r
+:010fef00e021\r
+:010ff000e020\r
+:010ff100e01f\r
+:010ff200e01e\r
+:010ff300e01d\r
+:010ff400e01c\r
+:010ff500e01b\r
+:010ff600e01a\r
+:010ff700e019\r
+:010ff800e018\r
+:010ff900e017\r
+:010ffa00e016\r
+:010ffb00e015\r
+:010ffc00e014\r
+:010ffd00e013\r
+:010ffe00e012\r
+:010fff00e011\r
+:00000001ff\r
diff --git a/bsp4/Designflow/src/vga_arc.vhd b/bsp4/Designflow/src/vga_arc.vhd
new file mode 100644 (file)
index 0000000..3d2d158
--- /dev/null
@@ -0,0 +1,223 @@
+ -------------------------------------------------------------------------------\r
+-- Title      : vga architecture\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : vga.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-04-07\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: arch of top level module, the sub-modules are connected here\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-04-07  1.0      handl   Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+use work.vga_pak.all;      -- include package\r
+\r
+-------------------------------------------------------------------------------\r
+-- ARCHITECTURE\r
+-------------------------------------------------------------------------------\r
+\r
+architecture behav of vga is\r
+\r
+  attribute syn_preserve          : boolean;\r
+  attribute syn_preserve of behav : architecture is true;\r
+\r
+\r
+-------------------------------------------------------------------------------\r
+-- component declarations for the modules\r
+-------------------------------------------------------------------------------\r
+\r
+  component vga_driver\r
+    port (\r
+      clk                  : in  std_logic;\r
+      reset                : in  std_logic;\r
+      column_counter       : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);\r
+      line_counter         : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);\r
+      h_enable             : out std_logic;\r
+      v_enable             : out std_logic;\r
+      hsync                : out std_logic; \r
+      vsync                : out std_logic;\r
+      d_hsync_state          : out hsync_state_type;\r
+      d_vsync_state          : out vsync_state_type;\r
+      d_hsync_counter        : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);\r
+      d_vsync_counter        : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);\r
+      d_set_hsync_counter    : out std_logic;\r
+      d_set_vsync_counter    : out std_logic;\r
+      d_set_column_counter   : out std_logic;\r
+      d_set_line_counter     : out std_logic);\r
+  end component;\r
+\r
+\r
+  component vga_control\r
+    port (\r
+      clk            : in  std_logic;\r
+      reset          : in  std_logic;\r
+      column_counter : in  std_logic_vector(COL_CNT_WIDTH-1 downto 0);\r
+      line_counter   : in  std_logic_vector(LINE_CNT_WIDTH-1 downto 0);\r
+      h_enable       : in  std_logic;\r
+      v_enable       : in  std_logic;\r
+      toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0);\r
+      toggle         : out std_logic;\r
+      r, g, b        : out std_logic\r
+      );\r
+  end component;\r
+\r
+\r
+  component board_driver\r
+    port (\r
+       reset : in  std_logic;\r
+      seven_seg  : out std_logic_vector(2*SEG_WIDTH-1 downto 0));\r
+  end component;\r
+\r
+\r
+-- declare signals needed for internal wiring of these components later\r
+  signal column_counter_sig   : std_logic_vector(COL_CNT_WIDTH-1 downto 0);\r
+  signal line_counter_sig     : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);\r
+  signal h_enable_sig         : std_logic;\r
+  signal v_enable_sig         : std_logic;\r
+  signal r_sig, g_sig, b_sig  : std_logic;\r
+  signal hsync_sig, vsync_sig : std_logic;\r
+  \r
+-- declare signals needed for prolongation of reset\r
+  signal   dly_counter       : std_logic_vector(1 downto 0);\r
+  signal   dly_counter_next  : std_logic_vector(1 downto 0);\r
+  constant MAX_DLY           : std_logic_vector(1 downto 0) := "11";\r
+  signal   reset_dly         : std_logic;      --\r
+  signal   safe_reset        : std_logic;     \r
+\r
+\r
+-------------------------------------------------------------------------------\r
+-- prolong duration of reset to prevent glitches  at power-up\r
+-------------------------------------------------------------------------------\r
+\r
+begin\r
+\r
+  DELAY_RESET_syn : process(clk_pin)            -- synchronous capture\r
+  begin\r
+    if clk_pin'event and clk_pin = '1' then     -- upon rising clock\r
+      dly_counter <= dly_counter_next;          -- ... capture new counter value\r
+    end if;\r
+  end process;\r
+\r
+  DELAY_RESET_next : process(dly_counter, reset_pin)    -- next state logic\r
+  begin\r
+    if reset_pin = RES_ACT then              -- upon reset\r
+      dly_counter_next <= (others => '0');   -- ...clear dly counter\r
+    elsif dly_counter < MAX_DLY then         -- if no oflo\r
+      dly_counter_next <= dly_counter + '1'; -- ...increment dly counter\r
+    else \r
+      dly_counter_next <= dly_counter;       -- freeze dly counter when oflo\r
+    end if;\r
+  end process;\r
+  \r
+  DELAY_RESET_out: process(dly_counter)\r
+  begin\r
+    if dly_counter < MAX_DLY then      -- until dly counter reaches maximum\r
+      reset_dly   <= RES_ACT;          -- ...activate delayed reset signal\r
+    else                               -- upon counter oflo \r
+      reset_dly <= not(RES_ACT);       -- ...finally deactivate delayed reset\r
+    end if;\r
+  end process;\r
+\r
+\r
+\r
+  COMBINE_RESET: process(reset_pin, reset_dly)         -- generate "safe" reset signal\r
+  begin\r
+    if reset_pin = RES_ACT or reset_dly = RES_ACT then -- ...by combining delayed reset with non-delayed reset input \r
+      safe_reset <= RES_ACT;\r
+    else\r
+      safe_reset <= not(RES_ACT);\r
+    end if;\r
+  end process;\r
+\r
+\r
+-------------------------------------------------------------------------------\r
+-- instantiate the components and connect to internal and external signals\r
+-------------------------------------------------------------------------------\r
+\r
+\r
+board_driver_unit : board_driver\r
+    port map (\r
+      reset       => safe_reset,\r
+      seven_seg   => seven_seg_pin);\r
+\r
+\r
+vga_driver_unit : vga_driver\r
+    port map (\r
+      clk                => clk_pin,\r
+      reset              => safe_reset,\r
+      column_counter     => column_counter_sig,\r
+      line_counter       => line_counter_sig,\r
+      h_enable           => h_enable_sig,\r
+      v_enable           => v_enable_sig,\r
+      hsync              => hsync_sig,\r
+      vsync              => vsync_sig,\r
+      d_hsync_state        => d_hsync_state,\r
+      d_vsync_state        => d_vsync_state,\r
+      d_hsync_counter      => d_hsync_counter,\r
+      d_vsync_counter      => d_vsync_counter,\r
+      d_set_hsync_counter  => d_set_hsync_counter,\r
+      d_set_vsync_counter  => d_set_vsync_counter,\r
+      d_set_column_counter => d_set_column_counter,\r
+      d_set_line_counter   => d_set_line_counter);\r
+\r
+-- make the wiring for hsync and vsync pins \r
+-- (pin is output only => internal _sig version required to allow readback of signal)\r
+  vsync_pin <= vsync_sig;\r
+  hsync_pin <= hsync_sig;\r
+\r
+\r
+  vga_control_unit : vga_control\r
+    port map (\r
+      clk            => clk_pin,\r
+      reset          => safe_reset,\r
+      column_counter => column_counter_sig,\r
+      line_counter   => line_counter_sig,\r
+      h_enable       => h_enable_sig,\r
+      v_enable       => v_enable_sig,\r
+      toggle_counter => d_toggle_counter,\r
+      toggle         => d_toggle,\r
+      r              => r_sig,\r
+      g              => g_sig,\r
+      b              => b_sig);\r
+\r
+-- make the wiring for RGB pins: drive all pins for same color from one source ("8 color mode")\r
+  r0_pin <= r_sig; r1_pin <= r_sig; r2_pin <= r_sig;\r
+  g0_pin <= g_sig; g1_pin <= g_sig; g2_pin <= g_sig;\r
+  b0_pin <= b_sig; b1_pin <= b_sig;\r
+\r
+\r
+-- make extra pin connections for debug signals\r
+  d_hsync          <= hsync_sig;       -- make duplicate of signal for debug connector\r
+  d_vsync          <= vsync_sig;       -- make duplicate of signal for debug connector\r
+  d_column_counter <= column_counter_sig;\r
+  d_line_counter   <= line_counter_sig;\r
+  d_h_enable       <= h_enable_sig;\r
+  d_v_enable       <= v_enable_sig;\r
+  d_r              <= r_sig;\r
+  d_g              <= g_sig;\r
+  d_b              <= b_sig;\r
+  d_state_clk      <= clk_pin;        -- make duplicate of signal for debug connector\r
+\r
+  \r
+end behav;\r
+\r
+-------------------------------------------------------------------------------\r
+-- END ARCHITECTURE\r
+-------------------------------------------------------------------------------\r
diff --git a/bsp4/Designflow/src/vga_beh_tb.vhd b/bsp4/Designflow/src/vga_beh_tb.vhd
new file mode 100644 (file)
index 0000000..cbeaa50
--- /dev/null
@@ -0,0 +1,196 @@
+-------------------------------------------------------------------------------
+-- Title      : vga testbench
+-- Project    : 
+-------------------------------------------------------------------------------
+-- File       : vga_tb.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-04-07
+-- Last update: 2007-09-13
+-- Platform   : 
+-------------------------------------------------------------------------------
+-- Description: 
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-04-07  1.0      handl   Created
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+
+-------------------------------------------------------------------------------
+-- ENTITY
+-------------------------------------------------------------------------------
+entity vga_tb is
+
+end vga_tb;
+
+
+-------------------------------------------------------------------------------
+-- ARCHITECTURE
+-------------------------------------------------------------------------------
+architecture behaviour of vga_tb is
+  
+  constant cc : time := 39.7 ns;        -- test clock period
+  component vga
+    port (
+      clk_pin                                  : in  std_logic;
+      reset_pin                                : in  std_logic;
+      r0_pin, r1_pin, r2_pin                   : out std_logic;
+      g0_pin, g1_pin, g2_pin                   : out std_logic;
+      b0_pin, b1_pin                           : out std_logic;
+      hsync_pin                                : out std_logic;
+      vsync_pin                                : out std_logic;
+      seven_seg_pin                            : out std_logic_vector(2*SEG_WIDTH-1 downto 0);
+      d_hsync, d_vsync                         : out std_logic;
+      d_column_counter                         : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+      d_line_counter                           : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+      d_set_column_counter, d_set_line_counter : out std_logic;
+      d_hsync_counter                          : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+      d_vsync_counter                          : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+      d_set_hsync_counter, d_set_vsync_counter : out std_logic;
+      d_h_enable                               : out std_logic;
+      d_v_enable                               : out std_logic;
+      d_r, d_g, d_b                            : out std_logic;
+      d_hsync_state                            : out hsync_state_type;
+      d_vsync_state                            : out vsync_state_type;
+      d_state_clk                              : out std_logic;
+      d_toggle                                 : out std_logic;
+      d_toggle_counter                         : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0));
+  end component;
+
+  signal clk_pin                                  : std_logic;
+  signal reset_pin                                : std_logic;
+  signal r0_pin, r1_pin, r2_pin                   : std_logic;
+  signal g0_pin, g1_pin, g2_pin                   : std_logic;
+  signal b0_pin, b1_pin                           : std_logic;
+  signal hsync_pin                                : std_logic;
+  signal vsync_pin                                : std_logic;
+  signal seven_seg_pin                            : std_logic_vector(2*SEG_WIDTH-1 downto 0);
+  signal d_hsync, d_vsync                         : std_logic;
+  signal d_column_counter                         : std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+  signal d_line_counter                           : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+  signal d_set_column_counter, d_set_line_counter : std_logic;
+  signal d_hsync_counter                          : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+  signal d_vsync_counter                          : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+  signal d_set_hsync_counter, d_set_vsync_counter : std_logic;
+  signal d_h_enable                               : std_logic;
+  signal d_v_enable                               : std_logic;
+  signal d_r, d_g, d_b                            : std_logic;
+  signal d_hsync_state                            : hsync_state_type;
+  signal d_vsync_state                            : vsync_state_type;
+  signal d_state_clk                              : std_logic;
+  signal d_toggle                                 : std_logic;
+  signal d_toggle_counter                         : std_logic_vector(TOG_CNT_WIDTH-1 downto 0);
+
+  
+begin
+
+  vga_unit: vga
+    port map (
+      clk_pin              => clk_pin,
+      reset_pin            => reset_pin,
+      r0_pin               => r0_pin,
+      r1_pin               => r1_pin,
+      r2_pin               => r2_pin,
+      g0_pin               => g0_pin,
+      g1_pin               => g1_pin,
+      g2_pin               => g2_pin,
+      b0_pin               => b0_pin,
+      b1_pin               => b1_pin,
+      hsync_pin            => hsync_pin,
+      vsync_pin            => vsync_pin,
+      seven_seg_pin        => seven_seg_pin,
+      d_hsync              => d_hsync,
+      d_vsync              => d_vsync,
+      d_column_counter     => d_column_counter,
+      d_line_counter       => d_line_counter,
+      d_set_column_counter => d_set_column_counter,
+      d_set_line_counter   => d_set_line_counter,
+      d_hsync_counter      => d_hsync_counter,
+      d_vsync_counter      => d_vsync_counter,
+      d_set_hsync_counter  => d_set_hsync_counter,
+      d_set_vsync_counter  => d_set_vsync_counter,
+      d_h_enable           => d_h_enable,
+      d_v_enable           => d_v_enable,
+      d_r                  => d_r,
+      d_g                  => d_g,
+      d_b                  => d_b,
+      d_hsync_state        => d_hsync_state,
+      d_vsync_state        => d_vsync_state,
+      d_state_clk          => d_state_clk,
+      d_toggle             => d_toggle,
+      d_toggle_counter     => d_toggle_counter);
+
+  
+-------------------------------------------------------------------------------
+-- generate simulation clock
+-------------------------------------------------------------------------------
+  CLKGEN : process
+  begin
+    clk_pin <= '1';
+    wait for cc/2;
+    clk_pin <= '0';
+    wait for cc/2;
+  end process CLKGEN;
+
+-------------------------------------------------------------------------------
+-- test the design
+-------------------------------------------------------------------------------
+  TEST_IT : process
+
+    -- wait for n clock cycles
+    procedure icwait(cycles : natural) is
+    begin
+      for i in 1 to cycles loop
+        wait until clk_pin = '1' and clk_pin'event;
+      end loop;
+    end;
+
+  begin
+    -----------------------------------------------------------------------------
+    -- initial reset
+    -----------------------------------------------------------------------------
+    reset_pin <= '0';
+    icwait(10);
+
+       -- set reset_pin high!
+    reset_pin <= '1';
+    icwait(10000000);
+
+    ---------------------------------------------------------------------------
+    -- exit testbench
+    ---------------------------------------------------------------------------
+    assert false
+      report "Test finished"
+      severity error;
+
+  end process test_it;
+
+end behaviour;
+
+
+-------------------------------------------------------------------------------
+-- configuration
+-------------------------------------------------------------------------------
+configuration vga_conf_beh of vga_tb is
+  for behaviour
+    for vga_unit : vga use entity work.vga(behav);
+    end for;
+  end for;
+end vga_conf_beh;
+
+
diff --git a/bsp4/Designflow/src/vga_control_arc.vhd b/bsp4/Designflow/src/vga_control_arc.vhd
new file mode 100644 (file)
index 0000000..e079697
--- /dev/null
@@ -0,0 +1,130 @@
+-------------------------------------------------------------------------------\r
+-- Title      : vga_control architecture\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : vga_control.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-12-15\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: generation of colors (RGB)\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-12-15  1.0      handl   Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+use work.vga_pak.all;\r
+\r
+-------------------------------------------------------------------------------\r
+-- ARCHITECTURE\r
+-------------------------------------------------------------------------------\r
+\r
+architecture behav of vga_control is\r
+\r
+\r
+  attribute syn_preserve          : boolean;\r
+  attribute syn_preserve of behav : architecture is true;\r
+\r
+\r
+  -- signal and constant declarations  \r
+  signal   r_next, g_next, b_next  : std_logic;                                 -- auxiliary signals for next state logic\r
+  signal   toggle_sig   : std_logic;                                            -- auxiliary signal to allow read back of toggle\r
+  signal   toggle_counter_sig  : std_logic_vector(TOG_CNT_WIDTH-1 downto 0);    -- auxiliary signal to allow read back of blinker\r
+  signal   toggle_next  : std_logic;                                            -- auxiliary signal for next state logic\r
+  signal   toggle_counter_next : std_logic_vector(TOG_CNT_WIDTH-1 downto 0);    -- auxiliary signal for next state logic\r
+  --constant HALFPERIOD   : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "1100000000010001111011000";\r
+  constant HALFPERIOD   : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) := "0000011111110010100000010"; -- 41,6666ms\r
+  --constant HALFPERIOD   : std_logic_vector(TOG_CNT_WIDTH-1 downto 0) :=   "0000101101110001101100000"; --60ms\r
+                                                                                -- define half period of toggle frequency in clock ticks\r
+\r
+begin  \r
+  -----------------------------------------------------------------------------\r
+  -- draw rectangle on screen\r
+  -----------------------------------------------------------------------------\r
+    \r
+  DRAW_SQUARE_syn: process(clk, reset)\r
+  begin\r
+    if (reset = RES_ACT) then   -- draw black screen upon reset\r
+      r <= COLR_OFF;\r
+      g <= COLR_OFF;\r
+      b <= COLR_OFF;\r
+    elsif (clk'event and clk = '1') then     -- synchronous capture\r
+      r <= r_next;\r
+      g <= g_next;\r
+      b <= b_next;\r
+    end if;\r
+  end process;\r
+\r
+\r
+  DRAW_SQUARE_next: process (column_counter, line_counter, v_enable, h_enable, toggle_sig)\r
+  begin\r
+    if v_enable = ENABLE and h_enable = ENABLE then        \r
+      if (column_counter >= X_MIN and column_counter <= X_MAX and    -- if pixel within the rectangle borders\r
+          line_counter   >= Y_MIN and line_counter   <= Y_MAX) then\r
+        r_next <= COLR_OFF;\r
+        g_next <= COLR_OFF;                                          -- ...green\r
+        b_next <= toggle_sig;                                    -- ...blue\r
+      else                                                           -- if somewhere else on screen...\r
+        r_next <= COLR_OFF;\r
+        g_next <= COLR_OFF;                                          -- ... draw background color\r
+        b_next <= COLR_OFF;\r
+      end if;\r
+    else                                                             -- if out of screen...\r
+      r_next <= COLR_OFF;\r
+      g_next <= COLR_OFF;                                            -- ... do not activate any color\r
+      b_next <= COLR_OFF;                                            --     (black screen)\r
+    end if;\r
+  end process;\r
+\r
+\r
+  -----------------------------------------------------------------------------\r
+  -- control blinking of rectangle\r
+  -----------------------------------------------------------------------------\r
+\r
+  BLINKER_syn: process(clk, reset)\r
+  begin\r
+    if (reset = RES_ACT) then                       -- asyn reset\r
+      toggle_counter_sig  <= (others => '0');\r
+      toggle_sig  <= COLR_OFF;\r
+    elsif(clk'event and clk = '1') then             -- synchronous capture\r
+      toggle_counter_sig <= toggle_counter_next;\r
+      toggle_sig  <= toggle_next;\r
+    end if;\r
+  end process;\r
+\r
+\r
+  BLINKER_next : process(toggle_counter_sig, toggle_sig)\r
+  begin\r
+    if toggle_counter_sig >= HALFPERIOD then           -- after half period ...\r
+      toggle_counter_next <= (others => '0');          -- ... clear counter\r
+      toggle_next  <= not(toggle_sig);                 -- ... and toggle colour.\r
+    else                                               -- before half period ...\r
+      toggle_counter_next <= toggle_counter_sig + '1'; -- ... increment counter\r
+      toggle_next  <= toggle_sig;                      -- ... and hold colour\r
+    end if;\r
+  end process;\r
+\r
+\r
+-- assign auxiliary signals to module outputs\r
+toggle <= toggle_sig;\r
+toggle_counter <= toggle_counter_sig;\r
+\r
+end behav;\r
+\r
+-------------------------------------------------------------------------------\r
+-- END ARCHITECTURE\r
+-------------------------------------------------------------------------------\r
diff --git a/bsp4/Designflow/src/vga_control_ent.vhd b/bsp4/Designflow/src/vga_control_ent.vhd
new file mode 100644 (file)
index 0000000..2ff5a0a
--- /dev/null
@@ -0,0 +1,53 @@
+-------------------------------------------------------------------------------\r
+-- Title      : vga_control entity\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : vga_control_ent.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-12-15\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: generation of colors (RGB)\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-12-15  1.0      handl     Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+use work.vga_pak.all;\r
+\r
+-------------------------------------------------------------------------------\r
+-- ENTITY\r
+-------------------------------------------------------------------------------\r
+\r
+\r
+entity vga_control is\r
+  port(clk            : in std_logic;\r
+       reset          : in  std_logic;\r
+       column_counter : in std_logic_vector(COL_CNT_WIDTH-1 downto 0);\r
+       toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0);\r
+       toggle         : out std_logic;\r
+       line_counter   : in std_logic_vector(LINE_CNT_WIDTH-1 downto 0);\r
+       v_enable       : in std_logic;\r
+       h_enable       : in std_logic;\r
+       r, g, b        : out std_logic\r
+       );\r
+\r
+end vga_control;\r
+\r
+-------------------------------------------------------------------------------\r
+-- END ENTITY\r
+-------------------------------------------------------------------------------\r
diff --git a/bsp4/Designflow/src/vga_driver_arc.vhd b/bsp4/Designflow/src/vga_driver_arc.vhd
new file mode 100644 (file)
index 0000000..d875c0c
--- /dev/null
@@ -0,0 +1,404 @@
+-------------------------------------------------------------------------------
+-- Title      : vga_driver architecture
+-- Project    : LU Digital Design
+-------------------------------------------------------------------------------
+-- File       : vga_driver.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-12-15
+-- Last update: 2007-09-13
+-------------------------------------------------------------------------------
+-- Description: generate hsync and vsync
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-12-15  1.0      handl   Created
+-- 2006-01-24  2.0      ST      revised
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+-------------------------------------------------------------------------------
+-- ARCHITECTURE
+-------------------------------------------------------------------------------
+
+architecture behav of vga_driver is
+
+  attribute syn_preserve          : boolean;
+  attribute syn_preserve of behav : architecture is true;
+
+  constant TIME_A   : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1100011111";
+  constant TIME_B   : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "0001011010";
+  constant TIME_BC  : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "0010000111";
+  constant TIME_BCD : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1100000111";
+
+  constant TIME_O   : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1000001000";
+  constant TIME_P   : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "0000000001";
+  constant TIME_PQ  : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "0000100001";
+  constant TIME_PQR : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1000000001";
+
+  signal h_sync      : std_logic;
+  signal h_sync_next : std_logic;
+
+  signal hsync_state      : hsync_state_type;
+  signal hsync_state_next : hsync_state_type;
+
+  signal h_enable_sig  : std_logic;
+  signal h_enable_next : std_logic;
+
+  signal   set_hsync_counter : std_logic;
+  signal   hsync_counter     : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+  signal   hsync_counter_next     : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+  constant HSYN_CNT_MAX : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0) := "1111111111";
+
+  signal column_counter_sig : std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+  signal column_counter_next : std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+  signal set_column_counter : std_logic;
+
+  signal v_sync      : std_logic;
+  signal v_sync_next : std_logic;
+
+  signal vsync_state      : vsync_state_type;
+  signal vsync_state_next : vsync_state_type;
+
+  signal v_enable_sig  : std_logic;
+  signal v_enable_next : std_logic;
+
+  signal   set_vsync_counter : std_logic;
+  signal   vsync_counter     : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+  signal   vsync_counter_next     : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+  constant VSYN_CNT_MAX : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0) := "1111111111";
+
+  signal line_counter_sig : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+  signal line_counter_next : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+  signal set_line_counter : std_logic;
+
+
+
+begin
+
+----------------------------------------------------------------------------
+-- Column_Counter [0..639]: calculates column number for next pixel to be displayed
+----------------------------------------------------------------------------
+
+  COLUMN_COUNT_syn: process(clk, reset, column_counter_next)
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then                              -- synchronous reset
+        column_counter_sig <= (others => '0');
+      else
+        column_counter_sig <= column_counter_next;         -- synchronous capture
+      end if;
+    end if;
+  end process;
+
+  COLUMN_COUNT_next: process(set_column_counter, column_counter_sig)
+  begin
+    if set_column_counter = ENABLE then                     -- reset counter
+      column_counter_next <= (others => '0');   
+    else
+      if column_counter_sig < RIGHT_BORDER then 
+        column_counter_next <= column_counter_sig + '1';    -- increment column
+      else
+        column_counter_next <= RIGHT_BORDER;                -- ... but do not count beyond right border
+      end if;
+    end if;
+  end process;
+
+----------------------------------------------------------------------------
+-- Line_counter [0..479]: calculates line number for next pixel to be displayed
+----------------------------------------------------------------------------
+
+  LINE_COUNT_syn: process(clk, reset, line_counter_next)
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then                              -- synchronous reset
+        line_counter_sig <= (others => '0');
+      else
+        line_counter_sig <= line_counter_next;             -- synchronous capture
+      end if;
+    end if;
+  end process;
+
+  LINE_COUNT_next: process(set_line_counter, line_counter_sig, set_hsync_counter)
+  begin
+    if set_line_counter = ENABLE then                     -- reset counter
+      line_counter_next <= (others => '0');   
+    else
+      if line_counter_sig < BOTTOM_BORDER then 
+        if set_hsync_counter = '1' then                   -- when enabled
+          line_counter_next <= line_counter_sig + '1';    -- ... increment line
+        else
+          line_counter_next <= line_counter_sig;
+          end if;
+      else
+        line_counter_next <= BOTTOM_BORDER;               -- ... but do not count below bottom
+      end if;
+    end if;
+  end process;
+
+
+----------------------------------------------------------------------------
+-- Hsync_Counter: generates time base for HSYNC State Machine
+----------------------------------------------------------------------------
+
+  HSYNC_COUNT_syn: process(clk, reset, hsync_counter_next)
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then                        -- synchronous reset
+        hsync_counter <= (others => '0');
+      else
+        hsync_counter <= hsync_counter_next;         -- synchronous capture
+      end if;
+    end if;
+  end process;
+
+  HSYNC_COUNT_next: process(set_hsync_counter, hsync_counter)
+  begin
+    if set_hsync_counter = ENABLE then               -- reset counter
+      hsync_counter_next <= (others => '0');   
+    else
+      if hsync_counter < HSYN_CNT_MAX then 
+        hsync_counter_next <= hsync_counter + '1';   -- increment time
+      else
+        hsync_counter_next <= HSYN_CNT_MAX;          -- ... but do not count beyond max period
+      end if;
+    end if;
+  end process;
+
+
+----------------------------------------------------------------------------
+-- HSYNC STATE MACHINE: generates hsync signal and controls hsync counter & column counter
+----------------------------------------------------------------------------
+
+  HSYNC_FSM_syn: process (clk, reset)       -- synchronous capture
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then
+        hsync_state  <= RESET_STATE;
+        h_sync       <= '1';
+        v_enable_sig <= not(ENABLE);
+      else
+        hsync_state  <= hsync_state_next;
+        h_sync       <= h_sync_next;
+        v_enable_sig <= v_enable_next;
+      end if;
+    end if;
+  end process;
+
+  HSYNC_FSM_next : process(hsync_state, hsync_counter, h_sync, v_enable_sig)   -- next-state logic
+  begin                                 -- default assignments
+    hsync_state_next <= hsync_state;    -- ... hold current state
+    h_sync_next        <= h_sync;       -- ... and values
+    v_enable_next      <= v_enable_sig;
+
+    case hsync_state is
+      when RESET_STATE =>
+        h_sync_next      <= '0';        -- next signal values are defined here
+        v_enable_next    <= not(ENABLE);
+        hsync_state_next <= B_STATE;    -- ... as well as state transitions 
+      when B_STATE =>
+        h_sync_next      <= '0';
+        if hsync_counter = TIME_B then
+          hsync_state_next <= C_STATE;
+        end if;
+       -- C_STATE instead of D_STATE
+      when C_STATE =>
+        h_sync_next      <= '1';
+        if hsync_counter = TIME_BC then
+          hsync_state_next <= pre_D_STATE;
+        end if;
+      when pre_D_STATE =>
+        v_enable_next    <= ENABLE;
+        hsync_state_next <= D_STATE;        
+       -- D_STATE instead of C_STATE
+      when D_STATE =>
+        v_enable_next    <= ENABLE;
+        if hsync_counter = TIME_BCD then
+          hsync_state_next <= E_STATE;
+        end if;
+      when E_STATE =>
+        v_enable_next    <= not(ENABLE);
+        if hsync_counter = TIME_A then
+          hsync_state_next <= pre_B_STATE;
+        end if;
+      when pre_B_STATE =>
+        h_sync_next      <= '0';
+        v_enable_next    <= not(ENABLE);        
+        hsync_state_next <= B_STATE;
+      when others =>
+        null;
+    end case;
+  end process;
+
+  HSYNC_FSM_out : process(hsync_state)  -- output logic
+  begin
+    set_hsync_counter  <= not(ENABLE);      -- default assignments
+    set_column_counter <= not(ENABLE);
+
+    case hsync_state is
+      when RESET_STATE =>                   -- outputs for each state are defined here
+        set_hsync_counter  <= ENABLE;
+      when pre_D_STATE =>
+        set_column_counter <= ENABLE;
+      when pre_B_STATE =>
+        set_hsync_counter  <= ENABLE;
+      when others =>
+        null;
+    end case;
+  end process;
+
+
+----------------------------------------------------------------------------
+-- Vsync_Counter: generates time base for VSYNC State Machine
+----------------------------------------------------------------------------
+
+  VSYNC_COUNT_syn: process(clk, reset, vsync_counter_next)
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then                        -- synchronous reset
+        vsync_counter <= (others => '0');
+      else
+        vsync_counter <= vsync_counter_next;         -- synchronous capture
+      end if;
+    end if;
+  end process;
+
+  VSYNC_COUNT_next: process(set_vsync_counter, vsync_counter, set_hsync_counter)
+  begin
+    if set_vsync_counter = ENABLE then               -- reset counter
+      vsync_counter_next <= (others => '0');   
+    else
+      if vsync_counter < VSYN_CNT_MAX then 
+        if set_hsync_counter = '1' then              -- if enabled
+          vsync_counter_next <= vsync_counter + '1'; -- ... increment time
+        else
+          vsync_counter_next <= vsync_counter;
+        end if;
+      else
+        vsync_counter_next <= VSYN_CNT_MAX;          -- ... but do not count beyond max period
+      end if;
+    end if;
+  end process;
+
+
+----------------------------------------------------------------------------
+-- VSYNC STATE MACHINE: generates vsync signal and controls vsync counter & line counter 
+----------------------------------------------------------------------------
+
+  VSYNC_FSM_syn : process (clk, reset)      -- synchronous capture
+  begin
+    if clk'event and clk = '1' then
+      if reset = RES_ACT then
+        vsync_state  <= RESET_STATE;
+        v_sync       <= '1';
+        h_enable_sig <= not(ENABLE);
+      else
+        vsync_state  <= vsync_state_next;
+        v_sync       <= v_sync_next;
+        h_enable_sig <= h_enable_next;
+      end if;
+    end if;
+  end process;
+
+  VSYNC_FSM_next : process(vsync_state, vsync_counter, v_sync, h_enable_sig)
+  begin                                     -- next state logic
+    vsync_state_next <= vsync_state;        -- default assignments
+    v_sync_next       <= v_sync;
+    h_enable_next     <= h_enable_sig;
+
+    case vsync_state is                     -- state transitions and next signals are defined here
+      when RESET_STATE =>
+        v_sync_next      <= '0';
+        h_enable_next    <= not(ENABLE);
+        vsync_state_next <= P_STATE;
+      when P_STATE =>
+        v_sync_next      <= '0';
+        if vsync_counter = time_p then
+          vsync_state_next <= Q_STATE;
+        end if;
+      when Q_STATE =>
+        v_sync_next      <= '1';
+        if vsync_counter = time_pq then
+          vsync_state_next <= pre_R_STATE;
+        end if;
+      when pre_R_STATE =>
+        h_enable_next    <= ENABLE;
+        vsync_state_next <= R_STATE;
+      when R_STATE =>
+        h_enable_next    <= ENABLE;
+        if vsync_counter = time_pqr then
+          vsync_state_next <= S_STATE;
+        end if;
+      when S_STATE =>
+        h_enable_next    <= not(ENABLE);
+        if vsync_counter = time_o then
+          vsync_state_next <= pre_P_STATE;
+        end if;
+      when pre_P_STATE =>
+        v_sync_next      <= '0';
+        h_enable_next    <= not(ENABLE);
+        vsync_state_next <= P_STATE;
+      when others =>
+        null;
+    end case;
+  end process;
+
+  VSYNC_FSM_out : process(vsync_state)
+  begin                                       -- output logic
+    set_vsync_counter <= not(ENABLE);         -- output values for each state defined here
+    set_line_counter  <= not(ENABLE);
+
+    case vsync_state is
+      when RESET_STATE =>
+        set_vsync_counter <= ENABLE;
+      when pre_R_STATE =>
+        set_line_counter  <= ENABLE;
+      when pre_P_STATE =>
+        set_vsync_counter <= ENABLE;
+      when others => 
+        null;
+    end case;
+  end process;
+
+
+
+-- signal wiring for entity (introduced _sig to allow readback of output signals)
+
+  column_counter <= column_counter_sig;
+  v_enable       <= v_enable_sig;
+  line_counter   <= line_counter_sig;
+  h_enable       <= h_enable_sig;
+
+
+  hsync <= h_sync;
+  vsync <= v_sync;
+
+  -----------------------------------------------------------------------------
+  -- debug signals
+  -----------------------------------------------------------------------------
+  d_hsync_state        <= hsync_state;
+  d_vsync_state        <= vsync_state;
+  d_hsync_counter      <= hsync_counter;
+  d_vsync_counter      <= vsync_counter;
+  d_set_hsync_counter  <= set_hsync_counter;
+  d_set_vsync_counter  <= set_vsync_counter;
+  d_set_column_counter <= set_column_counter;
+  d_set_line_counter   <= set_line_counter;
+  
+end behav;
+
+-------------------------------------------------------------------------------
+-- END ARCHITECTURE
+-------------------------------------------------------------------------------
diff --git a/bsp4/Designflow/src/vga_driver_ent.vhd b/bsp4/Designflow/src/vga_driver_ent.vhd
new file mode 100644 (file)
index 0000000..f4c00be
--- /dev/null
@@ -0,0 +1,60 @@
+-------------------------------------------------------------------------------
+-- Title      : vga_driver entity
+-- Project    : LU Digital Design
+-------------------------------------------------------------------------------
+-- File       : vga_driver_ent.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-12-15
+-- Last update: 2006-02-24
+-------------------------------------------------------------------------------
+-- Description: generate vsync and hsync
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-12-15  1.0      handl   Created
+-- 2006-02-24  2.0      ST      revised
+-------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+-------------------------------------------------------------------------------
+-- ENTITY
+-------------------------------------------------------------------------------
+
+
+entity vga_driver is
+  port(clk            : in  std_logic;
+       reset          : in  std_logic;
+       column_counter : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+       line_counter   : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+       h_enable       : out std_logic;
+       v_enable       : out std_logic;
+       hsync, vsync   : out std_logic;
+
+       d_hsync_state        : out hsync_state_type;
+       d_vsync_state        : out vsync_state_type;
+       d_hsync_counter      : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+       d_vsync_counter      : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+       d_set_hsync_counter  : out std_logic;
+       d_set_vsync_counter  : out std_logic;
+       d_set_column_counter : out std_logic;
+       d_set_line_counter   : out std_logic
+       );
+
+end vga_driver;
+
+-------------------------------------------------------------------------------
+-- END ENTITY
+-------------------------------------------------------------------------------
diff --git a/bsp4/Designflow/src/vga_ent.vhd b/bsp4/Designflow/src/vga_ent.vhd
new file mode 100644 (file)
index 0000000..1c86768
--- /dev/null
@@ -0,0 +1,74 @@
+-------------------------------------------------------------------------------
+-- Title      : vga entitiy
+-- Project    : LU Digital Design
+-------------------------------------------------------------------------------
+-- File       : vga_ent.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-04-07
+-- Last update: 2007-09-13
+-------------------------------------------------------------------------------
+-- Description: entity of top level module, external pins defined here
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-04-07  1.0      handl   Created
+-- 2006-02-24  2.0      ST      revised
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+
+-------------------------------------------------------------------------------
+-- ENTITY
+-------------------------------------------------------------------------------
+
+entity vga is
+  port(
+-- comment this in
+-- input pins from PCB board  
+       clk_pin                                  : in  std_logic;         -- clock pin
+       reset_pin                                : in  std_logic;         -- reset pins (from switch)
+-- output pins to RGB connector / VGA screen
+       r0_pin, r1_pin, r2_pin                   : out std_logic;         -- to RGB connector "red"
+       g0_pin, g1_pin, g2_pin                   : out std_logic;         -- to RGB connector "green"
+       b0_pin, b1_pin                           : out std_logic;         -- to RGB connector "blue"
+       hsync_pin                                : out std_logic;         -- to RGB connector "Hsync"
+       vsync_pin                                : out std_logic;         -- to RGB connector "Vsync"
+-- output pins to 7-segment display
+       seven_seg_pin                                 : out std_logic_vector(2*SEG_WIDTH-1 downto 0);
+-- output pins provided for debugging only / logic analyzer
+       d_hsync, d_vsync                         : out std_logic;         -- copy of hsync_pin, vsync_pin
+       d_column_counter                         : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+       d_line_counter                           : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+       d_set_column_counter, d_set_line_counter : out std_logic;
+       d_hsync_counter                          : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+       d_vsync_counter                          : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+       d_set_hsync_counter, d_set_vsync_counter : out std_logic;
+       d_h_enable                               : out std_logic;
+       d_v_enable                               : out std_logic;
+       d_r, d_g, d_b                            : out std_logic;
+       d_hsync_state                            : out hsync_state_type;
+       d_vsync_state                            : out vsync_state_type;
+       d_state_clk                              : out std_logic;
+       d_toggle                                 : out std_logic;
+       d_toggle_counter                         : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0)
+       );
+
+end vga;
+
+-------------------------------------------------------------------------------
+-- END ENTITY
+-------------------------------------------------------------------------------
diff --git a/bsp4/Designflow/src/vga_pak.vhd b/bsp4/Designflow/src/vga_pak.vhd
new file mode 100644 (file)
index 0000000..61c8adf
--- /dev/null
@@ -0,0 +1,85 @@
+-------------------------------------------------------------------------------\r
+-- Title      : vga package\r
+-- Project    : LU Digital Design\r
+-------------------------------------------------------------------------------\r
+-- File       : vga_pak.vhd\r
+-- Author     : Thomas Handl\r
+-- Company    : TU Wien\r
+-- Created    : 2004-08-19\r
+-- Last update: 2006-02-24\r
+-------------------------------------------------------------------------------\r
+-- Description: definitions of global constants and enumerated types\r
+-------------------------------------------------------------------------------\r
+-- Copyright (c) 2004 TU Wien\r
+-------------------------------------------------------------------------------\r
+-- Revisions  :\r
+-- Date        Version  Author  Description\r
+-- 2004-08-19  1.0      handl   Created\r
+-- 2006-02-24  2.0      ST      revised\r
+-------------------------------------------------------------------------------\r
+\r
+-------------------------------------------------------------------------------\r
+-- LIBRARIES\r
+-------------------------------------------------------------------------------\r
+\r
+library IEEE;\r
+use IEEE.std_logic_1164.all;\r
+use IEEE.std_logic_unsigned.all;\r
+use IEEE.std_logic_arith.all;\r
+\r
+\r
+-------------------------------------------------------------------------------\r
+-- PACKAGE\r
+-------------------------------------------------------------------------------\r
+\r
+package vga_pak is\r
+\r
+  constant RES_ACT   : std_logic := '0';            -- define reset active LO\r
+  constant ENABLE    : std_logic := '1';            -- define diverse enable HI\r
+  constant COLR_ON    : std_logic := '1';           -- define VGA color on as HI\r
+  constant COLR_OFF   : std_logic := '0';           -- define VGA color off as LO\r
+  constant SEG_WIDTH : integer := 7;                -- display has 7 segments\r
+  constant BCD_WIDTH : integer := 4;                -- BCD number has 4 bit\r
+  constant TOG_CNT_WIDTH : integer := 25;           -- bitwidth of counter that controls blinking\r
+\r
+  constant COL_CNT_WIDTH   : integer := 10;          -- width of the column counter\r
+  constant LINE_CNT_WIDTH  : integer := 9;           -- width of the line counter\r
+  constant HSYN_CNT_WIDTH : integer := 10;          -- width of the h-sync counter\r
+  constant VSYN_CNT_WIDTH : integer := 10;          -- width of the v-sync counter\r
+\r
+  constant RIGHT_BORDER:  std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "1001111111";  -- 640 columns (0...639)\r
+  constant BOTTOM_BORDER: std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "111011111";   -- 480 lines (0...479)\r
+\r
+  -- define coordinates of rectangle\r
+  constant X_MIN : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0001100100";  -- 100\r
+  constant X_MAX : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0011001000";  -- 200\r
+  constant Y_MIN : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "001100100";\r
+  constant Y_MAX : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "011001000";\r
+\r
+  -- define emumerated types for state machines\r
+  type hsync_state_type is (RESET_STATE, B_STATE, C_STATE, D_STATE, E_STATE,\r
+                            pre_D_STATE, pre_B_STATE);\r
+  type vsync_state_type is (RESET_STATE, P_STATE, Q_STATE, R_STATE, S_STATE,\r
+                            pre_R_STATE, pre_P_STATE);\r
+  \r
+  --  Definitions for 7-segment display                             gfedcba\r
+  constant DIGIT_ZERO  : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000000";\r
+  constant DIGIT_ONE   : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111001";\r
+  constant DIGIT_TWO   : std_logic_vector(SEG_WIDTH-1 downto 0) := "0100100";\r
+  constant DIGIT_THREE : std_logic_vector(SEG_WIDTH-1 downto 0) := "0110000";\r
+  constant DIGIT_FOUR  : std_logic_vector(SEG_WIDTH-1 downto 0) := "0011001";\r
+  constant DIGIT_FIVE  : std_logic_vector(SEG_WIDTH-1 downto 0) := "0010010";\r
+  constant DIGIT_SIX   : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000010";\r
+  constant DIGIT_SEVEN : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111000";\r
+  constant DIGIT_EIGHT : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000000";\r
+  constant DIGIT_NINE  : std_logic_vector(SEG_WIDTH-1 downto 0) := "0011000";\r
+  constant DIGIT_MINUS : std_logic_vector(SEG_WIDTH-1 downto 0) := "0111111";\r
+  constant DIGIT_A     : std_logic_vector(SEG_WIDTH-1 downto 0) := "0001000";\r
+  constant DIGIT_B     : std_logic_vector(SEG_WIDTH-1 downto 0) := "0000011";\r
+  constant DIGIT_C     : std_logic_vector(SEG_WIDTH-1 downto 0) := "0110001";\r
+  constant DIGIT_D     : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000010";\r
+  constant DIGIT_E     : std_logic_vector(SEG_WIDTH-1 downto 0) := "1001111";\r
+  constant DIGIT_F     : std_logic_vector(SEG_WIDTH-1 downto 0) := "1000111";\r
+  constant DIGIT_OFF   : std_logic_vector(SEG_WIDTH-1 downto 0) := "1111111";\r
\r
+end package;\r
diff --git a/bsp4/Designflow/src/vga_pll.bdf b/bsp4/Designflow/src/vga_pll.bdf
new file mode 100755 (executable)
index 0000000..906c435
--- /dev/null
@@ -0,0 +1,847 @@
+/*\r
+WARNING: Do NOT edit the input and output ports in this file in a text\r
+editor if you plan to continue editing the block that represents it in\r
+the Block Editor! File corruption is VERY likely to occur.\r
+*/\r
+/*\r
+Copyright (C) 1991-2006 Altera Corporation\r
+Your use of Altera Corporation's design tools, logic functions \r
+and other software and tools, and its AMPP partner logic \r
+functions, and any output files any of the foregoing \r
+(including device programming or simulation files), and any \r
+associated documentation or information are expressly subject \r
+to the terms and conditions of the Altera Program License \r
+Subscription Agreement, Altera MegaCore Function License \r
+Agreement, or other applicable license agreement, including, \r
+without limitation, that your use is for the sole purpose of \r
+programming logic devices manufactured by Altera and sold by \r
+Altera or its authorized distributors.  Please refer to the \r
+applicable agreement for further details.\r
+*/\r
+(header "graphic" (version "1.3"))\r
+(pin\r
+       (input)\r
+       (rect 248 80 416 96)\r
+       (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))\r
+       (text "board_clk" (rect 5 0 52 12)(font "Arial" ))\r
+       (pt 168 8)\r
+       (drawing\r
+               (line (pt 92 12)(pt 117 12)(line_width 1))\r
+               (line (pt 92 4)(pt 117 4)(line_width 1))\r
+               (line (pt 121 8)(pt 168 8)(line_width 1))\r
+               (line (pt 92 12)(pt 92 4)(line_width 1))\r
+               (line (pt 117 4)(pt 121 8)(line_width 1))\r
+               (line (pt 117 12)(pt 121 8)(line_width 1))\r
+       )\r
+       (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))\r
+       (annotation_block (location)(rect 256 136 304 152))\r
+)\r
+(pin\r
+       (input)\r
+       (rect 544 96 712 112)\r
+       (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))\r
+       (text "reset" (rect 5 0 29 12)(font "Arial" ))\r
+       (pt 168 8)\r
+       (drawing\r
+               (line (pt 92 12)(pt 117 12)(line_width 1))\r
+               (line (pt 92 4)(pt 117 4)(line_width 1))\r
+               (line (pt 121 8)(pt 168 8)(line_width 1))\r
+               (line (pt 92 12)(pt 92 4)(line_width 1))\r
+               (line (pt 117 4)(pt 121 8)(line_width 1))\r
+               (line (pt 117 12)(pt 121 8)(line_width 1))\r
+       )\r
+       (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))\r
+       (annotation_block (location)(rect 512 176 560 192))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 256 1148 272)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_hsync" (rect 90 0 132 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 96 1188 112))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 272 1148 288)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_vsync" (rect 90 0 133 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 112 1188 128))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 288 1148 304)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_column_counter[9..0]" (rect 90 0 205 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 128 1188 272))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 320 1148 336)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_set_column_counter" (rect 90 0 200 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 160 1196 176))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 336 1148 352)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_set_line_counter" (rect 90 0 182 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 176 1188 192))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 352 1148 368)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_hsync_counter[9..0]" (rect 90 0 200 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 192 1196 248))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 368 1142 384)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_vsync_counter[9..0]" (rect 90 0 202 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 208 1182 264))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 384 1142 400)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_set_hsync_counter" (rect 90 0 196 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 224 1182 240))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 400 1142 416)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_set_vsync_counter" (rect 90 0 197 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 240 1182 256))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 448 1142 464)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_r" (rect 90 0 105 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 288 1182 304))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 464 1142 480)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_g" (rect 90 0 107 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 304 1182 320))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 480 1142 496)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_b" (rect 90 0 107 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 320 1182 336))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 496 1142 512)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_hsync_state[0..6]" (rect 90 0 189 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1216 480 1264 584))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 512 1136 528)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_vsync_state[0..6]" (rect 90 0 190 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1256 352 1312 456))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 304 1148 320)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_line_counter[8..0]" (rect 90 0 186 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1140 144 1188 272))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 416 1142 432)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_h_enable" (rect 90 0 145 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 256 1182 272))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 432 1142 448)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_v_enable" (rect 90 0 146 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1134 272 1182 288))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 528 1148 544)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_state_clk" (rect 90 0 146 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1404 88 1452 104))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 544 1148 560)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_toggle" (rect 90 0 131 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1468 112 1516 128))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 80 1104 96)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "r0_pin" (rect 90 0 119 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 400 1144 416))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 96 1104 112)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "r1_pin" (rect 90 0 119 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 416 1144 432))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 112 1104 128)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "r2_pin" (rect 90 0 119 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 432 1144 448))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 128 1104 144)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "g0_pin" (rect 90 0 121 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 448 1144 464))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 144 1104 160)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "g1_pin" (rect 90 0 121 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 464 1144 480))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 160 1104 176)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "g2_pin" (rect 90 0 121 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 480 1144 496))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 176 1104 192)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "b0_pin" (rect 90 0 121 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 496 1144 512))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 192 1104 208)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "b1_pin" (rect 90 0 121 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 512 1144 528))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 240 1148 256)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "seven_seg_pin[13..0]" (rect 90 0 196 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1508 472 1572 672))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 560 1148 576)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "d_toggle_counter[24..0]" (rect 90 0 205 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1492 128 1548 288))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 208 1104 224)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "hsync_pin" (rect 90 0 140 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 528 1144 544))\r
+)\r
+(pin\r
+       (output)\r
+       (rect 928 224 1104 240)\r
+       (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))\r
+       (text "vsync_pin" (rect 90 0 141 12)(font "Arial" ))\r
+       (pt 0 8)\r
+       (drawing\r
+               (line (pt 0 8)(pt 52 8)(line_width 1))\r
+               (line (pt 52 4)(pt 78 4)(line_width 1))\r
+               (line (pt 52 12)(pt 78 12)(line_width 1))\r
+               (line (pt 52 12)(pt 52 4)(line_width 1))\r
+               (line (pt 78 4)(pt 82 8)(line_width 1))\r
+               (line (pt 82 8)(pt 78 12)(line_width 1))\r
+               (line (pt 78 12)(pt 82 8)(line_width 1))\r
+       )\r
+       (annotation_block (location)(rect 1096 544 1144 560))\r
+)\r
+(symbol\r
+       (rect 712 56 928 600)\r
+       (text "vga" (rect 5 0 23 12)(font "Arial" ))\r
+       (text "inst" (rect 8 528 25 540)(font "Arial" ))\r
+       (port\r
+               (pt 0 32)\r
+               (input)\r
+               (text "clk_pin" (rect 0 0 34 12)(font "Arial" ))\r
+               (text "clk_pin" (rect 21 27 55 39)(font "Arial" ))\r
+               (line (pt 0 32)(pt 16 32)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 48)\r
+               (input)\r
+               (text "reset_pin" (rect 0 0 44 12)(font "Arial" ))\r
+               (text "reset_pin" (rect 21 43 65 55)(font "Arial" ))\r
+               (line (pt 0 48)(pt 16 48)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 32)\r
+               (output)\r
+               (text "r0_pin" (rect 0 0 29 12)(font "Arial" ))\r
+               (text "r0_pin" (rect 166 27 195 39)(font "Arial" ))\r
+               (line (pt 216 32)(pt 200 32)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 48)\r
+               (output)\r
+               (text "r1_pin" (rect 0 0 29 12)(font "Arial" ))\r
+               (text "r1_pin" (rect 166 43 195 55)(font "Arial" ))\r
+               (line (pt 216 48)(pt 200 48)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 64)\r
+               (output)\r
+               (text "r2_pin" (rect 0 0 29 12)(font "Arial" ))\r
+               (text "r2_pin" (rect 166 59 195 71)(font "Arial" ))\r
+               (line (pt 216 64)(pt 200 64)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 80)\r
+               (output)\r
+               (text "g0_pin" (rect 0 0 31 12)(font "Arial" ))\r
+               (text "g0_pin" (rect 164 75 195 87)(font "Arial" ))\r
+               (line (pt 216 80)(pt 200 80)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 96)\r
+               (output)\r
+               (text "g1_pin" (rect 0 0 31 12)(font "Arial" ))\r
+               (text "g1_pin" (rect 164 91 195 103)(font "Arial" ))\r
+               (line (pt 216 96)(pt 200 96)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 112)\r
+               (output)\r
+               (text "g2_pin" (rect 0 0 31 12)(font "Arial" ))\r
+               (text "g2_pin" (rect 164 107 195 119)(font "Arial" ))\r
+               (line (pt 216 112)(pt 200 112)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 128)\r
+               (output)\r
+               (text "b0_pin" (rect 0 0 31 12)(font "Arial" ))\r
+               (text "b0_pin" (rect 164 123 195 135)(font "Arial" ))\r
+               (line (pt 216 128)(pt 200 128)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 144)\r
+               (output)\r
+               (text "b1_pin" (rect 0 0 31 12)(font "Arial" ))\r
+               (text "b1_pin" (rect 164 139 195 151)(font "Arial" ))\r
+               (line (pt 216 144)(pt 200 144)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 160)\r
+               (output)\r
+               (text "hsync_pin" (rect 0 0 50 12)(font "Arial" ))\r
+               (text "hsync_pin" (rect 145 155 195 167)(font "Arial" ))\r
+               (line (pt 216 160)(pt 200 160)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 176)\r
+               (output)\r
+               (text "vsync_pin" (rect 0 0 51 12)(font "Arial" ))\r
+               (text "vsync_pin" (rect 144 171 195 183)(font "Arial" ))\r
+               (line (pt 216 176)(pt 200 176)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 192)\r
+               (output)\r
+               (text "seven_seg_pin[13..0]" (rect 0 0 106 12)(font "Arial" ))\r
+               (text "seven_seg_pin[13..0]" (rect 89 187 195 199)(font "Arial" ))\r
+               (line (pt 216 192)(pt 200 192)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 208)\r
+               (output)\r
+               (text "d_hsync" (rect 0 0 42 12)(font "Arial" ))\r
+               (text "d_hsync" (rect 153 203 195 215)(font "Arial" ))\r
+               (line (pt 216 208)(pt 200 208)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 224)\r
+               (output)\r
+               (text "d_vsync" (rect 0 0 43 12)(font "Arial" ))\r
+               (text "d_vsync" (rect 152 219 195 231)(font "Arial" ))\r
+               (line (pt 216 224)(pt 200 224)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 240)\r
+               (output)\r
+               (text "d_column_counter[9..0]" (rect 0 0 115 12)(font "Arial" ))\r
+               (text "d_column_counter[9..0]" (rect 80 235 195 247)(font "Arial" ))\r
+               (line (pt 216 240)(pt 200 240)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 256)\r
+               (output)\r
+               (text "d_line_counter[8..0]" (rect 0 0 96 12)(font "Arial" ))\r
+               (text "d_line_counter[8..0]" (rect 99 251 195 263)(font "Arial" ))\r
+               (line (pt 216 256)(pt 200 256)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 272)\r
+               (output)\r
+               (text "d_set_column_counter" (rect 0 0 110 12)(font "Arial" ))\r
+               (text "d_set_column_counter" (rect 85 267 195 279)(font "Arial" ))\r
+               (line (pt 216 272)(pt 200 272)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 288)\r
+               (output)\r
+               (text "d_set_line_counter" (rect 0 0 92 12)(font "Arial" ))\r
+               (text "d_set_line_counter" (rect 103 283 195 295)(font "Arial" ))\r
+               (line (pt 216 288)(pt 200 288)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 304)\r
+               (output)\r
+               (text "d_hsync_counter[9..0]" (rect 0 0 110 12)(font "Arial" ))\r
+               (text "d_hsync_counter[9..0]" (rect 85 299 195 311)(font "Arial" ))\r
+               (line (pt 216 304)(pt 200 304)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 320)\r
+               (output)\r
+               (text "d_vsync_counter[9..0]" (rect 0 0 112 12)(font "Arial" ))\r
+               (text "d_vsync_counter[9..0]" (rect 83 315 195 327)(font "Arial" ))\r
+               (line (pt 216 320)(pt 200 320)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 336)\r
+               (output)\r
+               (text "d_set_hsync_counter" (rect 0 0 106 12)(font "Arial" ))\r
+               (text "d_set_hsync_counter" (rect 89 331 195 343)(font "Arial" ))\r
+               (line (pt 216 336)(pt 200 336)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 352)\r
+               (output)\r
+               (text "d_set_vsync_counter" (rect 0 0 107 12)(font "Arial" ))\r
+               (text "d_set_vsync_counter" (rect 88 347 195 359)(font "Arial" ))\r
+               (line (pt 216 352)(pt 200 352)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 368)\r
+               (output)\r
+               (text "d_h_enable" (rect 0 0 55 12)(font "Arial" ))\r
+               (text "d_h_enable" (rect 140 363 195 375)(font "Arial" ))\r
+               (line (pt 216 368)(pt 200 368)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 384)\r
+               (output)\r
+               (text "d_v_enable" (rect 0 0 56 12)(font "Arial" ))\r
+               (text "d_v_enable" (rect 139 379 195 391)(font "Arial" ))\r
+               (line (pt 216 384)(pt 200 384)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 400)\r
+               (output)\r
+               (text "d_r" (rect 0 0 15 12)(font "Arial" ))\r
+               (text "d_r" (rect 180 395 195 407)(font "Arial" ))\r
+               (line (pt 216 400)(pt 200 400)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 416)\r
+               (output)\r
+               (text "d_g" (rect 0 0 17 12)(font "Arial" ))\r
+               (text "d_g" (rect 178 411 195 423)(font "Arial" ))\r
+               (line (pt 216 416)(pt 200 416)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 432)\r
+               (output)\r
+               (text "d_b" (rect 0 0 17 12)(font "Arial" ))\r
+               (text "d_b" (rect 178 427 195 439)(font "Arial" ))\r
+               (line (pt 216 432)(pt 200 432)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 448)\r
+               (output)\r
+               (text "d_hsync_state[0..6]" (rect 0 0 99 12)(font "Arial" ))\r
+               (text "d_hsync_state[0..6]" (rect 96 443 195 455)(font "Arial" ))\r
+               (line (pt 216 448)(pt 200 448)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 464)\r
+               (output)\r
+               (text "d_vsync_state[0..6]" (rect 0 0 100 12)(font "Arial" ))\r
+               (text "d_vsync_state[0..6]" (rect 95 459 195 471)(font "Arial" ))\r
+               (line (pt 216 464)(pt 200 464)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 216 480)\r
+               (output)\r
+               (text "d_state_clk" (rect 0 0 56 12)(font "Arial" ))\r
+               (text "d_state_clk" (rect 139 475 195 487)(font "Arial" ))\r
+               (line (pt 216 480)(pt 200 480)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 496)\r
+               (output)\r
+               (text "d_toggle" (rect 0 0 41 12)(font "Arial" ))\r
+               (text "d_toggle" (rect 154 491 195 503)(font "Arial" ))\r
+               (line (pt 216 496)(pt 200 496)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 216 512)\r
+               (output)\r
+               (text "d_toggle_counter[24..0]" (rect 0 0 115 12)(font "Arial" ))\r
+               (text "d_toggle_counter[24..0]" (rect 80 507 195 519)(font "Arial" ))\r
+               (line (pt 216 512)(pt 200 512)(line_width 3))\r
+       )\r
+       (drawing\r
+               (rectangle (rect 16 16 200 528)(line_width 1))\r
+       )\r
+)\r
+(symbol\r
+       (rect 416 56 512 152)\r
+       (text "vpll" (rect 5 0 22 12)(font "Arial" ))\r
+       (text "inst1" (rect 8 80 31 92)(font "Arial" ))\r
+       (port\r
+               (pt 0 32)\r
+               (input)\r
+               (text "inclk0" (rect 0 0 28 12)(font "Arial" ))\r
+               (text "inclk0" (rect 21 27 49 39)(font "Arial" ))\r
+               (line (pt 0 32)(pt 16 32)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 96 32)\r
+               (output)\r
+               (text "c0" (rect 0 0 11 12)(font "Arial" ))\r
+               (text "c0" (rect 64 27 75 39)(font "Arial" ))\r
+               (line (pt 96 32)(pt 80 32)(line_width 1))\r
+       )\r
+       (drawing\r
+               (rectangle (rect 16 16 80 80)(line_width 1))\r
+       )\r
+)\r
+(connector\r
+       (pt 512 88)\r
+       (pt 712 88)\r
+)\r
diff --git a/bsp4/Designflow/src/vga_pll.tcl b/bsp4/Designflow/src/vga_pll.tcl
new file mode 100755 (executable)
index 0000000..c260434
--- /dev/null
@@ -0,0 +1,184 @@
+# Copyright (C) 1991-2006 Altera Corporation\r
+# Your use of Altera Corporation's design tools, logic functions \r
+# and other software and tools, and its AMPP partner logic \r
+# functions, and any output files any of the foregoing \r
+# (including device programming or simulation files), and any \r
+# associated documentation or information are expressly subject \r
+# to the terms and conditions of the Altera Program License \r
+# Subscription Agreement, Altera MegaCore Function License \r
+# Agreement, or other applicable license agreement, including, \r
+# without limitation, that your use is for the sole purpose of \r
+# programming logic devices manufactured by Altera and sold by \r
+# Altera or its authorized distributors.  Please refer to the \r
+# applicable agreement for further details.\r
+\r
+# Quartus II: Generate Tcl File for Project\r
+# File: vga_pll.tcl\r
+# Generated on: Fri Sep 29 09:31:24 2006\r
+\r
+# Load Quartus II Tcl Project package\r
+package require ::quartus::project\r
+package require ::quartus::flow\r
+\r
+set need_to_close_project 0\r
+set make_assignments 1\r
+\r
+# Check that the right project is open\r
+if {[is_project_open]} {\r
+       if {[string compare $quartus(project) "vga_pll"]} {\r
+               puts "Project vga_pll is not open"\r
+               set make_assignments 0\r
+       }\r
+} else {\r
+       # Only open if not already open\r
+       if {[project_exists vga_pll]} {\r
+               project_open -cmp vga_pll vga_pll\r
+       } else {\r
+               project_new -cmp vga_pll vga_pll\r
+       }\r
+       set need_to_close_project 1\r
+}\r
+\r
+# Make assignments\r
+if {$make_assignments} {\r
+       catch { set_global_assignment -name FAMILY Stratix } result\r
+       catch { set_global_assignment -name DEVICE EP1S25F672C6 } result\r
+       catch { set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0 } result\r
+       catch { set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:04:10  SEPTEMBER 29, 2006" } result\r
+       catch { set_global_assignment -name LAST_QUARTUS_VERSION 6.0 } result\r
+       catch { set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Synplify Pro" } result\r
+       catch { set_global_assignment -name EDA_LMF_FILE synplcty.lmf -section_id eda_design_synthesis } result\r
+       catch { set_global_assignment -name EDA_INPUT_DATA_FORMAT VQM -section_id eda_design_synthesis } result\r
+       catch { set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" } result\r
+       catch { set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation } result\r
+       catch { set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 } result\r
+       catch { set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 } result\r
+       catch { set_global_assignment -name BSF_FILE ../../src/vpll.bsf } result\r
+       catch { set_global_assignment -name VHDL_FILE ../../src/vpll.vhd } result\r
+       catch { set_global_assignment -name BDF_FILE ../../src/vga_pll.bdf } result\r
+       catch { set_global_assignment -name VQM_FILE ../../syn/rev_1/vga.vqm } result\r
+\r
+       set_location_assignment PIN_E24 -to b0_pin\r
+       set_location_assignment PIN_T6 -to b1_pin\r
+       set_location_assignment PIN_N3 -to board_clk\r
+       set_location_assignment PIN_E23 -to g0_pin\r
+       set_location_assignment PIN_T5 -to g1_pin\r
+       set_location_assignment PIN_T24 -to g2_pin\r
+       set_location_assignment PIN_F1 -to hsync_pin\r
+       set_location_assignment PIN_E22 -to r0_pin\r
+       set_location_assignment PIN_T4 -to r1_pin\r
+       set_location_assignment PIN_T7 -to r2_pin\r
+       set_location_assignment PIN_A5 -to reset\r
+       set_location_assignment PIN_F2 -to vsync_pin\r
+       set_location_assignment PIN_Y5 -to d_hsync_state[0]\r
+       set_location_assignment PIN_F19 -to d_hsync_state[1]\r
+       set_location_assignment PIN_F17 -to d_hsync_state[2]\r
+       set_location_assignment PIN_Y2 -to d_hsync_state[3]\r
+       set_location_assignment PIN_F10 -to d_hsync_state[4]\r
+       set_location_assignment PIN_F9 -to d_hsync_state[5]\r
+       set_location_assignment PIN_F6 -to d_hsync_state[6]\r
+       set_location_assignment PIN_H4 -to d_hsync_counter[0]\r
+       set_location_assignment PIN_G25 -to d_hsync_counter[7]\r
+       set_location_assignment PIN_G22 -to d_hsync_counter[8]\r
+       set_location_assignment PIN_G18 -to d_hsync_counter[9]\r
+       set_location_assignment PIN_F5 -to d_vsync_state[0]\r
+       set_location_assignment PIN_F4 -to d_vsync_state[1]\r
+       set_location_assignment PIN_F3 -to d_vsync_state[2]\r
+       set_location_assignment PIN_M19 -to d_vsync_state[3]\r
+       set_location_assignment PIN_M18 -to d_vsync_state[4]\r
+       set_location_assignment PIN_M7 -to d_vsync_state[5]\r
+       set_location_assignment PIN_M4 -to d_vsync_state[6]\r
+       set_location_assignment PIN_G9 -to d_vsync_counter[0]\r
+       set_location_assignment PIN_G6 -to d_vsync_counter[7]\r
+       set_location_assignment PIN_G4 -to d_vsync_counter[8]\r
+       set_location_assignment PIN_G2 -to d_vsync_counter[9]\r
+       set_location_assignment PIN_K6 -to d_line_counter[0]\r
+       set_location_assignment PIN_K4 -to d_line_counter[1]\r
+       set_location_assignment PIN_J22 -to d_line_counter[2]\r
+       set_location_assignment PIN_M9 -to d_line_counter[3]\r
+       set_location_assignment PIN_M8 -to d_line_counter[4]\r
+       set_location_assignment PIN_M6 -to d_line_counter[5]\r
+       set_location_assignment PIN_M5 -to d_line_counter[6]\r
+       set_location_assignment PIN_L24 -to d_line_counter[7]\r
+       set_location_assignment PIN_L25 -to d_line_counter[8]\r
+       set_location_assignment PIN_L23 -to d_column_counter[0]\r
+       set_location_assignment PIN_L22 -to d_column_counter[1]\r
+       set_location_assignment PIN_L21 -to d_column_counter[2]\r
+       set_location_assignment PIN_L20 -to d_column_counter[3]\r
+       set_location_assignment PIN_L6 -to d_column_counter[4]\r
+       set_location_assignment PIN_L4 -to d_column_counter[5]\r
+       set_location_assignment PIN_L2 -to d_column_counter[6]\r
+       set_location_assignment PIN_K23 -to d_column_counter[7]\r
+       set_location_assignment PIN_K19 -to d_column_counter[8]\r
+       set_location_assignment PIN_K5 -to d_column_counter[9]\r
+       set_location_assignment PIN_L7 -to d_hsync\r
+       set_location_assignment PIN_L5 -to d_vsync\r
+       set_location_assignment PIN_F26 -to d_set_hsync_counter\r
+       set_location_assignment PIN_F24 -to d_set_vsync_counter\r
+       set_location_assignment PIN_F21 -to d_set_line_counter\r
+       set_location_assignment PIN_Y23 -to d_set_column_counter\r
+       set_location_assignment PIN_L3 -to d_r\r
+       set_location_assignment PIN_K24 -to d_g\r
+       set_location_assignment PIN_K20 -to d_b\r
+       set_location_assignment PIN_H18 -to d_v_enable\r
+       set_location_assignment PIN_J21 -to d_h_enable\r
+       set_location_assignment PIN_R8 -to seven_seg_pin[0]\r
+       set_location_assignment PIN_R9 -to seven_seg_pin[1]\r
+       set_location_assignment PIN_R19 -to seven_seg_pin[2]\r
+       set_location_assignment PIN_R20 -to seven_seg_pin[3]\r
+       set_location_assignment PIN_R21 -to seven_seg_pin[4]\r
+       set_location_assignment PIN_R22 -to seven_seg_pin[5]\r
+       set_location_assignment PIN_R23 -to seven_seg_pin[6]\r
+       set_location_assignment PIN_Y11 -to seven_seg_pin[7]\r
+       set_location_assignment PIN_N7 -to seven_seg_pin[8]\r
+       set_location_assignment PIN_N8 -to seven_seg_pin[9]\r
+       set_location_assignment PIN_R4 -to seven_seg_pin[10]\r
+       set_location_assignment PIN_R6 -to seven_seg_pin[11]\r
+       set_location_assignment PIN_AA11 -to seven_seg_pin[12]\r
+       set_location_assignment PIN_T2 -to seven_seg_pin[13]\r
+       set_location_assignment PIN_K3 -to d_state_clk\r
+        set_location_assignment PIN_H3 -to d_toggle\r
+        set_location_assignment PIN_H26 -to d_toggle_counter[0]\r
+        set_location_assignment PIN_G24 -to d_toggle_counter[15]\r
+        set_location_assignment PIN_G23 -to d_toggle_counter[16]\r
+        set_location_assignment PIN_G21 -to d_toggle_counter[17]\r
+        set_location_assignment PIN_G20 -to d_toggle_counter[18]\r
+        set_location_assignment PIN_G5 -to d_toggle_counter[19]\r
+        set_location_assignment PIN_G3 -to d_toggle_counter[20]\r
+        set_location_assignment PIN_G1 -to d_toggle_counter[21]\r
+        set_location_assignment PIN_F25 -to d_toggle_counter[22]\r
+        set_location_assignment PIN_F23 -to d_toggle_counter[23]\r
+        set_location_assignment PIN_T19 -to d_toggle_counter[24]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_column_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[1]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[2]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[3]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[4]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[5]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_counter[6]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_hsync_state\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_line_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[1]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[2]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[3]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[4]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[5]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_counter[6]\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to d_vsync_state\r
+       set_instance_assignment -name SLOW_SLEW_RATE ON -to seven_seg_pin\r
+\r
+\r
+       # Commit assignments\r
+       export_assignments\r
+\r
+execute_flow -compile\r
+\r
+       # Close project\r
+       if {$need_to_close_project} {\r
+               project_close\r
+       }\r
+}\r
diff --git a/bsp4/Designflow/src/vga_pos_tb.vhd b/bsp4/Designflow/src/vga_pos_tb.vhd
new file mode 100644 (file)
index 0000000..ebcff70
--- /dev/null
@@ -0,0 +1,198 @@
+-------------------------------------------------------------------------------
+-- Title      : vga testbench
+-- Project    : 
+-------------------------------------------------------------------------------
+-- File       : vga_tb.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-04-07
+-- Last update: 2006-09-29
+-- Platform   : 
+-------------------------------------------------------------------------------
+-- Description: 
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-04-07  1.0      handl   Created
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+
+-------------------------------------------------------------------------------
+-- ENTITY
+-------------------------------------------------------------------------------
+entity vga_pos_tb is
+
+end vga_pos_tb;
+
+
+-------------------------------------------------------------------------------
+-- ARCHITECTURE
+-------------------------------------------------------------------------------
+architecture structure of vga_pos_tb is
+  
+  constant cc : time := 39.7 ns;        -- test clock period
+
+  component vga
+    port (
+      clk_pin                                  : in  std_logic;
+      reset_pin                                : in  std_logic;
+      r0_pin, r1_pin, r2_pin                   : out std_logic;
+      g0_pin, g1_pin, g2_pin                   : out std_logic;
+      b0_pin, b1_pin                           : out std_logic;
+      hsync_pin                                : out std_logic;
+      vsync_pin                                : out std_logic;
+      seven_seg_pin                            : out std_logic_vector(2*SEG_WIDTH-1 downto 0);
+      d_hsync, d_vsync                         : out std_logic;
+      d_column_counter                         : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+      d_line_counter                           : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+      d_set_column_counter, d_set_line_counter : out std_logic;
+      d_hsync_counter                          : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+      d_vsync_counter                          : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+      d_set_hsync_counter, d_set_vsync_counter : out std_logic;
+      d_h_enable                               : out std_logic;
+      d_v_enable                               : out std_logic;
+      d_r, d_g, d_b                            : out std_logic;
+      d_hsync_state                            : out std_logic_vector(0 to 6);
+      d_vsync_state                            : out std_logic_vector(0 to 6);
+      d_state_clk                              : out std_logic;
+      d_toggle                                 : out std_logic;
+      d_toggle_counter                         : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0));
+  end component;
+  
+  signal clk_pin                                  : std_logic;
+  signal reset_pin                                : std_logic;
+  signal r0_pin, r1_pin, r2_pin                   : std_logic;
+  signal g0_pin, g1_pin, g2_pin                   : std_logic;
+  signal b0_pin, b1_pin                           : std_logic;
+  signal hsync_pin                                : std_logic;
+  signal vsync_pin                                : std_logic;
+  signal seven_seg_pin                            : std_logic_vector(2*SEG_WIDTH-1 downto 0);
+  signal d_hsync, d_vsync                         : std_logic;
+  signal d_column_counter                         : std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+  signal d_line_counter                           : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+  signal d_set_column_counter, d_set_line_counter : std_logic;
+  signal d_hsync_counter                          : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+  signal d_vsync_counter                          : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+  signal d_set_hsync_counter, d_set_vsync_counter : std_logic;
+  signal d_h_enable                               : std_logic;
+  signal d_v_enable                               : std_logic;
+  signal d_r, d_g, d_b                            : std_logic;
+  signal d_hsync_state                            : std_logic_vector(0 to 6);
+  signal d_vsync_state                            : std_logic_vector(0 to 6);
+  signal d_state_clk                              : std_logic;
+  signal d_toggle                                 : std_logic;
+  signal d_toggle_counter                         : std_logic_vector(TOG_CNT_WIDTH-1 downto 0);
+  signal clk                                      : std_logic;
+  
+begin
+
+  vga_unit: vga
+    port map (
+      clk_pin              => clk_pin,
+      reset_pin            => reset_pin,
+      r0_pin               => r0_pin,
+      r1_pin               => r1_pin,
+      r2_pin               => r2_pin,
+      g0_pin               => g0_pin,
+      g1_pin               => g1_pin,
+      g2_pin               => g2_pin,
+      b0_pin               => b0_pin,
+      b1_pin               => b1_pin,
+      hsync_pin            => hsync_pin,
+      vsync_pin            => vsync_pin,
+      seven_seg_pin        => seven_seg_pin,
+      d_hsync              => d_hsync,
+      d_vsync              => d_vsync,
+      d_column_counter     => d_column_counter,
+      d_line_counter       => d_line_counter,
+      d_set_column_counter => d_set_column_counter,
+      d_set_line_counter   => d_set_line_counter,
+      d_hsync_counter      => d_hsync_counter,
+      d_vsync_counter      => d_vsync_counter,
+      d_set_hsync_counter  => d_set_hsync_counter,
+      d_set_vsync_counter  => d_set_vsync_counter,
+      d_h_enable           => d_h_enable,
+      d_v_enable           => d_v_enable,
+      d_r                  => d_r,
+      d_g                  => d_g,
+      d_b                  => d_b,
+      d_hsync_state        => d_hsync_state,
+      d_vsync_state        => d_vsync_state,
+      d_state_clk          => d_state_clk,
+      d_toggle             => d_toggle,
+      d_toggle_counter     => d_toggle_counter);
+
+
+  
+-------------------------------------------------------------------------------
+-- generate simulation clock
+-------------------------------------------------------------------------------
+  CLKGEN : process
+  begin
+    clk <= '1';
+    wait for cc/2;
+    clk <= '0';
+    wait for cc/2;
+  end process CLKGEN;
+
+-------------------------------------------------------------------------------
+-- test the design
+-------------------------------------------------------------------------------
+  TEST_IT : process
+
+    -- wait for n clock cycles
+    procedure icwait(cycles : natural) is
+    begin
+      for i in 1 to cycles loop
+        wait until clk = '1' and clk'event;
+      end loop;
+    end;
+
+  begin
+    -----------------------------------------------------------------------------
+    -- initial reset
+    -----------------------------------------------------------------------------
+    reset_pin <= '0';
+    icwait(10);
+    reset_pin <= '1';
+    icwait(1000000000);
+
+    ---------------------------------------------------------------------------
+    -- exit testbench
+    ---------------------------------------------------------------------------
+    assert false
+      report "Test finished"
+      severity error;
+
+  end process test_it;
+  
+  clk_pin <= clk;
+
+end structure;
+
+-------------------------------------------------------------------------------
+-- configuration
+-------------------------------------------------------------------------------
+configuration vga_conf_pos of vga_pos_tb is
+  for structure
+    for vga_unit : vga use entity work.vga(structure);
+    end for;
+  end for;
+end vga_conf_pos;
+
+
+
diff --git a/bsp4/Designflow/src/vga_pre_tb.vhd b/bsp4/Designflow/src/vga_pre_tb.vhd
new file mode 100644 (file)
index 0000000..dc010f7
--- /dev/null
@@ -0,0 +1,197 @@
+-------------------------------------------------------------------------------
+-- Title      : vga testbench
+-- Project    : 
+-------------------------------------------------------------------------------
+-- File       : vga_tb.vhd
+-- Author     : Thomas Handl
+-- Company    : TU Wien
+-- Created    : 2004-04-07
+-- Last update: 2006-09-29
+-- Platform   : 
+-------------------------------------------------------------------------------
+-- Description: 
+-------------------------------------------------------------------------------
+-- Copyright (c) 2004 TU Wien
+-------------------------------------------------------------------------------
+-- Revisions  :
+-- Date        Version  Author  Description
+-- 2004-04-07  1.0      handl   Created
+-------------------------------------------------------------------------------
+
+
+-------------------------------------------------------------------------------
+-- LIBRARIES
+-------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.std_logic_arith.all;
+
+use work.vga_pak.all;
+
+
+-------------------------------------------------------------------------------
+-- ENTITY
+-------------------------------------------------------------------------------
+entity vga_pre_tb is
+
+end vga_pre_tb;
+
+
+-------------------------------------------------------------------------------
+-- ARCHITECTURE
+-------------------------------------------------------------------------------
+architecture structure of vga_pre_tb is
+
+  constant cc : time := 39.7 ns;        -- test clock period
+
+  component vga
+    port (
+      clk_pin                                  : in  std_logic;
+      reset_pin                                : in  std_logic;
+      r0_pin, r1_pin, r2_pin                   : out std_logic;
+      g0_pin, g1_pin, g2_pin                   : out std_logic;
+      b0_pin, b1_pin                           : out std_logic;
+      hsync_pin                                : out std_logic;
+      vsync_pin                                : out std_logic;
+      seven_seg_pin                            : out std_logic_vector(2*SEG_WIDTH-1 downto 0);
+      d_hsync, d_vsync                         : out std_logic;
+      d_column_counter                         : out std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+      d_line_counter                           : out std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+      d_set_column_counter, d_set_line_counter : out std_logic;
+      d_hsync_counter                          : out std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+      d_vsync_counter                          : out std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+      d_set_hsync_counter, d_set_vsync_counter : out std_logic;
+      d_h_enable                               : out std_logic;
+      d_v_enable                               : out std_logic;
+      d_r, d_g, d_b                            : out std_logic;
+      d_hsync_state                            : out std_logic_vector(0 to 6);
+      d_vsync_state                            : out std_logic_vector(0 to 6);
+      d_state_clk                              : out std_logic;
+      d_toggle                                 : out std_logic;
+      d_toggle_counter                         : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0));
+  end component;
+
+  signal clk_pin                                  : std_logic;
+  signal reset_pin                                : std_logic;
+  signal r0_pin, r1_pin, r2_pin                   : std_logic;
+  signal g0_pin, g1_pin, g2_pin                   : std_logic;
+  signal b0_pin, b1_pin                           : std_logic;
+  signal hsync_pin                                : std_logic;
+  signal vsync_pin                                : std_logic;
+  signal seven_seg_pin                            : std_logic_vector(2*SEG_WIDTH-1 downto 0);
+  signal d_hsync, d_vsync                         : std_logic;
+  signal d_column_counter                         : std_logic_vector(COL_CNT_WIDTH-1 downto 0);
+  signal d_line_counter                           : std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
+  signal d_set_column_counter, d_set_line_counter : std_logic;
+  signal d_hsync_counter                          : std_logic_vector(HSYN_CNT_WIDTH-1 downto 0);
+  signal d_vsync_counter                          : std_logic_vector(VSYN_CNT_WIDTH-1 downto 0);
+  signal d_set_hsync_counter, d_set_vsync_counter : std_logic;
+  signal d_h_enable                               : std_logic;
+  signal d_v_enable                               : std_logic;
+  signal d_r, d_g, d_b                            : std_logic;
+  signal d_hsync_state                            : std_logic_vector(0 to 6);
+  signal d_vsync_state                            : std_logic_vector(0 to 6);
+  signal d_state_clk                              : std_logic;
+  signal d_toggle                                 : std_logic;
+  signal d_toggle_counter                         : std_logic_vector(TOG_CNT_WIDTH-1 downto 0);
+  signal clk                                      : std_logic;
+
+begin
+
+  vga_unit: vga
+    port map (
+      clk_pin              => clk_pin,
+      reset_pin            => reset_pin,
+      r0_pin               => r0_pin,
+      r1_pin               => r1_pin,
+      r2_pin               => r2_pin,
+      g0_pin               => g0_pin,
+      g1_pin               => g1_pin,
+      g2_pin               => g2_pin,
+      b0_pin               => b0_pin,
+      b1_pin               => b1_pin,
+      hsync_pin            => hsync_pin,
+      vsync_pin            => vsync_pin,
+      seven_seg_pin        => seven_seg_pin,
+      d_hsync              => d_hsync,
+      d_vsync              => d_vsync,
+      d_column_counter     => d_column_counter,
+      d_line_counter       => d_line_counter,
+      d_set_column_counter => d_set_column_counter,
+      d_set_line_counter   => d_set_line_counter,
+      d_hsync_counter      => d_hsync_counter,
+      d_vsync_counter      => d_vsync_counter,
+      d_set_hsync_counter  => d_set_hsync_counter,
+      d_set_vsync_counter  => d_set_vsync_counter,
+      d_h_enable           => d_h_enable,
+      d_v_enable           => d_v_enable,
+      d_r                  => d_r,
+      d_g                  => d_g,
+      d_b                  => d_b,
+      d_hsync_state        => d_hsync_state,
+      d_vsync_state        => d_vsync_state,
+      d_state_clk          => d_state_clk,
+      d_toggle             => d_toggle,
+      d_toggle_counter     => d_toggle_counter);
+
+  
+-------------------------------------------------------------------------------
+-- generate simulation clock
+-------------------------------------------------------------------------------
+  CLKGEN : process
+  begin
+    clk <= '1';
+    wait for cc/2;
+    clk <= '0';
+    wait for cc/2;
+  end process CLKGEN;
+
+-------------------------------------------------------------------------------
+-- test the design
+-------------------------------------------------------------------------------
+  TEST_IT : process
+
+    -- wait for n clock cycles
+    procedure icwait(cycles : natural) is
+    begin
+      for i in 1 to cycles loop
+        wait until clk = '1' and clk'event;
+      end loop;
+    end;
+
+  begin
+    -----------------------------------------------------------------------------
+    -- initial reset
+    -----------------------------------------------------------------------------
+    reset_pin <= '0';
+    icwait(10);
+    reset_pin <= '1';
+    icwait(10000000);
+
+    ---------------------------------------------------------------------------
+    -- exit testbench
+    ---------------------------------------------------------------------------
+    assert false
+      report "Test finished"
+      severity error;
+
+  end process test_it;
+  
+  clk_pin <= clk;
+
+end structure;
+
+-------------------------------------------------------------------------------
+-- configuration
+-------------------------------------------------------------------------------
+configuration vga_conf_pre of vga_pre_tb is
+  for structure
+    for vga_unit : vga use entity work.vga(beh);
+    end for;
+  end for;
+end vga_conf_pre;
+
+
+
diff --git a/bsp4/Designflow/src/vpll.bsf b/bsp4/Designflow/src/vpll.bsf
new file mode 100644 (file)
index 0000000..63c3118
--- /dev/null
@@ -0,0 +1,49 @@
+/*\r
+WARNING: Do NOT edit the input and output ports in this file in a text\r
+editor if you plan to continue editing the block that represents it in\r
+the Block Editor! File corruption is VERY likely to occur.\r
+*/\r
+/*\r
+Copyright (C) 1991-2004 Altera Corporation\r
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),\r
+support information,  device programming or simulation file,  and any other\r
+associated  documentation or information  provided by  Altera  or a partner\r
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only\r
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any\r
+other  use  of such  megafunction  design,  netlist,  support  information,\r
+device programming or simulation file,  or any other  related documentation\r
+or information  is prohibited  for  any  other purpose,  including, but not\r
+limited to  modification,  reverse engineering,  de-compiling, or use  with\r
+any other  silicon devices,  unless such use is  explicitly  licensed under\r
+a separate agreement with  Altera  or a megafunction partner.  Title to the\r
+intellectual property,  including patents,  copyrights,  trademarks,  trade\r
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,\r
+support  information,  device programming or simulation file,  or any other\r
+related documentation or information provided by  Altera  or a megafunction\r
+partner, remains with Altera, the megafunction partner, or their respective\r
+licensors. No other licenses, including any licenses needed under any third\r
+party's intellectual property, are provided herein.\r
+*/\r
+(header "symbol" (version "1.1"))\r
+(symbol\r
+       (rect 16 16 112 112)\r
+       (text "vpll" (rect 5 0 22 12)(font "Arial" ))\r
+       (text "inst" (rect 8 80 25 92)(font "Arial" ))\r
+       (port\r
+               (pt 0 32)\r
+               (input)\r
+               (text "inclk0" (rect 0 0 28 12)(font "Arial" ))\r
+               (text "inclk0" (rect 21 27 49 39)(font "Arial" ))\r
+               (line (pt 0 32)(pt 16 32)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 96 32)\r
+               (output)\r
+               (text "c0" (rect 0 0 11 12)(font "Arial" ))\r
+               (text "c0" (rect 64 27 75 39)(font "Arial" ))\r
+               (line (pt 96 32)(pt 80 32)(line_width 1))\r
+       )\r
+       (drawing\r
+               (rectangle (rect 16 16 80 80)(line_width 1))\r
+       )\r
+)\r
diff --git a/bsp4/Designflow/src/vpll.vhd b/bsp4/Designflow/src/vpll.vhd
new file mode 100644 (file)
index 0000000..dbb347f
--- /dev/null
@@ -0,0 +1,274 @@
+-- megafunction wizard: %ALTPLL%\r
+-- GENERATION: STANDARD\r
+-- VERSION: WM1.0\r
+-- MODULE: altpll \r
+\r
+-- ============================================================\r
+-- File Name: vpll.vhd\r
+-- Megafunction Name(s):\r
+--                     altpll\r
+-- ============================================================\r
+-- ************************************************************\r
+-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+--\r
+-- 4.1 Build 181 06/29/2004 SJ Full Version\r
+-- ************************************************************\r
+\r
+\r
+--Copyright (C) 1991-2004 Altera Corporation\r
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),\r
+--support information,  device programming or simulation file,  and any other\r
+--associated  documentation or information  provided by  Altera  or a partner\r
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only\r
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any\r
+--other  use  of such  megafunction  design,  netlist,  support  information,\r
+--device programming or simulation file,  or any other  related documentation\r
+--or information  is prohibited  for  any  other purpose,  including, but not\r
+--limited to  modification,  reverse engineering,  de-compiling, or use  with\r
+--any other  silicon devices,  unless such use is  explicitly  licensed under\r
+--a separate agreement with  Altera  or a megafunction partner.  Title to the\r
+--intellectual property,  including patents,  copyrights,  trademarks,  trade\r
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,\r
+--support  information,  device programming or simulation file,  or any other\r
+--related documentation or information provided by  Altera  or a megafunction\r
+--partner, remains with Altera, the megafunction partner, or their respective\r
+--licensors. No other licenses, including any licenses needed under any third\r
+--party's intellectual property, are provided herein.\r
+\r
+\r
+LIBRARY ieee;\r
+USE ieee.std_logic_1164.all;\r
+\r
+LIBRARY altera_mf;\r
+USE altera_mf.altera_mf_components.all;\r
+\r
+ENTITY vpll IS\r
+       PORT\r
+       (\r
+               inclk0          : IN STD_LOGIC  := '0';\r
+--             pllena          : IN STD_LOGIC  := '1';\r
+--             areset          : IN STD_LOGIC  := '0';\r
+               c0              : OUT STD_LOGIC \r
+--             locked          : OUT STD_LOGIC \r
+       );\r
+END vpll;\r
+\r
+\r
+ARCHITECTURE SYN OF vpll IS\r
+\r
+       SIGNAL sub_wire0        : STD_LOGIC_VECTOR (5 DOWNTO 0);\r
+       SIGNAL sub_wire1        : STD_LOGIC ;\r
+       SIGNAL sub_wire2        : STD_LOGIC ;\r
+       SIGNAL sub_wire3_bv     : BIT_VECTOR (0 DOWNTO 0);\r
+       SIGNAL sub_wire3        : STD_LOGIC_VECTOR (0 DOWNTO 0);\r
+       SIGNAL sub_wire4        : STD_LOGIC_VECTOR (5 DOWNTO 0);\r
+       SIGNAL sub_wire5_bv     : BIT_VECTOR (0 DOWNTO 0);\r
+       SIGNAL sub_wire5        : STD_LOGIC_VECTOR (0 DOWNTO 0);\r
+       SIGNAL sub_wire6        : STD_LOGIC ;\r
+       SIGNAL sub_wire7        : STD_LOGIC_VECTOR (1 DOWNTO 0);\r
+       SIGNAL sub_wire8        : STD_LOGIC_VECTOR (3 DOWNTO 0);\r
+\r
+signal pllena_int : std_logic;\r
+signal areset_int : std_logic;\r
+signal locked : std_logic;\r
+\r
+       COMPONENT altpll\r
+       GENERIC (\r
+               bandwidth_type          : STRING;\r
+               clk0_duty_cycle         : NATURAL;\r
+               lpm_type                : STRING;\r
+               clk0_multiply_by                : NATURAL;\r
+               invalid_lock_multiplier         : NATURAL;\r
+               inclk0_input_frequency          : NATURAL;\r
+               gate_lock_signal                : STRING;\r
+               clk0_divide_by          : NATURAL;\r
+               pll_type                : STRING;\r
+               valid_lock_multiplier           : NATURAL;\r
+               clk0_time_delay         : STRING;\r
+               spread_frequency                : NATURAL;\r
+               intended_device_family          : STRING;\r
+               operation_mode          : STRING;\r
+               compensate_clock                : STRING;\r
+               clk0_phase_shift                : STRING\r
+       );\r
+       PORT (\r
+                       clkena  : IN STD_LOGIC_VECTOR (5 DOWNTO 0);\r
+                       inclk   : IN STD_LOGIC_VECTOR (1 DOWNTO 0);\r
+                       pllena  : IN STD_LOGIC ;\r
+                       extclkena       : IN STD_LOGIC_VECTOR (3 DOWNTO 0);\r
+                       locked  : OUT STD_LOGIC ;\r
+                       areset  : IN STD_LOGIC ;\r
+                       clk     : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)\r
+       );\r
+       END COMPONENT;\r
+\r
+BEGIN\r
+       sub_wire3_bv(0 DOWNTO 0) <= "0";\r
+       sub_wire3    <= To_stdlogicvector(sub_wire3_bv);\r
+       sub_wire5_bv(0 DOWNTO 0) <= "0";\r
+       sub_wire5    <= NOT(To_stdlogicvector(sub_wire5_bv));\r
+       sub_wire1    <= sub_wire0(0);\r
+       c0    <= sub_wire1;\r
+       locked    <= sub_wire2;\r
+       sub_wire4    <= sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire5(0 DOWNTO 0);\r
+       sub_wire6    <= inclk0;\r
+       sub_wire7    <= sub_wire3(0 DOWNTO 0) & sub_wire6;\r
+       sub_wire8    <= sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0) & sub_wire3(0 DOWNTO 0);\r
+\r
+areset_int <= '0';\r
+pllena_int <= '1';\r
+\r
+       altpll_component : altpll\r
+       GENERIC MAP (\r
+               bandwidth_type => "AUTO",\r
+               clk0_duty_cycle => 50,\r
+               lpm_type => "altpll",\r
+               clk0_multiply_by => 5435,\r
+               invalid_lock_multiplier => 5,\r
+               inclk0_input_frequency => 30003,\r
+               gate_lock_signal => "NO",\r
+               clk0_divide_by => 6666,\r
+               pll_type => "AUTO",\r
+               valid_lock_multiplier => 1,\r
+               clk0_time_delay => "0",\r
+               spread_frequency => 0,\r
+               intended_device_family => "Stratix",\r
+               operation_mode => "NORMAL",\r
+               compensate_clock => "CLK0",\r
+               clk0_phase_shift => "0"\r
+       )\r
+       PORT MAP (\r
+               clkena => sub_wire4,\r
+               inclk => sub_wire7,\r
+               pllena => pllena_int,\r
+               extclkena => sub_wire8,\r
+               areset => areset_int,\r
+               clk => sub_wire0,\r
+               locked => sub_wire2\r
+       );\r
+\r
+\r
+\r
+END SYN;\r
+\r
+-- ============================================================\r
+-- CNX file retrieval info\r
+-- ============================================================\r
+-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"\r
+-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"\r
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"\r
+-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"\r
+-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"\r
+-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "1"\r
+-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"\r
+-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"\r
+-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"\r
+-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"\r
+-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"\r
+-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"\r
+-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"\r
+-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"\r
+-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"\r
+-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"\r
+-- Retrieval info: PRIVATE: TIME_SHIFT0 STRING "0.00000000"\r
+-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"\r
+-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"\r
+-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"\r
+-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"\r
+-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"\r
+-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"\r
+-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"\r
+-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"\r
+-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"\r
+-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"\r
+-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"\r
+-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "33.330"\r
+-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"\r
+-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"\r
+-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"\r
+-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"\r
+-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"\r
+-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"\r
+-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"\r
+-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"\r
+-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"\r
+-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"\r
+-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"\r
+-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"\r
+-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"\r
+-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "299.970"\r
+-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"\r
+-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "1"\r
+-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "33.330"\r
+-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"\r
+-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"\r
+-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "27.175"\r
+-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"\r
+-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: DEV_FAMILY STRING "Stratix"\r
+-- Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"\r
+-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"\r
+-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"\r
+-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"\r
+-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"\r
+-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"\r
+-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"\r
+-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"\r
+-- Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "9"\r
+-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"\r
+-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"\r
+-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"\r
+-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "5435"\r
+-- Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"\r
+-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "30003"\r
+-- Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO"\r
+-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "6666"\r
+-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"\r
+-- Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"\r
+-- Retrieval info: CONSTANT: CLK0_TIME_DELAY STRING "0"\r
+-- Retrieval info: CONSTANT: SPREAD_FREQUENCY NUMERIC "0"\r
+-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix"\r
+-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"\r
+-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"\r
+-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"\r
+-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"\r
+-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"\r
+-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"\r
+-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"\r
+-- Retrieval info: USED_PORT: pllena 0 0 0 0 INPUT VCC "pllena"\r
+-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"\r
+-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT VCC "@inclk[1..0]"\r
+-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"\r
+-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0\r
+-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0\r
+-- Retrieval info: CONNECT: @extclkena 0 0 1 1 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 4 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 1 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0\r
+-- Retrieval info: CONNECT: @pllena 0 0 0 0 pllena 0 0 0 0\r
+-- Retrieval info: CONNECT: @extclkena 0 0 1 2 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 5 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 2 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 0 VCC 0 0 0 0\r
+-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @extclkena 0 0 1 3 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @extclkena 0 0 1 0 GND 0 0 0 0\r
+-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0\r
+-- Retrieval info: CONNECT: @clkena 0 0 1 3 GND 0 0 0 0\r
+-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.vhd TRUE FALSE\r
+-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.inc FALSE FALSE\r
+-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.cmp TRUE FALSE\r
+-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll.bsf TRUE\r
+-- Retrieval info: GEN_FILE: TYPE_NORMAL vpll_inst.vhd TRUE FALSE\r
diff --git a/bsp4/Designflow/syn/rev_1/.recordref b/bsp4/Designflow/syn/rev_1/.recordref
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/syn/rev_1/backup/vga.srr b/bsp4/Designflow/syn/rev_1/backup/vga.srr
new file mode 100644 (file)
index 0000000..7ab84ac
--- /dev/null
@@ -0,0 +1,297 @@
+#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009
+#install: /opt/synplify/fpga_c200906
+#OS: Linux 
+#Hostname: ti14
+
+#Implementation: rev_1
+
+#Tue Nov  3 17:21:38 2009
+
+$ Start of Compile
+#Tue Nov  3 17:21:38 2009
+
+Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+
+@N: CD720 :"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":38:7:38:9|Top entity is set to vga.
+VHDL syntax check successful!
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav 
+Post processing for work.vga_control.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+Post processing for work.vga_driver.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav 
+Post processing for work.board_driver.behav
+Post processing for work.vga.behav
+@END
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Tue Nov  3 17:21:39 2009
+
+###########################################################]
+Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+Product Version C-2009.06
+@N: MF249 |Running in 32-bit mode.
+@N: MF257 |Gated clock conversion enabled 
+@N|Running in logic synthesis mode without enhanced optimization
+
+Automatic dissolve during optimization of view:work.vga(behav) of board_driver_unit(board_driver)
+Automatic dissolve at startup in view:work.vga(behav) of vga_control_unit(vga_control)
+
+Available hyper_sources - for debug and ip models
+       None Found
+
+Finished RTL optimizations (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd":267:4:267:5|Found counter in view:work.vga_driver(behav) inst vsync_counter[9:0]
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd":158:4:158:5|Found counter in view:work.vga_driver(behav) inst hsync_counter[9:0]
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished factoring (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 55MB)
+
+
+
+#################### START OF GENERATED CLOCK OPTIMIZATION REPORT ####################[
+
+======================================================================================
+                                Instance:Pin        Generated Clock Optimization Status
+======================================================================================
+
+
+##################### END OF GENERATED CLOCK OPTIMIZATION REPORT #####################]
+
+Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished technology mapping (Time elapsed 0h:00m:03s; Memory used current: 66MB peak: 67MB)
+
+Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB)
+
+Finished restoring hierarchy (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 69MB)
+
+
+Writing Analyst data base /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.srm
+Finished Writing Netlist Databases (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing Verilog Netlist and constraint files
+Writing .vqm output for Quartus
+Writing Cross reference file for Quartus to /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.xrf
+Finished Writing Verilog Netlist and constraint files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing VHDL Simulation files
+Finished Writing VHDL Simulation files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N: MF276 |Gated clock conversion enabled, but no gated clocks found in design 
+Finished Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N: MF333 |Generated clock conversion enabled, but no generated clocks found in design 
+Finished Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Found clock vga|clk_pin with period 39.72ns 
+
+
+##### START OF TIMING REPORT #####[
+# Timing Report written on Tue Nov  3 17:21:46 2009
+#
+
+
+Top view:               vga
+Requested Frequency:    25.2 MHz
+Wire load mode:         top
+Paths requested:        5
+Constraint File(s):    
+@N: MT320 |This timing report estimates place and route data. Please look at the place and route timing report for final timing..
+
+@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock..
+
+
+
+Performance Summary 
+*******************
+
+
+Worst slack in design: 34.465
+
+                   Requested     Estimated     Requested     Estimated                Clock        Clock              
+Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group              
+----------------------------------------------------------------------------------------------------------------------
+vga|clk_pin        25.2 MHz      190.2 MHz     39.722        5.257         34.465     inferred     Inferred_clkgroup_0
+======================================================================================================================
+
+
+
+
+
+Clock Relationships
+*******************
+
+Clocks                    |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
+-----------------------------------------------------------------------------------------------------------------
+Starting     Ending       |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
+-----------------------------------------------------------------------------------------------------------------
+vga|clk_pin  vga|clk_pin  |  39.722      34.465  |  No paths    -      |  No paths    -      |  No paths    -    
+=================================================================================================================
+ Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
+       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
+
+
+
+Interface Information 
+*********************
+
+               No IO constraint found 
+
+
+
+====================================
+Detailed Report for Clock: vga|clk_pin
+====================================
+
+
+
+Starting Points with Worst Slack
+********************************
+
+                                           Starting                                                                 Arrival           
+Instance                                   Reference       Type                 Pin        Net                      Time        Slack 
+                                           Clock                                                                                      
+--------------------------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[0]           0.176       34.465
+dly_counter[1]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[1]           0.176       34.584
+vga_driver_unit.vsync_counter[6]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_6          0.176       34.836
+vga_driver_unit.vsync_counter[7]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_7          0.176       34.865
+vga_control_unit.toggle_counter_sig[1]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_1     0.176       34.968
+vga_driver_unit.vsync_counter[3]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_3          0.176       34.992
+vga_driver_unit.vsync_counter[8]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_8          0.176       34.992
+vga_control_unit.toggle_counter_sig[5]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_5     0.176       35.095
+vga_driver_unit.vsync_counter[5]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_5          0.176       35.111
+vga_driver_unit.vsync_counter[4]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_4          0.176       35.119
+======================================================================================================================================
+
+
+Ending Points with Worst Slack
+******************************
+
+                                   Starting                                                                     Required           
+Instance                           Reference       Type                 Pin       Net                           Time         Slack 
+                                   Clock                                                                                           
+-----------------------------------------------------------------------------------------------------------------------------------
+vga_driver_unit.vsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[4]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[5]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datab     dly_counter_0                 35.641       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datac     dly_counter_1                 35.760       34.584
+vga_driver_unit.hsync_state[0]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[1]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+===================================================================================================================================
+
+
+
+Worst Path Information
+***********************
+
+
+Path information for path number 1: 
+    Requested Period:                        39.722
+    - Setup time:                            0.736
+    + Clock delay at ending point:           0.000 (ideal)
+    = Required time:                         38.986
+
+    - Propagation time:                      4.521
+    - Clock delay at starting point:         0.000 (ideal)
+    = Slack (critical) :                     34.465
+
+    Number of logic level(s):                2
+    Starting point:                          dly_counter[0] / regout
+    Ending point:                            vga_driver_unit.vsync_state[2] / ena
+    The start point is clocked by            vga|clk_pin [rising] on pin clk
+    The end   point is clocked by            vga|clk_pin [rising] on pin clk
+
+Instance / Net                                                     Pin         Pin               Arrival     No. of    
+Name                                          Type                 Name        Dir     Delay     Time        Fan Out(s)
+-----------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                                stratix_lcell_ff     regout      Out     0.176     0.176       -         
+dly_counter[0]                                Net                  -           -       1.000     -           9         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     datab       In      -         1.176       -         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     combout     Out     0.332     1.508       -         
+un6_dly_counter_0_x                           Net                  -           -       2.160     -           58(49)    
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        dataa       In      -         3.668       -         
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        combout     Out     0.459     4.127       -         
+vsync_state_next_2_sqmuxa                     Net                  -           -       0.393     -           5(2)      
+vga_driver_unit.vsync_state[2]                stratix_lcell_ff     ena         In      -         4.521       -         
+=======================================================================================================================
+Total path delay (propagation time + ICD at startpoint + setup - ICD at endpoint) of 5.257 is 1.703(32.4%) logic and 3.554(67.6%) route.
+Fanout format: logic fanout (physical fanout)
+Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
+*Arrival time includes intrinsic clock delay at start point and clock delay at startpoint
+
+
+
+##### END OF TIMING REPORT #####]
+
+##### START OF AREA REPORT #####[
+Design view:work.vga(behav)
+Selecting part EP1S25F672C6
+@N: FA174 |The following device usage report estimates place and route data. Please look at the place and route report for final resource usage..
+
+I/O ATOMs:       117
+
+Total LUTs:  181 of 25660 ( 0%)
+Logic resources:  183 ATOMs of 25660 ( 0%)
+
+Number of I/O registers
+                       Output DDRs   :0
+
+ATOM count by mode:
+  normal:       131
+  arithmetic:   52
+
+DSP Blocks:     0  (0 nine-bit DSP elements).
+DSP Utilization: 0.00% of available 10 blocks (80 nine-bit).
+ShiftTap:       0  (0 registers)
+MRAM:           0  (0% of 2)
+M4Ks:           0  (0% of 138)
+M512s:          0  (0% of 224)
+Total ESB:      0 bits 
+
+ATOMs using regout pin: 88
+  also using enable pin: 12
+  also using combout pin: 1
+ATOMs using combout pin: 93
+Number of Inputs on ATOMs: 759
+Number of Nets:   55530
+
+##### END OF AREA REPORT #####]
+
+Mapper successful!
+Process took 0h:00m:05s realtime, 0h:00m:04s cputime
+# Tue Nov  3 17:21:46 2009
+
+###########################################################]
diff --git a/bsp4/Designflow/syn/rev_1/rpt_vga.areasrr b/bsp4/Designflow/syn/rev_1/rpt_vga.areasrr
new file mode 100644 (file)
index 0000000..3249716
--- /dev/null
@@ -0,0 +1,174 @@
+#### START OF AREA REPORT #####[
+
+Part:                  EP1S25FC672-6 (Altera)
+
+-------------------------------------------------------------------
+########   Utilization report for  Top level view:   vga   ########
+===================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     88                 100 %                
+======================================================
+Total SEQUENTIAL ATOMS in the block vga:       88 (29.24 % Utilization)
+
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               74                 100 %                
+ARITHMETIC MODE     52                 100 %                
+============================================================
+Total COMBINATIONAL ATOMS in the block vga:    126 (41.86 % Utilization)
+
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga:   0 (0.00 % Utilization)
+
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga:   0 (0.00 % Utilization)
+
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga:    0 (0.00 % Utilization)
+
+-----------------------------------------------------------------
+########   Utilization report for  cell:   vga_control   ########
+Instance path:   vga.vga_control                                 
+=================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     29                 33 %                 
+======================================================
+Total SEQUENTIAL ATOMS in the block vga.vga_control:   29 (9.63 % Utilization)
+
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               20                 27 %                 
+ARITHMETIC MODE     18                 34.6 %               
+============================================================
+Total COMBINATIONAL ATOMS in the block vga.vga_control:        38 (12.62 % Utilization)
+
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga.vga_control:       0 (0.00 % Utilization)
+
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga.vga_control:       0 (0.00 % Utilization)
+
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga.vga_control:        0 (0.00 % Utilization)
+
+----------------------------------------------------------------
+########   Utilization report for  cell:   vga_driver   ########
+Instance path:   vga.vga_driver                                 
+================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     57                 64.8 %               
+======================================================
+Total SEQUENTIAL ATOMS in the block vga.vga_driver:    57 (18.94 % Utilization)
+
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               54                 73 %                 
+ARITHMETIC MODE     34                 65.4 %               
+============================================================
+Total COMBINATIONAL ATOMS in the block vga.vga_driver: 88 (29.24 % Utilization)
+
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga.vga_driver:        0 (0.00 % Utilization)
+
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga.vga_driver:        0 (0.00 % Utilization)
+
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga.vga_driver: 0 (0.00 % Utilization)
+
+
+##### END OF AREA REPORT #####]
+
diff --git a/bsp4/Designflow/syn/rev_1/rpt_vga_areasrr.htm b/bsp4/Designflow/syn/rev_1/rpt_vga_areasrr.htm
new file mode 100644 (file)
index 0000000..3d4ea03
--- /dev/null
@@ -0,0 +1,193 @@
+<html><head><title></title></head><body><a name=TopSummary>
+#### START OF AREA REPORT #####[<pre>
+Part:                  EP1S25FC672-6 (Altera)
+
+Click here to go to specific block report:
+<a href="rpt_vga_areasrr.htm#vga"><h5 align="center">vga</h5></a><br><a href="rpt_vga_areasrr.htm#vga.vga_driver"><h5 align="center">vga_driver</h5></a><br><a href="rpt_vga_areasrr.htm#vga.vga_control"><h5 align="center">vga_control</h5></a><br><a name=vga>
+-------------------------------------------------------------------
+########   Utilization report for  Top level view:   vga   ########
+===================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     88                 100 %                
+======================================================
+Total SEQUENTIAL ATOMS in the block vga:       88 (29.24 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               74                 100 %                
+ARITHMETIC MODE     52                 100 %                
+============================================================
+Total COMBINATIONAL ATOMS in the block vga:    126 (41.86 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga:   0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga:   0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga:    0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+<a name=vga.vga_control>
+-----------------------------------------------------------------
+########   Utilization report for  cell:   vga_control   ########
+Instance path:   vga.vga_control                                 
+=================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     29                 33 %                 
+======================================================
+Total SEQUENTIAL ATOMS in the block vga.vga_control:   29 (9.63 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               20                 27 %                 
+ARITHMETIC MODE     18                 34.6 %               
+============================================================
+Total COMBINATIONAL ATOMS in the block vga.vga_control:        38 (12.62 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga.vga_control:       0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga.vga_control:       0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga.vga_control:        0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+<a name=vga.vga_driver>
+----------------------------------------------------------------
+########   Utilization report for  cell:   vga_driver   ########
+Instance path:   vga.vga_driver                                 
+================================================================
+
+SEQUENTIAL ATOMS
+****************
+
+Name          Total elements     Utilization     Notes
+------------------------------------------------------
+REGISTERS     57                 64.8 %               
+======================================================
+Total SEQUENTIAL ATOMS in the block vga.vga_driver:    57 (18.94 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+COMBINATIONAL ATOMS
+*******************
+
+Name                Total elements     Utilization     Notes
+------------------------------------------------------------
+ATOMS               54                 73 %                 
+ARITHMETIC MODE     34                 65.4 %               
+============================================================
+Total COMBINATIONAL ATOMS in the block vga.vga_driver: 88 (29.24 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+RAMS
+****
+
+Name          Total elements     Number of bits     Utilization     Notes
+-------------------------------------------------------------------------
+SYNC RAMS     0                  0                  0 %                  
+LPMs          0                  0                  0 %                  
+=========================================================================
+Total RAMS in the block vga.vga_driver:        0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+DSPs
+****
+
+Name     Total elements     Utilization     Notes
+-------------------------------------------------
+MACs     0                  0 %                  
+=================================================
+Total DSPs in the block vga.vga_driver:        0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+Black Boxes
+***********
+
+Name            Total elements     Utilization     Notes
+--------------------------------------------------------
+BLACK BOXES     0                  0 %                  
+========================================================
+Total Black Boxes in the block vga.vga_driver: 0 (0.00 % Utilization)
+
+<a href="#TopSummary"><h5 align="right">Top</h5></a>
+
+##### END OF AREA REPORT #####]
+</a></body></html>
diff --git a/bsp4/Designflow/syn/rev_1/run_options.txt b/bsp4/Designflow/syn/rev_1/run_options.txt
new file mode 100644 (file)
index 0000000..cab6332
--- /dev/null
@@ -0,0 +1,71 @@
+#-- Synplicity, Inc.
+#-- Version C-2009.06
+#-- Project file /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/run_options.txt
+#-- Written on Tue Nov  3 17:21:38 2009
+
+
+#project files
+add_file -vhdl -lib work "../src/vga_pak.vhd"
+add_file -vhdl -lib work "../src/vga_ent.vhd"
+add_file -vhdl -lib work "../src/vga_arc.vhd"
+add_file -vhdl -lib work "../src/board_driver_ent.vhd"
+add_file -vhdl -lib work "../src/board_driver_arc.vhd"
+add_file -vhdl -lib work "../src/vga_control_ent.vhd"
+add_file -vhdl -lib work "../src/vga_control_arc.vhd"
+add_file -vhdl -lib work "../src/vga_driver_ent.vhd"
+add_file -vhdl -lib work "../src/vga_driver_arc.vhd"
+
+
+#implementation: "rev_1"
+impl -add rev_1 -type fpga
+
+#device options
+set_option -technology STRATIX
+set_option -part EP1S25
+set_option -package FC672
+set_option -speed_grade -6
+set_option -part_companion ""
+
+#compilation/mapping options
+set_option -use_fsm_explorer 0
+set_option -top_module "vga"
+
+# sequential_optimization_options
+set_option -symbolic_fsm_compiler 1
+
+# Compiler Options
+set_option -compiler_compatible 0
+set_option -resource_sharing 1
+
+# mapper_options
+set_option -frequency 25.175
+set_option -write_verilog 0
+set_option -write_vhdl 1
+
+# Altera STRATIX
+set_option -run_prop_extract 1
+set_option -maxfan 500
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -retiming 0
+set_option -no_sequential_opt 0
+set_option -fixgatedclocks 3
+set_option -fixgeneratedclocks 3
+set_option -quartus_version 9.0
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "./rev_1/vga.vqm"
+
+#
+#implementation attributes
+
+set_option -vlog_std v2001
+set_option -project_relative_includes 1
+impl -active "rev_1"
diff --git a/bsp4/Designflow/syn/rev_1/scratchproject.prs b/bsp4/Designflow/syn/rev_1/scratchproject.prs
new file mode 100644 (file)
index 0000000..c805f11
--- /dev/null
@@ -0,0 +1,71 @@
+#-- Synplicity, Inc.
+#-- Version C-2009.06
+#-- Project file /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/scratchproject.prs
+#-- Written on Tue Nov  3 17:21:38 2009
+
+
+#project files
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd"
+add_file -vhdl -lib work "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd"
+
+
+#implementation: "rev_1"
+impl -add /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1 -type fpga
+
+#device options
+set_option -technology STRATIX
+set_option -part EP1S25
+set_option -package FC672
+set_option -speed_grade -6
+set_option -part_companion ""
+
+#compilation/mapping options
+set_option -use_fsm_explorer 0
+set_option -top_module "vga"
+
+# sequential_optimization_options
+set_option -symbolic_fsm_compiler 1
+
+# Compiler Options
+set_option -compiler_compatible 0
+set_option -resource_sharing 1
+
+# mapper_options
+set_option -frequency 25.175
+set_option -write_verilog 0
+set_option -write_vhdl 1
+
+# Altera STRATIX
+set_option -run_prop_extract 1
+set_option -maxfan 500
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -retiming 0
+set_option -no_sequential_opt 0
+set_option -fixgatedclocks 3
+set_option -fixgeneratedclocks 3
+set_option -quartus_version 9.0
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.vqm"
+
+#
+#implementation attributes
+
+set_option -vlog_std v2001
+set_option -project_relative_includes 1
+impl -active "rev_1"
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/sap_log_flink.htm b/bsp4/Designflow/syn/rev_1/syntmp/sap_log_flink.htm
new file mode 100644 (file)
index 0000000..8a1f00c
--- /dev/null
@@ -0,0 +1,7 @@
+<table border="0" cellpadding="0" cellspacing="2">
+<tr>
+<td nowrap class="content" valign="top">
+<body bgcolor="#e0e0ff">
+<font size=3><b>Log File Links:</b><br></font>
+<br><b>rev_1</b><br>
+<br><br><a href="/homes/burban/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/sap_log_srr.htm b/bsp4/Designflow/syn/rev_1/syntmp/sap_log_srr.htm
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga.msg b/bsp4/Designflow/syn/rev_1/syntmp/vga.msg
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga.plg b/bsp4/Designflow/syn/rev_1/syntmp/vga.plg
new file mode 100644 (file)
index 0000000..801fda3
--- /dev/null
@@ -0,0 +1,13 @@
+@P:  Part : EP1S25FC672-6
+@P:  Worst Slack : 34.465
+@P:  vga|clk_pin - Estimated Frequency : 190.2 MHz
+@P:  vga|clk_pin - Requested Frequency : 25.2 MHz
+@P:  vga|clk_pin - Estimated Period : 5.257
+@P:  vga|clk_pin - Requested Period : 39.722
+@P:  vga|clk_pin - Slack : 34.465
+@P: vga Part : ep1s25fc672-6
+@P: vga I/O ATOMs : 117
+@P: vga Total LUTs: : 181 of 25660 ( 0%)
+@P: vga Logic resources : 183 ATOMs of 25660 ( 0%)
+@P: vga DSP Blocks : 0 (0 nine-bit DSP elements)
+@P:  CPU Time : 0h:00m:04s
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl b/bsp4/Designflow/syn/rev_1/syntmp/vga_cons_ui.tcl
new file mode 100644 (file)
index 0000000..c791b24
--- /dev/null
@@ -0,0 +1,5 @@
+source "/opt/synplify/fpga_c200906/lib/altera/quartus_cons.tcl"
+syn_create_and_open_prj vga
+source $::quartus(binpath)/prj_asd_import.tcl
+syn_create_and_open_csf vga
+syn_handle_cons vga
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm b/bsp4/Designflow/syn/rev_1/syntmp/vga_driver_arc_flink.htm
new file mode 100644 (file)
index 0000000..8a1f00c
--- /dev/null
@@ -0,0 +1,7 @@
+<table border="0" cellpadding="0" cellspacing="2">
+<tr>
+<td nowrap class="content" valign="top">
+<body bgcolor="#e0e0ff">
+<font size=3><b>Log File Links:</b><br></font>
+<br><b>rev_1</b><br>
+<br><br><a href="/homes/burban/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga_flink.htm b/bsp4/Designflow/syn/rev_1/syntmp/vga_flink.htm
new file mode 100644 (file)
index 0000000..6ea6ccf
--- /dev/null
@@ -0,0 +1,8 @@
+<table border="0" cellpadding="0" cellspacing="2">
+<tr>
+<td nowrap class="content" valign="top">
+<body bgcolor="#e0e0ff">
+<font size=3><b>Log File Links:</b><br></font>
+<br><b>rev_1</b><br>
+<dt><a href="/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/rpt_vga.areasrr:@XP_FILE" target="srrFrame">Hierarchical Area Report (/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/rpt_vga)</a> (17:21 03-Nov)</dt><br>
+<br><br><a href="/homes/burban/stdout.log:@XP_FILE" target="srrFrame">Session Log</a><br>
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga_srr.htm b/bsp4/Designflow/syn/rev_1/syntmp/vga_srr.htm
new file mode 100644 (file)
index 0000000..ad8ef32
--- /dev/null
@@ -0,0 +1,300 @@
+<html><body><samp><pre>
+<!@TC:1257265298>
+#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009
+#install: /opt/synplify/fpga_c200906
+#OS: Linux 
+#Hostname: ti14
+
+#Implementation: rev_1
+
+#Tue Nov  3 17:21:38 2009
+
+<a name=compilerReport1>$ Start of Compile</a>
+#Tue Nov  3 17:21:38 2009
+
+Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+
+@N:<a href="@N:CD720:@XP_HELP">CD720</a> : <a href="/opt/synplify/fpga_c200906/lib/vhd/std.vhd:123:18:123:22:@N:CD720:@XP_MSG">std.vhd(123)</a><!@TM:1257265299> | Setting time resolution to ns
+@N: : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd:38:7:38:10:@N::@XP_MSG">vga_ent.vhd(38)</a><!@TM:1257265299> | Top entity is set to vga.
+VHDL syntax check successful!
+@N:<a href="@N:CD630:@XP_HELP">CD630</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd:38:7:38:10:@N:CD630:@XP_MSG">vga_ent.vhd(38)</a><!@TM:1257265299> | Synthesizing work.vga.behav 
+@N:<a href="@N:CD231:@XP_HELP">CD231</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd:60:24:60:26:@N:CD231:@XP_MSG">vga_pak.vhd(60)</a><!@TM:1257265299> | Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N:<a href="@N:CD231:@XP_HELP">CD231</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd:62:24:62:26:@N:CD231:@XP_MSG">vga_pak.vhd(62)</a><!@TM:1257265299> | Using onehot encoding for type vsync_state_type (reset_state="1000000")
+@N:<a href="@N:CD630:@XP_HELP">CD630</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd:37:7:37:18:@N:CD630:@XP_MSG">vga_control_ent.vhd(37)</a><!@TM:1257265299> | Synthesizing work.vga_control.behav 
+Post processing for work.vga_control.behav
+@N:<a href="@N:CD630:@XP_HELP">CD630</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd:37:7:37:17:@N:CD630:@XP_MSG">vga_driver_ent.vhd(37)</a><!@TM:1257265299> | Synthesizing work.vga_driver.behav 
+@N:<a href="@N:CD231:@XP_HELP">CD231</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd:60:24:60:26:@N:CD231:@XP_MSG">vga_pak.vhd(60)</a><!@TM:1257265299> | Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N:<a href="@N:CD231:@XP_HELP">CD231</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd:62:24:62:26:@N:CD231:@XP_MSG">vga_pak.vhd(62)</a><!@TM:1257265299> | Using onehot encoding for type vsync_state_type (reset_state="1000000")
+Post processing for work.vga_driver.behav
+@N:<a href="@N:CD630:@XP_HELP">CD630</a> : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd:36:7:36:19:@N:CD630:@XP_MSG">board_driver_ent.vhd(36)</a><!@TM:1257265299> | Synthesizing work.board_driver.behav 
+Post processing for work.board_driver.behav
+Post processing for work.vga.behav
+@END
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Tue Nov  3 17:21:39 2009
+
+###########################################################]
+<a name=mapperReport2>Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53</a>
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+Product Version C-2009.06
+@N:<a href="@N:MF249:@XP_HELP">MF249</a> : <!@TM:1257265306> | Running in 32-bit mode. 
+@N:<a href="@N:MF257:@XP_HELP">MF257</a> : <!@TM:1257265306> | Gated clock conversion enabled  
+@N: : <!@TM:1257265306> | Running in logic synthesis mode without enhanced optimization 
+
+Automatic dissolve during optimization of view:work.vga(behav) of board_driver_unit(board_driver)
+Automatic dissolve at startup in view:work.vga(behav) of vga_control_unit(vga_control)
+
+Available hyper_sources - for debug and ip models
+       None Found
+
+Finished RTL optimizations (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+@N: : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd:267:4:267:6:@N::@XP_MSG">vga_driver_arc.vhd(267)</a><!@TM:1257265306> | Found counter in view:work.vga_driver(behav) inst vsync_counter[9:0]
+@N: : <a href="/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd:158:4:158:6:@N::@XP_MSG">vga_driver_arc.vhd(158)</a><!@TM:1257265306> | Found counter in view:work.vga_driver(behav) inst hsync_counter[9:0]
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished factoring (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 55MB)
+
+
+
+#################### START OF GENERATED CLOCK OPTIMIZATION REPORT ####################[
+
+======================================================================================
+                                Instance:Pin        Generated Clock Optimization Status
+======================================================================================
+
+
+##################### END OF GENERATED CLOCK OPTIMIZATION REPORT #####################]
+
+Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished technology mapping (Time elapsed 0h:00m:03s; Memory used current: 66MB peak: 67MB)
+
+Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB)
+
+Finished restoring hierarchy (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 69MB)
+
+
+Writing Analyst data base /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.srm
+Finished Writing Netlist Databases (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing Verilog Netlist and constraint files
+Writing .vqm output for Quartus
+Writing Cross reference file for Quartus to /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.xrf
+Finished Writing Verilog Netlist and constraint files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing VHDL Simulation files
+Finished Writing VHDL Simulation files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N:<a href="@N:MF276:@XP_HELP">MF276</a> : <!@TM:1257265306> | Gated clock conversion enabled, but no gated clocks found in design  
+Finished Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N:<a href="@N:MF333:@XP_HELP">MF333</a> : <!@TM:1257265306> | Generated clock conversion enabled, but no generated clocks found in design  
+Finished Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Found clock vga|clk_pin with period 39.72ns 
+
+
+<a name=timingReport3>##### START OF TIMING REPORT #####[</a>
+# Timing Report written on Tue Nov  3 17:21:46 2009
+#
+
+
+Top view:               vga
+Requested Frequency:    25.2 MHz
+Wire load mode:         top
+Paths requested:        5
+Constraint File(s):    
+@N:<a href="@N:MT320:@XP_HELP">MT320</a> : <!@TM:1257265306> | This timing report estimates place and route data. Please look at the place and route timing report for final timing.. 
+
+@N:<a href="@N:MT322:@XP_HELP">MT322</a> : <!@TM:1257265306> | Clock constraints cover only FF-to-FF paths associated with the clock.. 
+
+
+
+<a name=performanceSummary4>Performance Summary </a>
+*******************
+
+
+Worst slack in design: 34.465
+
+                   Requested     Estimated     Requested     Estimated                Clock        Clock              
+Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group              
+----------------------------------------------------------------------------------------------------------------------
+vga|clk_pin        25.2 MHz      190.2 MHz     39.722        5.257         34.465     inferred     Inferred_clkgroup_0
+======================================================================================================================
+
+
+
+
+
+<a name=clockRelationships5>Clock Relationships</a>
+*******************
+
+Clocks                    |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
+-----------------------------------------------------------------------------------------------------------------
+Starting     Ending       |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
+-----------------------------------------------------------------------------------------------------------------
+vga|clk_pin  vga|clk_pin  |  39.722      34.465  |  No paths    -      |  No paths    -      |  No paths    -    
+=================================================================================================================
+ Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
+       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
+
+
+
+<a name=interfaceInfo6>Interface Information </a>
+*********************
+
+               No IO constraint found 
+
+
+
+====================================
+<a name=clockReport7>Detailed Report for Clock: vga|clk_pin</a>
+====================================
+
+
+
+<a name=startingSlack8>Starting Points with Worst Slack</a>
+********************************
+
+                                           Starting                                                                 Arrival           
+Instance                                   Reference       Type                 Pin        Net                      Time        Slack 
+                                           Clock                                                                                      
+--------------------------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[0]           0.176       34.465
+dly_counter[1]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[1]           0.176       34.584
+vga_driver_unit.vsync_counter[6]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_6          0.176       34.836
+vga_driver_unit.vsync_counter[7]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_7          0.176       34.865
+vga_control_unit.toggle_counter_sig[1]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_1     0.176       34.968
+vga_driver_unit.vsync_counter[3]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_3          0.176       34.992
+vga_driver_unit.vsync_counter[8]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_8          0.176       34.992
+vga_control_unit.toggle_counter_sig[5]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_5     0.176       35.095
+vga_driver_unit.vsync_counter[5]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_5          0.176       35.111
+vga_driver_unit.vsync_counter[4]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_4          0.176       35.119
+======================================================================================================================================
+
+
+<a name=endingSlack9>Ending Points with Worst Slack</a>
+******************************
+
+                                   Starting                                                                     Required           
+Instance                           Reference       Type                 Pin       Net                           Time         Slack 
+                                   Clock                                                                                           
+-----------------------------------------------------------------------------------------------------------------------------------
+vga_driver_unit.vsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[4]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[5]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datab     dly_counter_0                 35.641       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datac     dly_counter_1                 35.760       34.584
+vga_driver_unit.hsync_state[0]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[1]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+===================================================================================================================================
+
+
+
+<a name=worstPaths10>Worst Path Information</a>
+<a href="/homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.srr:fp:13748:14828:@XP_NAMES_GATE">View Worst Path in Analyst</a>
+***********************
+
+
+Path information for path number 1: 
+    Requested Period:                        39.722
+    - Setup time:                            0.736
+    + Clock delay at ending point:           0.000 (ideal)
+    = Required time:                         38.986
+
+    - Propagation time:                      4.521
+    - Clock delay at starting point:         0.000 (ideal)
+    = Slack (critical) :                     34.465
+
+    Number of logic level(s):                2
+    Starting point:                          dly_counter[0] / regout
+    Ending point:                            vga_driver_unit.vsync_state[2] / ena
+    The start point is clocked by            vga|clk_pin [rising] on pin clk
+    The end   point is clocked by            vga|clk_pin [rising] on pin clk
+
+Instance / Net                                                     Pin         Pin               Arrival     No. of    
+Name                                          Type                 Name        Dir     Delay     Time        Fan Out(s)
+-----------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                                stratix_lcell_ff     regout      Out     0.176     0.176       -         
+dly_counter[0]                                Net                  -           -       1.000     -           9         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     datab       In      -         1.176       -         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     combout     Out     0.332     1.508       -         
+un6_dly_counter_0_x                           Net                  -           -       2.160     -           58(49)    
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        dataa       In      -         3.668       -         
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        combout     Out     0.459     4.127       -         
+vsync_state_next_2_sqmuxa                     Net                  -           -       0.393     -           5(2)      
+vga_driver_unit.vsync_state[2]                stratix_lcell_ff     ena         In      -         4.521       -         
+=======================================================================================================================
+Total path delay (propagation time + ICD at startpoint + setup - ICD at endpoint) of 5.257 is 1.703(32.4%) logic and 3.554(67.6%) route.
+Fanout format: logic fanout (physical fanout)
+Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
+*Arrival time includes intrinsic clock delay at start point and clock delay at startpoint
+
+
+
+##### END OF TIMING REPORT #####]
+
+<a name=areaReport11>##### START OF AREA REPORT #####[</a>
+Design view:work.vga(behav)
+Selecting part EP1S25F672C6
+@N:<a href="@N:FA174:@XP_HELP">FA174</a> : <!@TM:1257265306> | The following device usage report estimates place and route data. Please look at the place and route report for final resource usage.. 
+
+I/O ATOMs:       117
+
+Total LUTs:  181 of 25660 ( 0%)
+Logic resources:  183 ATOMs of 25660 ( 0%)
+
+Number of I/O registers
+                       Output DDRs   :0
+
+ATOM count by mode:
+  normal:       131
+  arithmetic:   52
+
+DSP Blocks:     0  (0 nine-bit DSP elements).
+DSP Utilization: 0.00% of available 10 blocks (80 nine-bit).
+ShiftTap:       0  (0 registers)
+MRAM:           0  (0% of 2)
+M4Ks:           0  (0% of 138)
+M512s:          0  (0% of 224)
+Total ESB:      0 bits 
+
+ATOMs using regout pin: 88
+  also using enable pin: 12
+  also using combout pin: 1
+ATOMs using combout pin: 93
+Number of Inputs on ATOMs: 759
+Number of Nets:   55530
+
+##### END OF AREA REPORT #####]
+
+Mapper successful!
+Process took 0h:00m:05s realtime, 0h:00m:04s cputime
+# Tue Nov  3 17:21:46 2009
+
+###########################################################]
diff --git a/bsp4/Designflow/syn/rev_1/syntmp/vga_toc.htm b/bsp4/Designflow/syn/rev_1/syntmp/vga_toc.htm
new file mode 100644 (file)
index 0000000..26d593f
--- /dev/null
@@ -0,0 +1,17 @@
+<table border="0" cellpadding="0" cellspacing="2">
+<tr>
+<td nowrap class="content" valign="top">
+<body bgcolor="#e0e0ff">
+<dl>
+<font size=3><b>rev_1 (vga)</b><br></font>
+<b><a href="vga_srr.htm#compilerReport1" target="srrFrame">Compiler Report</a></b><br>
+<b><a href="vga_srr.htm#mapperReport2" target="srrFrame">Mapper Report</a></b><br>
+<b><a href="vga_srr.htm#timingReport3" target="srrFrame">Timing Report</a></b><br>
+<a href="vga_srr.htm#performanceSummary4" target="srrFrame">Performance Summary</a><br>
+<a href="vga_srr.htm#clockRelationships5" target="srrFrame">Clock Relationships</a><br>
+<a href="vga_srr.htm#interfaceInfo6" target="srrFrame">Interface Information</a><br>
+<a href="vga_srr.htm#clockReport7" target="srrFrame">Detailed Report for Clock: vga|clk_pin</a><br>
+&nbsp;&nbsp;&nbsp;<a href="vga_srr.htm#startingSlack8" target="srrFrame">Starting Points with Worst Slack</a><br>
+&nbsp;&nbsp;&nbsp;<a href="vga_srr.htm#endingSlack9" target="srrFrame">Ending Points with Worst Slack</a><br>
+&nbsp;&nbsp;&nbsp;<a href="vga_srr.htm#worstPaths10" target="srrFrame">Worst Path Information</a><br>
+<b><a href="vga_srr.htm#areaReport11" target="srrFrame">Resource Utilization</a></b><br>
diff --git a/bsp4/Designflow/syn/rev_1/verif/vga.vif b/bsp4/Designflow/syn/rev_1/verif/vga.vif
new file mode 100644 (file)
index 0000000..0705776
--- /dev/null
@@ -0,0 +1,141 @@
+#
+# Synplicity Verification Interface File
+# Generated using Synplify-pro
+#
+# Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+
+# All rights reserved
+#
+
+# Set logfile options
+vif_set_result_file  vga.vlf
+
+# Set technology for TCL script
+vif_set_technology -architecture FPGA -vendor Altera
+
+# RTL and technology files
+vif_add_file -original -vhdl -lib work ../../src/vga_pak.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_ent.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_arc.vhd
+vif_add_file -original -vhdl -lib work ../../src/board_driver_ent.vhd
+vif_add_file -original -vhdl -lib work ../../src/board_driver_arc.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_control_ent.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_control_arc.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_driver_ent.vhd
+vif_add_file -original -vhdl -lib work ../../src/vga_driver_arc.vhd
+vif_set_top_module -original -top vga
+vif_add_library -translated $env(QUARTUS_ROOTDIR)/eda/fv_lib/verilog
+vif_add_file -translated -verilog vga.vqm
+vif_set_top_module -translated -top vga 
+# Read FSM encoding
+
+# Memory map points
+
+# SRL map points
+
+# Compiler constant registers
+
+# Compiler constant latches
+
+# Compiler RTL sequential redundancies
+
+# RTL sequential redundancies
+
+# Technology sequential redundancies
+
+# Inversion map points
+
+# Port mappping and directions
+
+# Black box mapping
+
+
+# Other sequential cells, including multidimensional arrays
+vif_set_map_point -register -original vga_driver_unit/hsync_state[0] -translated vga_driver_unit/hsync_state_0_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[1] -translated vga_driver_unit/hsync_state_1_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[2] -translated vga_driver_unit/hsync_state_2_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[3] -translated vga_driver_unit/hsync_state_3_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[4] -translated vga_driver_unit/hsync_state_4_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[5] -translated vga_driver_unit/hsync_state_5_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[2] -translated vga_driver_unit/vsync_state_2_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[3] -translated vga_driver_unit/vsync_state_3_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[4] -translated vga_driver_unit/vsync_state_4_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[5] -translated vga_driver_unit/vsync_state_5_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[0] -translated vga_driver_unit/line_counter_sig_0_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[1] -translated vga_driver_unit/line_counter_sig_1_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[2] -translated vga_driver_unit/line_counter_sig_2_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[3] -translated vga_driver_unit/line_counter_sig_3_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[4] -translated vga_driver_unit/line_counter_sig_4_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[5] -translated vga_driver_unit/line_counter_sig_5_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[6] -translated vga_driver_unit/line_counter_sig_6_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[7] -translated vga_driver_unit/line_counter_sig_7_
+vif_set_map_point -register -original vga_driver_unit/line_counter_sig[8] -translated vga_driver_unit/line_counter_sig_8_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[6] -translated vga_driver_unit/vsync_state_6_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[1] -translated vga_driver_unit/vsync_state_1_
+vif_set_map_point -register -original vga_driver_unit/vsync_state[0] -translated vga_driver_unit/vsync_state_0_
+vif_set_map_point -register -original vga_driver_unit/hsync_state[6] -translated vga_driver_unit/hsync_state_6_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[0] -translated vga_driver_unit/column_counter_sig_0_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[1] -translated vga_driver_unit/column_counter_sig_1_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[2] -translated vga_driver_unit/column_counter_sig_2_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[3] -translated vga_driver_unit/column_counter_sig_3_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[4] -translated vga_driver_unit/column_counter_sig_4_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[5] -translated vga_driver_unit/column_counter_sig_5_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[6] -translated vga_driver_unit/column_counter_sig_6_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[7] -translated vga_driver_unit/column_counter_sig_7_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[8] -translated vga_driver_unit/column_counter_sig_8_
+vif_set_map_point -register -original vga_driver_unit/column_counter_sig[9] -translated vga_driver_unit/column_counter_sig_9_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[9] -translated vga_driver_unit/vsync_counter_9_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[8] -translated vga_driver_unit/vsync_counter_8_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[7] -translated vga_driver_unit/vsync_counter_7_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[6] -translated vga_driver_unit/vsync_counter_6_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[5] -translated vga_driver_unit/vsync_counter_5_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[4] -translated vga_driver_unit/vsync_counter_4_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[3] -translated vga_driver_unit/vsync_counter_3_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[2] -translated vga_driver_unit/vsync_counter_2_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[1] -translated vga_driver_unit/vsync_counter_1_
+vif_set_map_point -register -original vga_driver_unit/vsync_counter[0] -translated vga_driver_unit/vsync_counter_0_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[9] -translated vga_driver_unit/hsync_counter_9_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[8] -translated vga_driver_unit/hsync_counter_8_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[7] -translated vga_driver_unit/hsync_counter_7_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[6] -translated vga_driver_unit/hsync_counter_6_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[5] -translated vga_driver_unit/hsync_counter_5_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[4] -translated vga_driver_unit/hsync_counter_4_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[3] -translated vga_driver_unit/hsync_counter_3_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[2] -translated vga_driver_unit/hsync_counter_2_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[1] -translated vga_driver_unit/hsync_counter_1_
+vif_set_map_point -register -original vga_driver_unit/hsync_counter[0] -translated vga_driver_unit/hsync_counter_0_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[0] -translated vga_control_unit/toggle_counter_sig_0_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[1] -translated vga_control_unit/toggle_counter_sig_1_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[2] -translated vga_control_unit/toggle_counter_sig_2_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[3] -translated vga_control_unit/toggle_counter_sig_3_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[4] -translated vga_control_unit/toggle_counter_sig_4_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[5] -translated vga_control_unit/toggle_counter_sig_5_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[6] -translated vga_control_unit/toggle_counter_sig_6_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[7] -translated vga_control_unit/toggle_counter_sig_7_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[8] -translated vga_control_unit/toggle_counter_sig_8_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[9] -translated vga_control_unit/toggle_counter_sig_9_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[10] -translated vga_control_unit/toggle_counter_sig_10_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[11] -translated vga_control_unit/toggle_counter_sig_11_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[12] -translated vga_control_unit/toggle_counter_sig_12_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[13] -translated vga_control_unit/toggle_counter_sig_13_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[14] -translated vga_control_unit/toggle_counter_sig_14_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[15] -translated vga_control_unit/toggle_counter_sig_15_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[16] -translated vga_control_unit/toggle_counter_sig_16_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[17] -translated vga_control_unit/toggle_counter_sig_17_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[18] -translated vga_control_unit/toggle_counter_sig_18_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[19] -translated vga_control_unit/toggle_counter_sig_19_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[20] -translated vga_control_unit/toggle_counter_sig_20_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[21] -translated vga_control_unit/toggle_counter_sig_21_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[22] -translated vga_control_unit/toggle_counter_sig_22_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[23] -translated vga_control_unit/toggle_counter_sig_23_
+vif_set_map_point -register -original vga_control_unit/toggle_counter_sig[24] -translated vga_control_unit/toggle_counter_sig_24_
+vif_set_map_point -register -original dly_counter[0] -translated dly_counter_0_
+vif_set_map_point -register -original dly_counter[1] -translated dly_counter_1_
+
+# Constant Registers
+
+# Retimed Registers
+
+# Altera MAC annotations
+
diff --git a/bsp4/Designflow/syn/rev_1/vga.fse b/bsp4/Designflow/syn/rev_1/vga.fse
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/bsp4/Designflow/syn/rev_1/vga.htm b/bsp4/Designflow/syn/rev_1/vga.htm
new file mode 100644 (file)
index 0000000..2e5be3d
--- /dev/null
@@ -0,0 +1,12 @@
+<html>
+<head>
+<title>syntmp/vga_srr.htm log file</title>
+</head>
+<frameset cols="20%, 80%">
+       <frameset rows="70%, 30%">
+               <frame src="syntmp/vga_toc.htm" name="tocFrame">
+               <frame src="syntmp/vga_flink.htm" name="linkFrame">
+       </frameset>
+       <frame src="syntmp/vga_srr.htm" name="srrFrame">
+</frameset>
+</html>
diff --git a/bsp4/Designflow/syn/rev_1/vga.map b/bsp4/Designflow/syn/rev_1/vga.map
new file mode 100644 (file)
index 0000000..2b02f94
--- /dev/null
@@ -0,0 +1 @@
+%%% protect protected_file
diff --git a/bsp4/Designflow/syn/rev_1/vga.sap b/bsp4/Designflow/syn/rev_1/vga.sap
new file mode 100644 (file)
index 0000000..62e7cd4
--- /dev/null
@@ -0,0 +1,153 @@
+%%% protect protected_file
+@ER
+8P_oN8PsHCks_M;H0
+H
+oRCP_MDNLCH_#oN;
+HOR3D  FORm"hh; "
+RNH3FODOC      _8RoC"#sHC
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0k_M4EM#$O0_#N_0C6
+";N3HR#O$M_#sCC"0RMC:#P_CM#_CobrHMg;9"
+RobBN;
+bHR3#D_OFRO    4N;
+bOR3D  FORm"hh; "
+RNb3FODOC      _8RoC"#sHC
+";
+RoHEM_CNCLD_o#H;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHk03MP4_#O$M_N#006C_"N;
+H#R3$_MOsCC#0MR":P#CC#M_Cbo_HgMr9
+";oBbR;b
+NR#3H_FODO4    R;b
+NRD3OFRO       "hhm 
+";N3bROODF     8_Co"CRsCH#"
+;
+oOHRFlDkMF_OkCM0sH_#o:rgj
+9;N3HROODF     hR"m"h ;H
+NRD3OF_O       CC8oRH"s#;C"
+RobBN;
+bHR3#D_OFRO    4N;
+bOR3D  FORm"hh; "
+RNb3FODOC      _8RoC"#sHC
+";
+RoHEM#$O0_#Nr0Cj9:n;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"o;
+b;RB
+RNb3_H#OODF    ;R4
+RNb3FODO"      Rh mh"N;
+bOR3D  FO_oC8CsR"H"#C;o
+
+H#RE$_MOOMFk0rCsg9:j;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"o;
+b;RB
+RNb3_H#OODF    ;R4
+RNb3FODO"      Rh mh"N;
+bOR3D  FO_oC8CsR"H"#C;o
+
+H_RE#O$M;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHk03ME4_#O$M_N#00nC_"N;
+H#R3$_MO#RC0"#M:CMPC_o#C_MbHr"g9;b
+oR
+B;N3bRHO#_D    FOR
+4;N3bROODF     hR"m"h ;b
+NRD3OF_O       CC8oRH"s#;C"
+H
+oR$P#M#O_0CN0rnj:9N;
+HOR3D  FORm"hh; "
+RNH3FODOC      _8RoC"#sHC
+";oBbR;b
+NR#3H_FODO4    R;b
+NRD3OFRO       "hhm 
+";N3bROODF     8_Co"CRsCH#"
+;
+oDHRH_MCOMFk0_Cs#rHoU9:j;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"o;
+b;RB
+RNb3_H#OODF    ;R4
+RNb3FODO"      Rh mh"N;
+bOR3D  FO_oC8CsR"H"#C;o
+
+H#RP$_MOOMFk0rCsg9:j;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"o;
+b;RB
+RNb3_H#OODF    ;R4
+RNb3FODO"      Rh mh"N;
+bOR3D  FO_oC8CsR"H"#C;o
+
+H_RP#O$M;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHk03MP4_#O$M_N#00nC_"N;
+H#R3$_MO#RC0"#M:CMPC_o#C_MbHr"g9;b
+oR
+B;N3bRHO#_D    FOR
+4;N3bROODF     hR"m"h ;b
+NRD3OF_O       CC8oRH"s#;C"
+R
+8P_oNO0FMs_FDk0MH;o
+
+H;Ro
+RNH3FODO"      Rh mh"N;
+HOR3D  FO_oC8CsR"H"#C;H
+NR#3N$_MOsCC#0MR":P#CC#M_Cbo_HgMr9
+";oBbR;b
+NR#3H_FODO4    R;b
+NRD3OFRO       "hhm 
+";N3bROODF     8_Co"CRsCH#"
+;
+osHR;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"N;
+HNR3#O$M_#sCC"0RMC:#P_CM#_CobrHMg;9"
+RobBN;
+bHR3#D_OFRO    4N;
+bOR3D  FORm"hh; "
+RNb3FODOC      _8RoC"#sHC
+";
+RoH0oFoD#C_H
+o;N3HROODF     hR"m"h ;H
+NRD3OF_O       CC8oRH"s#;C"
+RNH3FODOC      _MDNLCMR":NPo_MOF0DsF_HkM0M3k4F_0oCoD_kOFMs0C_o#H"N;
+HNR3#O$M_#sCC"0RMC:#P_CM#_CobrHMg;9"
+RobBN;
+bHR3#D_OFRO    4N;
+bOR3D  FORm"hh; "
+RNb3FODOC      _8RoC"#sHC
+";
+RoHLN;
+HOR3D  FORm"hh; "
+RNH3FODOC      _8RoC"#sHC
+";N3HRNM#$OC_s#RC0"#M:CMPC_o#C_MbHr"g9;b
+oR
+B;N3bRHO#_D    FOR
+4;N3bROODF     hR"m"h ;b
+NRD3OF_O       CC8oRH"s#;C"
+H
+oRo0Fo_DCOMFk0_Cs#rHo.jc:9N;
+HOR3D  FORm"hh; "
+RNH3FODOC      _8RoC"#sHC
+";N3HRNM#$OC_s#RC0"#M:CMPC_o#C_MbHr"g9;b
+oR
+B;N3bRHO#_D    FOR
+4;N3bROODF     hR"m"h ;b
+NRD3OF_O       CC8oRH"s#;C"
+R
+MI     FsRNPoRELCN
+P;
+RoH8_D$OMFk0rCs49:j;H
+NRD3OFRO       "hhm 
+";N3HROODF     8_Co"CRsCH#"o;
+b;RB
+RNb3_H#OODF    ;R4
+RNb3FODO"      Rh mh"N;
+bOR3D  FO_oC8CsR"H"#C;P
+NR$3#MF_OlDbHCF_bHRM04N;
+POR3FHlbDbC_F0HM_lMNCoRPN
+;
+
diff --git a/bsp4/Designflow/syn/rev_1/vga.srd b/bsp4/Designflow/syn/rev_1/vga.srd
new file mode 100644 (file)
index 0000000..3aa8c44
Binary files /dev/null and b/bsp4/Designflow/syn/rev_1/vga.srd differ
diff --git a/bsp4/Designflow/syn/rev_1/vga.srm b/bsp4/Designflow/syn/rev_1/vga.srm
new file mode 100644 (file)
index 0000000..46a88d6
--- /dev/null
@@ -0,0 +1,8960 @@
+%%% protect protected_file
+@ERMRq pa)qq_uR XOCFs_RVVuv)Q;O
+NR     3#HPb_E_8DkR#C4N;
+PHR3#Hbsl;R4
+RNP#_$MVOFsCC_#Js_bH"lRO"D     ;P
+NRE3P8#D_      RHb4F;
+R
+J;HDRO N;
+H$R#M#_HOODF   ;R4
+OHRD
+s;N#HR$NM_#O$ME;R4
+bHRsCC#0N;
+H$R#M#_N$EMOR
+4;HMRCNH;
+R
+8;oOLRD
+       ;N#LR$oM_N80CO_D        OODF    M_CRM"CN
+";N#LR$oM_N80CO_D      8NN0_RHM";8"
+RNL#_$MoCN08   OD_08NNk_F0JR""b;
+Rj@@:44::.4:R:fjjsR0k0CRsRkC0Csk;R
+b@:@j4::44R:.fjj:RDVN#VCRNCD#RDVN#
+C;b@R@j::nj::n6jRf:8jRV#VsCRRJJRR8ORD  ORDsb#sCCC0RM
+N;
+RMRq pa)qq_uR XV(Vdj._qqb.Rs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_R6h_R    ODR7thR7thRBeB;R
+bfjj:RPHMR08NNHL_R08NNHL_R08NN
+L;bjRf:FjRsjRo_NH_d_RhnNR80,NO8NN0L;_H
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8RjR_HhR_68NN0N_,hnN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XV(VdjU_qqbURs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_R6h_R    ODR7thR7thRBeB;R
+bfjj:RRFsoHd__RNdhR_n8NN0LN,80;NO
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8RdR_HhR_68NN0N_,hnN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XN_6.6666_UUUUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LF;
+RkOF06Ro;R
+bfjj:R8NMRRo6o86RNN0N,08NN
+L;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReB
+;
+
+RMRq pa)qq_uR XN_6dnnnn_UUUUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LF;
+RlOFL0FkR;on
+OFRFRk0o
+U;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjFRGsnRoRRon8NN0NN,80;NL
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8RUURoR08NN8N,NL0N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+RMRq pa)qq_uR XN(4j(q_66Uq_jRUjblsH;P
+NRC3DVN_lOMsFNRlC" pBp;p"
+RNP3bH#sRHl4N;
+P8R3s_NIo#k0R
+4;N3PR8CFM#Rsl4H;
+RMOH;R
+H8NN0NH;
+R08NN
+L;FFROlkLF0gRo;R
+FO0FkR4o4;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:jGRFsoogRgHROMN,80;NN
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R4o4R4O4RH8M,NN0N,08NN
+L;
+RMRq pa)qq_uR XN(4j(B_nnUB_jRUjblsH;P
+NRC3DVN_lOMsFNRlC" pBp;p"
+RNP3bH#sRHl4N;
+P8R3s_NIo#k0R
+4;N3PR8CFM#Rsl4H;
+RMOH;R
+H8NN0NH;
+R08NN
+L;FFROlkLF0_Rhn;_H
+OFRFRk0o;4n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRMhPR_H6_R6h__4H_R6h_;R
+bfjj:R8NMRno4_Nj_.4RonNR80,NLh__6H;_4
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:HjRMOPRHHM_RMOH_4H_RMOH;R
+bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R
+bfjj:RRFso_4nj._FR6h_R08NNHN__O4,HHM__
+4;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb;
+R:fjjMRHPNR80_NLHNR80_NLHNR80;NL
+fbRjR:jGRFso_4dj._GRnh__hHR_86,NL0N_
+H;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc
+;
+
+RMRq pa)qq_uR XNc4j4q_66bqRs;Hl
+RNP3VDC_OlNsNFMl"CRppB p
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+P8R3F#MCs4lR;R
+HO;HM
+8HRNN0N;R
+FOLFlFRk0o;4U
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jGRFsoR4UoR4UO,HM8NN0Nb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;
+
+
+
+RMRq pa)qq_uR XN(4jdB_nnbBRs;Hl
+RNP3VDC_OlNsNFMl"CRppB p
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+P8R3F#MCs4lR;R
+HO;HM
+8HRNN0N;R
+H8NN0LF;
+RlOFL0FkR6h__
+H;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPHROMR_HO_HMHR_4O;HM
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jFosR.jj__RF.hR_c8NN0N__H4H,OM__H4N;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R
+bfjj:RPHMR08NNHL_R08NNHL_R08NN
+L;bjRf:GjRFosR.jj__RG.h__6H_RhcN,80_NLHN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XN_6.jjjj_UUUUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LF;
+RkOF0.Rodb;
+R:fjjMRN8.Rod.RodNR80,NN8NN0Lb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;
+
+
+
+RMRq pa)qq_uR XN_cg    RHbslN;
+PDR3ClV_NFOsMCNlRB"p "pp;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3M8FCl#sR
+4;HNR80;NN
+8HRNL0N;R
+FOLFlFRk0o;.c
+fbRjR:jFosR.ocR.8cRNN0N,08NN
+L;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReB
+;
+
+RMRq pa)qq_uR XN_cg((((RHbslN;
+PDR3ClV_NFOsMCNlRB"p "pp;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3M8FCl#sR
+4;HNR80;NN
+8HRNL0N;R
+FOLFlFRk0o;.6
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jFosR.o6R.86RNN0N_4H_,08NNHL__
+4;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReB
+;
+
+RMRq pa)qq_uR XN4.c_jjj4sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.
+U;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN
+N;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN
+O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8.RoU.RoUNR80_NNH,_48NN0L__H4N,80_NOH,_48NN08__H4
+;
+
+RMRq pa)qq_uR XN4.c_jUjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0Rd
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8dRo6dRo6NR80,NN8NN0LN,80,NO8NN08
+;
+
+RMRq pa)qq_uR XNd44_jj44sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0dRoUb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:R8NMRUodRUodR08NNHN__84,NL0N_4H_,08NNHO__
+4;
+RMRq pa)qq_uR XNd44_((wwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0cRodb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RRFsoRcdoRcd8NN0N__H4N,80_NLH,_48NN0O__H4
+;
+
+RMRq pa)qq_uR XN4.c_.jjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0Rc
+U;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8cRoUcRoUNR80,NN8NN0ON,80_NLH,_48NN08__H4
+;
+
+RMRq pa)qq_uR XN4.c_w(wwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R6
+d;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN
+N;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN
+O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjsRFRdo6Rdo6R08NNHN__84,NL0N_4H_,08NNHO__84,N80N_4H_;
+
+
+
+RMRq pa)qq_uR XN4.c_UjjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0Rn
+j;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8nRojnRojNR80,NN8NN0LN,80,NO8NN08__H4
+;
+
+RMRq pa)qq_uR XN4.c_4jjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0Rn
+c;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN
+N;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8nRocnRocNR80,NO8NN0N__H4N,80_NLH,_48NN08__H4
+;
+
+RMRq pa)qq_uR XNd44_jjUUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0(Rojb;
+R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:R8NMRjo(Rjo(R08NN8N,NL0N,08NNHO__
+4;
+RMRq pa)qq_uR XN4.c_jjjUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R(
+d;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN
+O;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRN8(Rod(RodNR80,NN8NN0LN,80_NOH,_48NN08__H4
+;
+
+RMRq pa)qq_uR XNd44_ww44sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0(RoUb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjMRN8(Rog(RogNR80_NNH,_48NN0L__H4b;
+R:fjjsRFRUo(RUo(R08NNoO,(
+g;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReB
+;
+
+RMRq pa)qq_uR XN_cgUUUURHbslN;
+PDR3ClV_NFOsMCNlRB"p "pp;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3M8FCl#sR
+4;HNR80;NN
+8HRNL0N;R
+FOLFlFRk0o;U.
+fbRjR:jNRM8oRU.oRU.8NN0NN,80;NL
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;
+RMRq pa)qq_uR XN4.c_7BBUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0RU
+d;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjsRFRdoU_FH_c_RhnNR80,NN8NN08N;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R
+bfjj:RGlkRdoU_lH_.URodNR80RNO8NN0L_RhnN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XN4.c_www(sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0RU
+U;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_4H_R08NN
+N;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjsRFRUoURUoUR08NN8O,N80N,08NNHN__84,NL0N_4H_;
+
+
+
+RMRq pa)qq_uR XNd44_44jjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0gRodb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:R8NMRdogRdogR08NN8O,NN0N_4H_,08NNHL__
+4;
+RMRq pa)qq_uR XNd44_UUjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0gRo(b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:R8NMR(ogR(ogR08NN8N,NL0N,08NN
+O;
+RMRq pa)qq_uR XN4.c_jjw(sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:HjRM8PRNL0N_8HRNL0N_.H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN
+N;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_NOHNR80_NOHR_j8NN0Ob;
+R:fjjMRN8gRogR_HhR_6h,_n8NN0O__HjN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R
+bfjj:RRFso_ggHd_NRnh_R08NN88,NN0N_.H_,08NNHL__
+.;
+RMRq pa)qq_uR XN4.c_ww(wsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;j6
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN08R_H8NN08__H4NR80;N8
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:FjRs4Rojo6R4Rj68NN0ON,80_NNH,_48NN0L__H4N,80_N8H;_4
+RMRq pa)qq_uR XN4.c_j4jjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;44
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R4R44o444R08NN8O,N80N,08NNHN__84,NL0N_4H_;
+
+
+
+RMRq pa)qq_uR XN4.c_j4wwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;4n
+fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRM8PRNO0N_8HRNO0N_.H_R08NN
+O;bjRf:FjRs4Ro4jn_R4o4n_Rh6N,80_NOH;_.
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R4_4njd_NR6h_R08NN88,NN0N_.H_,08NNHL__
+.;
+RMRq pa)qq_uR XN4.c_q.qqsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_N8HNR80_N8HNR80;N8
+fbRjR:jHRMP8NN0OR_H8NN0OR_H8NN0Ob;
+R:fjjMRHPNR80_NLHNR80_NLHNR80;NL
+fbRjR:jFosR4_..Hd_NRnh_R08NNHL_,08NNHO_,08NNH8_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jNRM8o.4._hHR_86RNN0N,nh_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+RMRq pa)qq_uR XN4.c_4jwwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;.n
+fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRM8PRN80N_8HRN80N_.H_R08NN
+8;bjRf:HjRM8PRNO0N_8HRNO0N_.H_R08NN
+O;bjRf:FjRs4Ro.jn_R.o4n_Rh6N,80_NOH;_.
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R4_.njd_NR6h_R08NNHN__8.,NL0N_.H_,08NNH8__
+.;
+RMRq pa)qq_uR XN4.c_w(jjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:HjRM8PRNL0N_8HRNL0N_.H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN
+N;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_N8HNR80_N8HNR80;N8
+fbRjR:jNRM8o.4d_hHR_86RNO0N,nh_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jFosR4_d.Hd_NRnh_R08NNHN__8.,NL0N_.H_,08NNH8_;
+
+
+
+RMRq pa)qq_uR XNd44_..qqsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0_Rh6b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RPHMR08NNHO_R08NNHO_R08NN
+O;bjRf:HjRM8PRNL0N_8HRNL0N_8HRNL0N;R
+bfjj:RRFso(4d_NH_d_RhnNR80_NLHN,80_NOHN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;R
+bfjj:R8NMRdo4(R_HhR_68NN0N_,hnN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XN4.c_w7jjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN
+N;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_N8HNR80_N8HNR80;N8
+fbRjR:jNRM8oj4c_hHR_86RNO0N,nh_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jFosR4_cjHd_NRnh_R08NN8L,NN0N_.H_,08NNH8_;
+
+
+
+RMRq pa)qq_uR XN4.c_(wwwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;cc
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:FjRs4RococR4Rcc8NN08N,80_NNH,_48NN0L__H4N,80_NOH;_4
+RMRq pa)qq_uR XN4.c_.wwqsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;6j
+fbRjR:jFosR4R6joj46R08NNh8,_
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_NOHNR80_NOHNR80;NO
+fbRjR:jHRMP8NN0LR_H8NN0L__HjNR80;NL
+fbRjR:jFosR4_64Hd_NRnh_R08NNHL__8j,NO0N_
+H;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb;
+R:fjjMRN84Ro6H4_R6h_R08NNhN,_
+n;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc
+;
+
+RMRq pa)qq_uR XN4.c_Bjq sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;6c
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:NjRMo8R4_6cjd_NRch_R08NN8L,N80N_4H_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jNRM8oc46_Nj_dR_jhR_68NN0NN,80_NOH;_4
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:FjRs4Ro6jc_R6o4c_Rh6_,hcN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XN4.c_wwj4sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;6g
+fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRM8PRN80N_8HRN80N_.H_R08NN
+8;bjRf:FjRs4Ro6jg_R6o4gNR80,NOh;_6
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R4_6gjd_NR6h_R08NNHN__8.,NL0N_.H_,08NNH8__
+.;
+RMRq pa)qq_uR XN4.c_qqqAsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R4;nc
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:HjRM8PRNO0N_8HRNO0N_4H_R08NN
+O;bjRf:HjRM8PRNL0N_8HRNL0N_4H_R08NN
+L;bjRf:NjRMo8R4_ncjd_NR6h_R08NNHL__84,NO0N_4H_,08NNH8__
+4;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb;
+R:fjjsRFRno4cR_joc4nR08NNhN,_
+6;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc
+;
+
+RMRq pa)qq_uR XVjVg4Uj_URUUblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+HC;MN
+sFRCkoF0_Rh4o;
+M_Rh4N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+.;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jNRM8oU4nRno4UNR80,NN8NN0Lb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7RhC7RM
+N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_Rno4UO,#DHs__
+.;
+RMRq pa)qq_uR XV.VgjU._jRjjblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+8HRNO0N;R
+H8NN08H;
+R      OD;H
+NRM#$_OH#D     FOR
+4;HOR#D
+s;HMRCNF;
+RosCFRk0h;_4
+RoMh;_4
+RNM3P#NCC_M0D_VN4o#Rn.6;M
+oR.h_;M
+NRN3#PMC_CV0_D#No46R.nb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7RhC7RM
+N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_Rno4gO,#DHs__
+.;bjRf:NjRMo8R4Rngog4nR08NN8N,NL0N,08NN8O,N80N;
+
+
+
+RMRq pa)qq_uR XVgVU(qU_qRqqblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HDRO N;
+H$R#M#_HOODF   ;R4
+#HRO;Ds
+CHRM
+N;FCRso0FkR4h_;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjVR8VCs#RRwwhR_4hR_.ORD    tRh7tRh7C;MN
+fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R
+bfjj:R8NMRD#Os_Rh.NR80,NN#sOD_.H_;
+
+
+
+RMRq pa)qq_uR XVjVg4 j_ R  blsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+HC;MN
+sFRCkoF0_Rh4o;
+M_Rh4N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+.;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jFosR4R(.o.4(R08NN8N,NL0N;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh4_Rh.DRO    hRt7hRt7MRCNb;
+R:fjjMRHPOR#DHs_RD#Os__H.OR#D
+s;bjRf:NjRM#8RORDshR_.o.4(,D#Os__H.
+;
+
+RMRq pa)qq_uR XV.Vgj.._jRjjblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+8HRNO0N;R
+H8NN08H;
+R      OD;H
+NRM#$_OH#D     FOR
+4;HOR#D
+s;HMRCNF;
+RosCFRk0h;_4
+RoMh;_4
+RNM3P#NCC_M0D_VN4o#Rn.6;M
+oR.h_;M
+NRN3#PMC_CV0_D#No46R.nb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7RhC7RM
+N;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_R(o4dO,#DHs__
+.;bjRf:NjRMo8R4R(dod4(R08NN8N,NO0N,08NN88,NL0N_4H_;
+
+
+
+RMRq pa)qq_uR XVgVcUw_w(bwRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+8HRN80N;R
+HO;D   
+RNH#_$MHD#OFRO 4F;
+RosCFRk0h;_4
+RoMh;_4
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R
+bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R
+bfjj:RPHMR08NNHO_R08NNHO__84RNO0N;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh44Ro(O(RDt  Rht7Rhe7RB
+B;bjRf:FjRs4Ro(o(R4R((8NN08N,80_NNH,_48NN0L__H4N,80_NOH;_4
+RMRq pa)qq_uR XVcVUgAU_ARAAblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R
+bfjj:RRFsod4URUo4dNR80,NN8NN0L__H4b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7Rhe7RB
+B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_RUo4dO,#DHs__
+.;
+RMRq pa)qq_uR XVcVUg7U_7R77blsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R
+bfjj:RRFso64URUo46NR80,NL8NN0N__H4b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7Rhe7RB
+B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_RUo46O,#DHs__
+.;
+RMRq pa)qq_uR XV(Vdjj_UUbjRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_RUo4(DRO hRt7hRt7BReBb;
+R:fjjMRN84RoUo(R4RU(8NN0NN,80,NL8NN0O
+;
+
+RMRq pa)qq_uR XV(Vd4w_((bwRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+FOLFlFRk0og4U;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_RUo4gDRO hRt7hRt7BReBb;
+R:fjjsRFRUo4g4RoU8gRNN0N_4H_,08NNHL__84,NO0N_4H_;
+
+
+
+RMRq pa)qq_uR XVgVcUj_jUbjRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+8HRN80N;R
+HO;D   
+RNH#_$MHD#OFRO 4F;
+RosCFRk0h;_4
+RoMh;_4
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RPHMR08NNH8_R08NNH8__84RN80N;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh44RogOcRDt  Rht7Rhe7RB
+B;bjRf:NjRMo8R4Rgcoc4gR08NN8N,NL0N,08NN8O,N80N_4H_;
+
+
+
+RMRq pa)qq_uR XVgVcUB_jqb Rs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HNR80;NO
+8HRN80N;R
+HO;D   
+RNH#_$MHD#OFRO 4F;
+RosCFRk0h;_4
+RoMh;_4
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh44RogOURDt  Rht7Rhe7RB
+B;bjRf:HjRM8PRN80N_8HRN80N_4H_R08NN
+8;bjRf:NjRMo8R4_gUjd_NRch_R08NN8N,N80N_4H_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jNRM8oU4g_Nj_dR_jhR_68NN0LN,80_NOH;_4
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:FjRs4RogjU_Rgo4U_Rh6_,hcN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XVUVdnw_wjbjRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN08H;
+R      OD;H
+NRM#$_OH#D     FOR
+4;FCRso0FkR4h_;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.nb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_84RN80NR     ODR7thR7thRBeB;
+
+
+
+RMRq pa)qq_uR XVcVUg(U_(R((blsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RPHMR08NNHL_R08NNHL__84RNL0N;R
+bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R
+bfjj:RRFsod.jRjo.dNR80_NNH,_48NN0L__H4b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_O.RDt    Rht7Rhe7RB
+B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_Rjo.dO,#DHs__
+.;
+RMRq pa)qq_uR XO.M06Ugd_66qqsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3_H#V4VR;P
+NRF38MsC#l;R4
+OHRH
+M;HNR80;NN
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+H#sOD;R
+H#NDF8F;
+RosCFRk0h;_4
+8HRNO0N;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+d;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jGRFson.jRjo.nHROMN,80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_Rdh_R    ODR7thR7thRBeB;R
+bfjj:RGlkRF#DNh8R_o.R.Rjn8NN0ODR#F;N8
+fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R
+bfjj:R8NMRD#Os_Rhd_Rh.O,#DHs__
+.;
+RMRq pa)qq_uR XO.M06.gc_66qqj_qqbjRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+HO;HM
+8HRNN0N;R
+HO;D   
+RNH#_$MHD#OFRO 4H;
+RD#OsH;
+RF#DN
+8;FCRso0FkR4h_;R
+FO0FkR4o.jH;
+R08NN
+O;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;M
+oRdh_;M
+NRN3#PMC_CV0_D#No46R.nb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_h4R_OdRDt    Rht7Rhe7RB
+B;bjRf:ljRk#GRD8FNR.h_Rjo.UNR80RNO#NDF8b;
+R:fjjMRHPOR#DHs_RD#Os__H.OR#D
+s;bjRf:NjRM#8RORDshR_dh,_.#sOD_.H_;R
+bfjj:RsGFRjo.U.RojOURH8M,NN0N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jNRM8oj.4R4o.jHROMN,80;NN
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;
+RMRq pa)qq_uR XO.M0cjg6_nnnnU_UUbURs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R08NN
+L;HDRO N;
+H$R#M#_HOODF   ;R4
+#HRO;Ds
+#HRD8FN;R
+FsFCokh0R_
+4;FFROko0R.;4d
+8HRNO0N;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+d;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_Rdh_R    ODR7thR7thRBeB;R
+bfjj:RGlkRF#DNh8R_o.R.R448NN0ODR#F;N8
+fbRjR:jHRMP#sOD_#HRO_DsHR_.#sOD;R
+bfjj:R8NMRD#Os_Rhd_Rh.O,#DHs__
+.;bjRf:GjRFosR.R44o4.4R08NN8N,NL0N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jNRM8od.4R4o.dNR80,NN8NN0LN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XO.M0cjg6_6666q_qqbqRs;Hl
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NR#3H_RVV4N;
+P8R3F#MCs4lR;R
+H8NN0NH;
+R      OD;H
+NRM#$_OH#D     FOR
+4;HOR#D
+s;HDR#F;N8
+sFRCkoF0_Rh4F;
+RkOF0NR80;NN
+8HRNO0N;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+d;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_Rdh_R    ODR7thR7thRBeB;R
+bfjj:RPHMR08NNHN_R08NNHN__84RNN0N;R
+bfjj:RGlkRF#DNh8R_8.RNN0N_4H_R08NN#ORD8FN;R
+bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb;
+R:fjjMRN8OR#DhsR_hdR_#.,O_DsH;_.
+RMRq pa)qq_uR XNd44_ww  sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;FFROlkLF0.Ro4
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjsRFR4o.6.Ro486RNN0N,08NN8L,NO0N;
+
+
+
+RMRq pa)qq_uR XN4.c_jjjcsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;4(
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jHRMP8NN08R_H8NN08__H4NR80;N8
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R.R4(o(.4R08NN8L,NN0N_4H_,08NNHO__84,N80N_4H_;
+
+
+
+RMRq pa)qq_uR XN4.c_jw jsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;.d
+fbRjR:jNRM8od..R.o.dNR80,N8oc..;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:jFosR.R.coc..R08NN8N,NL0N,08NN
+O;
+RMRq pa)qq_uR XN4.c_jww4sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;.n
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jFosR.R.non..R08NNo8,.;.(
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R.R.(o(..R08NNHN__84,NL0N_4H_,08NNHO__
+4;
+RMRq pa)qq_uR XN4.c_jj4jsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;d.
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R.Rd.o..dR08NN88,NN0N_4H_,08NNHL__84,NO0N_4H_;
+
+
+
+RMRq pa)qq_uR XN4.c_wj4wsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;dU
+fbRjR:jHRMP8NN0LR_H8NN0L__H4NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jHRMP8NN0OR_H8NN0O__H4NR80;NO
+fbRjR:jHRMP8NN08R_H8NN08__H4NR80;N8
+fbRjR:jFosR.RdUoU.dRco.jN,80_N8H;_4
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R.Rcjoj.cR08NNHN__84,NL0N_4H_,08NNHO__
+4;
+RMRq pa)qq_uR XN4.c_j444sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:HjRM8PRNL0N_8HRNL0N_.H_R08NN
+L;bjRf:HjRM8PRNN0N_8HRNN0N_.H_R08NN
+N;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_NOHNR80_NOHR_j8NN0Ob;
+R:fjjsRFRco.6__HNhdR_8nRN80N,08NNHO__
+j;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb;
+R:fjjMRN8.RocH6_R6h_Rnh_,08NNHN__8.,NL0N_.H_;
+
+
+
+RMRq pa)qq_uR XN4.c_ w  sRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;64
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:NjRMo8R._64jd_NR6h_R08NN8O,N80N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jFosR._64j.Ro684RNN0N,08NNhL,_
+6;
+RMRq pa)qq_uR XN4.c_UUUjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjsRFR6o.c__HNhdR_8nRNO0N,08NN
+8;N3HR#CNP_#HM0D_VN.o#R4n(jnUUcb;
+R:fjjMRN8.Ro6Hc_R6h_R08NN8N,NL0N,nh_;
+
+
+
+RMRq pa)qq_uR XN4.c_4dwwsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLkh0R_
+6;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjMRHPNR80_NLHNR80_NLHNR80;NL
+fbRjR:jFosR._6(Hd_NRnh_R08NNHO_,08NNHL_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMP8NN0NR_H8NN0NR_H8NN0Nb;
+R:fjjMRHPNR80_NOHNR80_NOHNR80;NO
+fbRjR:jFosR._6(Hd_N_hjR_8(RN80N,08NNHO_,08NNHN_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jNRM8o(.6_hHR_h6R_h(,_
+n;N3HR#CNP_#HM0D_VN.o#R4n(jnUUc
+;
+
+RMRq pa)qq_uR XN4.c_ww4jsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p"N;
+PHR3#Hbsl;R4
+RNP3N8sIk_o04#R;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R08NN
+O;HNR80;N8
+OFRFFlLko0R.;nc
+fbRjR:jHRMP8NN0LR_H8NN0L__H.NR80;NL
+fbRjR:jHRMP8NN0NR_H8NN0N__H.NR80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:FjRs.Ronjc_Rno.cNR80,NOh;_6
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R._ncjd_NR6h_R08NN88,NN0N_.H_,08NNHL__
+.;
+RMRq pa)qq_uR XVcVcUw._wRjjblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+8;HDRO N;
+H$R#M#_HOODF   ;R4
+NHRO;Ds
+sFRCkoF0_Rh4o;
+M_Rh4N;
+M#R3N_PCM_C0VoDN#.4R6
+n;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjVR8VCs#RRwwhR_48NN08DRO   ORNDtsRhe7RB
+B;
+RMRq pa)qq_uR XV6Vcgjc_4RjjblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+8HRNO0N;R
+H8NN08H;
+R      OD;H
+NRM#$_OH#D     FOR
+4;HORND
+s;FCRso0FkR4h_;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.nb;
+R:fjjMRHPNR80_NLHNR80_NLHR_48NN0Lb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjMRHPNR80_NOHNR80_NOHR_48NN0Ob;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_o4R.RngORD   NsODR7thRBeB;R
+bfjj:R8NMRno.g.Ron8gRN80N,08NNHN__84,NL0N_4H_,08NNHO__
+4;
+RMRq pa)qq_uR XVcVcjg._gRggblsH;P
+NRC3DVN_lOMsFNRlC" pBpwp_w
+";N3PRHs#bH4lR;P
+NRs38NoI_kR0#4N;
+PHR3#V_VR
+4;N3PR8CFM#Rsl4H;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+HNsOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jGRFso6.(R(o.6NR80,NL8NN0N__H4b;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;R
+bfjj:RV8VsR#CwhwR_o4R.R(6ORD   NsODR7thRBeB;
+
+
+
+RMRq pa)qq_uR XV.V46_n.6666RHbslN;
+PDR3ClV_NFOsMCNlRB"p _ppw;w"
+RNP3bH#sRHl4N;
+P8R3s_NIo#k0R
+4;N3PRHV#_V;R4
+RNP3M8FCl#sR
+4;HNR80;NN
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+HNsOD;R
+H#sOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh4_Rh.DRO    ORNDtsRhe7RB
+B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jNRM8#sODR.h_R08NNHN__#4,O_DsH;_.
+RMRq pa)qq_uR XV.V46_gUnnnn_UUUUsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3_H#V4VR;P
+NRF38MsC#l;R4
+8HRNN0N;R
+H8NN0LH;
+R      OD;H
+NRM#$_OH#D     FOR
+4;HORND
+s;HOR#D
+s;FCRso0FkR4h_;R
+FO0FkRUo.jo;
+M_Rh4N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+.;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_R.h_R    ODRDNOshRt7BReBb;
+R:fjjMRHPOR#DHs_RD#Os__H.OR#D
+s;bjRf:NjRM#8RORDshR_.oU.(,D#Os__H.b;
+R:fjjFRGs.Ro(oUR.R(U8NN0NN,80;NL
+RNH3P#NCM_H#V0_D#No.(Rn4UjUn
+c;bjRf:NjRMo8R.RUjoj.UR08NN8N,NL0N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+RMRq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3_H#V4VR;P
+NRF38MsC#l;R4
+OHRH
+M;HNR80;NN
+8HRNL0N;R
+HO;D   
+RNH#_$MHD#OFRO 4H;
+RDNOsH;
+RD#OsF;
+RosCFRk0h;_4
+OFRFRk0od.U;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjVR8VCs#RRwwhR_4hR_.ORD    NsODR7thRBeB;R
+bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb;
+R:fjjMRN8OR#DhsR_o.R.,U4#sOD_.H_;R
+bfjj:RsGFRUo.4.RoUO4RH8M,NN0N;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jNRM8od.URUo.dHROMN,80,NN8NN0L
+;
+
+RMRq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH
+l;N3PRD_CVlsNOFlMNCpR"Bp p_"ww;P
+NR#3HblsHR
+4;N3PR8IsN_0ok#;R4
+RNP3_H#V4VR;P
+NRF38MsC#l;R4
+OHRH
+M;HNR80;NN
+8HRNL0N;R
+HO;D   
+RNH#_$MHD#OFRO 4H;
+RDNOsH;
+RD#OsF;
+RosCFRk0h;_4
+OFRFRk0oU.U;M
+oR4h_;M
+NRN3#PMC_CV0_D#No46R.no;
+M_Rh.N;
+M#R3N_PCM_C0VoDN#.4R6
+n;bjRf:VjRNCD#R7thR7th;R
+bfjj:Rk0sCBReBBReBb;
+R:fjjVR8VCs#RRwwhR_4hR_.ORD    NsODR7thRBeB;R
+bfjj:RPHMRD#OsR_H#sOD_.H_RD#Osb;
+R:fjjMRN8OR#DhsR_h.R_Hn_,D#Os__H.b;
+R:fjjMRHP_Rh6R_Hh__6HR_4h;_6
+fbRjR:jNRM8oU.U_Nj_..RoU8URNL0N,6h__4H_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMPO_HMHHROM__H4HROMb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjsRFRUo.U__jFh.R_86RNN0N_4H_,MOH_4H_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMP8NN0LR_H8NN0LR_H8NN0Lb;
+R:fjjFRGs.RoUj6__RG.h__nH_Rh6N,80_NLHN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRq pa)qq_uR XVdV46_Un6qq6RHbslN;
+PDR3ClV_NFOsMCNlRB"p _ppw;w"
+RNP3bH#sRHl4N;
+P8R3s_NIo#k0R
+4;N3PRHV#_V;R4
+RNP3M8FCl#sR
+4;HHROMH;
+R08NN
+N;HDRO N;
+H$R#M#_HOODF   ;R4
+NHRO;Ds
+#HRO;Ds
+sFRCkoF0_Rh4o;
+M_Rh4N;
+M#R3N_PCM_C0VoDN#.4R6
+n;ohMR_
+.;N3MR#CNP_0MC_NVDoR#4.;6n
+fbRjR:jHRMP8NN0NR_H8NN0N__H4NR80;NN
+fbRjR:jGRFsoj.gRgo.jHROMN,80;NN
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;bjRf:8jRV#VsCwRwR4h_R.h_R    ODRDNOshRt7BReBb;
+R:fjjMRHPOR#DHs_RD#Os__H.OR#D
+s;bjRf:NjRM#8RORDshR_.oj.g,D#Os__H.
+;
+
+RMRq pa)qq_uR XVdV4n_4UnBBnRHbslN;
+PDR3ClV_NFOsMCNlRB"p _ppw;w"
+RNP3bH#sRHl4N;
+P8R3s_NIo#k0R
+4;N3PRHV#_V;R4
+RNP3M8FCl#sR
+4;HHROMH;
+R08NN
+N;HNR80;NL
+OHRD
+       ;N#HR$HM_#FODO4 R;R
+HNsOD;R
+H#sOD;R
+FsFCokh0R_
+4;ohMR_
+4;N3MR#CNP_0MC_NVDoR#4.;6n
+RoMh;_.
+RNM3P#NCC_M0D_VN4o#Rn.6;R
+bfjj:RDVN#tCRht7Rh
+7;bjRf:0jRsRkCeRBBe;BB
+fbRjR:j8sVV#wCRw_Rh4_Rh.DRO    ORNDtsRhe7RB
+B;bjRf:HjRM#PRO_DsHOR#DHs__#.RO;Ds
+fbRjR:jNRM8#sODR.h_R6h__#H,O_DsH;_.
+fbRjR:jHRMPO_HMHHROM__H4HROMb;
+R:fjjMRHPNR80_NNHNR80_NNHR_48NN0Nb;
+R:fjjsRFRgo..__jFh.R_8cRNN0N_4H_,MOH_4H_;H
+NRN3#PHC_M_#0VoDN#n.R(U4jU;nc
+fbRjR:jHRMP8NN0LR_H8NN0LR_H8NN0Lb;
+R:fjjFRGs.Rogj.__RG.h__6H_RhcN,80_NLHN;
+H#R3N_PCH0M#_NVDoR#.nj(4UcUn;
+
+
+
+RMRvB )z_)YpRQA#N0s0_HGHuF_7bjRs;Hl
+RNP3VDC_OlNsNFMl"CRQ;m"
+RNP3bH#N48R;P
+NR#3HblsHR
+4;N3PR8CFM#Rsl4H;
+R08NN;HM
+bLRNF8H;H
+NRM#$_H0s#00NC;R4
+fbRjR:jV#NDChRt7hRt7b;
+R:fjjsR0keCRBeBRB
+B;b@R@n6:c:c(:6.:4:_sjbRHMfjj:RH0sR4Q_3H0sR8bNH8FRNH0NMBReB
+;
+
+RMRvB )z_)YpRQA#N0s0_HGHmF_uRajblsH;P
+NRC3DVN_lOMsFNRlC""Qm;P
+NR#3HbRN84N;
+PHR3#Hbsl;R4
+RNP3M8FCl#sR
+4;FFROlkLF0NRb8;HF
+bHRNF8H;H
+NRM#$_H0s#00NC;R4
+FHRCb;
+R:fjjNRVDR#CtRh7t;h7
+fbRjR:j0CskRBeBRBeB;
+
+
+
+"VRMNFMl;C"RHyVDjCR
+"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_       bN38PE"y;RVCHDRN.
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC
+RcNRVR3_H#PDE8R
+4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC
+RnNRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN(
+V3RRHP#_ER8D4V;
+RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR
+4.NRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV
+NRHR3#E_P84DR;R
+MRaqp _)qqXu Rs#0NG0H_CDODuDR);Qv
+RNO30CGCNsMD8_CHMV_NRlC"s#0NG0H_CDOD;D"
+RNP3VDC_OlNsNFMl"CRppB p
+";N3PRHs#bH4lR;P
+NRH3#lV8CN0kDRC'8PsbF,P8COMDs'N;
+PbR3E#$_HR0C"apz"N;
+PPR3E_8D#b     HR
+4;N3PRCCG0sDMN_sPCHoDF_lMNC#R"00sNHDG_ODCD"N;
+PCR3Gs0CM_NDPDE8_lMNC#R"00sNHDG_ODCD"F;
+RlOFL0Fk;H
+NRH3#lV8CN0kDRz'hp;p'
+sFRCkoF0N;
+H#R3HCl8VDNk0hR'z'pp;R
+FO0Fk;H
+NRH3#lV8CN0kDRz'hp;p'
+OFRNF#Ok
+0;HDRO N;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'7th'H;
+R08NN
+N;N3HR#8HlCkVND'0Re'BB;H
+NRJ3PlV8CN0kDRB'eB
+';HNR80;NL
+RNH3l#H8NCVkRD0'BeB'N;
+HPR3JCl8VDNk0eR'B;B'
+8HRNO0N;H
+NRH3#lV8CN0kDRB'eB
+';N3HRP8JlCkVND'0Re'BB;R
+H8NN08N;
+H#R3HCl8VDNk0eR'B;B'
+RNH3lPJ8NCVkRD0'BeB'H;
+RDNOsN;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'7th'N;
+H$R#M#_N$EMOR
+4;HOR#D
+s;N3HR#8HlCkVND'0Rt'h7;H
+NRJ3PlV8CN0kDRh't7
+';HDR#F;N8
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+CHRM
+N;N3HR#8HlCkVND'0Re'BB;H
+NRJ3PlV8CN0kDRB'eB
+';HHROMN;
+H#R3HCl8VDNk0tR'h;7'
+HHRMsPC0
+N;N3HR#8HlCkVND'0Rt'h7;H
+NRJ3PlV8CN0kDRh't7
+';HDRNF;N8
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+RNH#_$MNM#$O4ER;R
+HsOCoNH#OMN;
+H#R3HCl8VDNk0hR'z'pp;H
+NRJ3PlV8CN0kDRh't7
+';
+"VRMNFMl;C"RHyVDjCR
+"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_       bN38PE"y;RVCHDRN.
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC
+RcNRVR3_H#PDE8R
+4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC
+RnNRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN(
+V3RRHP#_ER8D4V;
+RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR
+4.NRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV
+NRHR3#E_P84DR;R
+MRaqp _)qqXu Rs#0NG0H_CDODVD_V)RuQ
+v;N3ORCCG0sDMN_HC8VN_Ml"CR#N0s0_HGDDOCD
+";N3OR#b       H_8PED#_kC;R4
+RNP3VDC_OlNsNFMl"CRppB pw_w"N;
+PHR3#Hbsl;R4
+RNP30CGCNsMDC_PsFHDoN_Ml"CR#N0s0_HGDDOCD
+";N3PRCCG0sDMN_8PEDN_Ml"CR#N0s0_HGDDOCD
+";N3PR#8HlCkVND'0R8bCPF8s,CDPOs;M'
+RNP3$bE_0#HCwR"w
+";N3PRPDE8_H#  b;R4
+OFRFFlLk
+0;N3HR#8HlCkVND'0Rhpzp'F;
+RosCF;k0
+RNH3l#H8NCVkRD0'phzp
+';N3HRHN#DM#8_CCJkMN0HDH_bM;R4
+OFRF;k0
+RNH3l#H8NCVkRD0'phzp
+';FNRO#kOF0H;
+R      OD;H
+NRH3#lV8CN0kDRh't7
+';N3HRP8JlCkVND'0Rt'h7;H
+NRM#$_OH#D     FOR
+4;HNR80;NN
+RNH3l#H8NCVkRD0'BeB'N;
+HPR3JCl8VDNk0eR'B;B'
+8HRNL0N;H
+NRH3#lV8CN0kDRB'eB
+';N3HRP8JlCkVND'0Re'BB;R
+H8NN0ON;
+H#R3HCl8VDNk0eR'B;B'
+RNH3lPJ8NCVkRD0'BeB'H;
+R08NN
+8;N3HR#8HlCkVND'0Re'BB;H
+NRJ3PlV8CN0kDRB'eB
+';HORND
+s;N3HR#8HlCkVND'0Rt'h7;H
+NRJ3PlV8CN0kDRh't7
+';N3HRl        Ns_$N#MsO_C0#CR
+4;N#HR$NM_#O$ME;R4
+#HRO;Ds
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+#HRD8FN;H
+NRH3#lV8CN0kDRh't7
+';N3HRP8JlCkVND'0Rt'h7;H
+NRN3ls#        _$_MOsCC#0;R4
+CHRM
+N;N3HR#8HlCkVND'0Re'BB;H
+NRJ3PlV8CN0kDRB'eB
+';N3HRl        Ns_FODOC        _MDNLC;R4
+OHRH
+M;N3HR#8HlCkVND'0Rt'h7;R
+HHCMPs;0N
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+NHRD8FN;H
+NRH3#lV8CN0kDRh't7
+';N3HRP8JlCkVND'0Rt'h7;H
+NRM#$_$N#MROE4H;
+RosCOON#H
+M;N3HR#8HlCkVND'0Rhpzp'N;
+HPR3JCl8VDNk0tR'h;7'
+"VRMNFMl;C"RHyVDjCR
+"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_       bN38PE"y;RVCHDRN.
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC
+RcNRVR3_H#PDE8R
+4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC
+RnNRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN(
+V3RRHP#_ER8D4V;
+RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR
+4.NRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV
+NRHR3#E_P84DR;R
+MRaqp _)qqXu Rs#0NG0H_RHFuv)Q;O
+NRG3C0MCsNCD_8_HVMCNlR0"#sHN0GF_H"N;
+PDR3ClV_NFOsMCNlRm"Q"N;
+PHR3#Hbsl;R4
+RNP3bH#N48R;P
+NRH3#lV8CN0kDRC'8PsbF,P8COMDs,P8CF;C'
+RNP3$bE_0#HCQR"mwAz"N;
+PHR3FH_PC4IR;P
+NRE3P8#D_      RHb4N;
+PCR3Gs0CM_NDPHCsD_FoMCNlR0"#sHN0GF_H"N;
+PCR3Gs0CM_NDPDE8_lMNC#R"00sNHHG_F
+";LNRb8;HF
+RNH3bH#N48R;H
+NRM#$_H0s#00NC;R4
+sFRCkoF0N;
+H#R3HCl8VDNk0hR'z'pp;R
+FOLFlF;k0
+RNH3l#H8NCVkRD0'phzp
+';F8R8HCFso0Fk;H
+NRH3#lV8CN0kDRz'hp;p'
+8HRNH0NMN;
+H#R3HCl8VDNk0hR'z'pp;H
+NRJ3PlV8CN0kDRh't7
+';H8R8HNF80MNH;H
+NRH3#lV8CN0kDRz'hp;p'
+FHRCN;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'BeB'H;
+R0FkO;D        
+RNH3l#H8NCVkRD0'phzp
+';N3HRP8JlCkVND'0Rt'h7;R
+HFOk0DM        CNN;
+H#R3HCl8VDNk0eR'B;B'
+RNH3lPJ8NCVkRD0'BeB'H;
+ROFCDM CNH;
+ROHMD
+       ;N3HR#8HlCkVND'0Rhpzp'N;
+HPR3JCl8VDNk0tR'h;7'
+HHRM   ODC;MN
+RNH3l#H8NCVkRD0'BeB'N;
+HPR3JCl8VDNk0eR'B;B'
+NHRsCC#0N;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'7th'N;
+HlR3N_s        NM#$OC_s#RC04H;
+RC#s#;C0
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+RNH3slN        $_#MsO_C0#CR
+4;FVR8VN_80FN_k
+0;
+"VRMNFMl;C"RHyVDjCR
+"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_       bN38PE"y;RVCHDRN.
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC
+RcNRVR3_H#PDE8R
+4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC
+RnNRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN(
+V3RRHP#_ER8D4V;
+RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR
+4.NRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV
+NRHR3#E_P84DR;R
+MRaqp _)qqXu Rs#0NG0H__HFVuVR);Qv
+RNO30CGCNsMD8_CHMV_NRlC"s#0NG0H_"HF;O
+NR     3#HPb_E_8DkR#C4N;
+PHR3#Hbsl;R4
+RNP3bH#N48R;P
+NRE3b$H_#0"CRQzmAw
+";N3PRCCG0sDMN_sPCHoDF_lMNC#R"00sNHHG_F
+";N3PRCCG0sDMN_8PEDN_Ml"CR#N0s0_HGH;F"
+RNP3l#H8NCVkRD0'P8Cb,Fs8OCPD,sM8FCPC
+';N3PRHPF_HRCI4N;
+PPR3E_8D#b     HR
+4;LNRb8;HF
+RNH3bH#N48R;H
+NRM#$_H0s#00NC;R4
+sFRCkoF0N;
+H#R3HCl8VDNk0hR'z'pp;R
+FOLFlF;k0
+RNH3l#H8NCVkRD0'phzp
+';F8R8HCFso0Fk;H
+NRH3#lV8CN0kDRz'hp;p'
+8HRNH0NMN;
+H#R3HCl8VDNk0hR'z'pp;H
+NRJ3PlV8CN0kDRh't7
+';H8R8HNF80MNH;H
+NRH3#lV8CN0kDRz'hp;p'
+FHRCN;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'BeB'H;
+R0FkO;D        
+RNH3l#H8NCVkRD0'phzp
+';N3HRP8JlCkVND'0Rt'h7;H
+NRM#$_OH#D     FOR
+4;HkRF0        ODC;MN
+RNH3l#H8NCVkRD0'BeB'N;
+HPR3JCl8VDNk0eR'B;B'
+FHRC   ODC;MN
+HHRM   OD;H
+NRH3#lV8CN0kDRz'hp;p'
+RNH3lPJ8NCVkRD0'7th'N;
+H$R#M#_HOODF   ;R4
+HHRM   ODC;MN
+RNH3l#H8NCVkRD0'BeB'N;
+HPR3JCl8VDNk0eR'B;B'
+NHRsCC#0N;
+H#R3HCl8VDNk0tR'h;7'
+RNH3lPJ8NCVkRD0'7th'N;
+HlR3N_s        NM#$OC_s#RC04H;
+RC#s#;C0
+RNH3l#H8NCVkRD0'7th'N;
+HPR3JCl8VDNk0tR'h;7'
+RNH3slN        $_#MsO_C0#CR
+4;FVR8VN_80FN_k
+0;
+"VRMNFMl;C"RHyVDjCR
+"VR/0Fb/M#$bVDH$b/VoON_.gjjjDn/HPL/E#8/0P83E;8"RHyVD4CR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_       bN38PE"y;RVCHDRN.
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/8#04c4n38PE"y;RVCHDRNd
+V3RRHP#_ER8D4V;
+RF"/b#0/$DMbH/V$VNbo_jO.jngj/LDH/8PE/#kMHCoM8E3P8R";yDVHC
+RcNRVR3_H#PDE8R
+4;V/R"F/b0#b$MD$HV/oVbN._OjjjgnH/DLE/P8s/NH30EP"E8;VRyHRDC6V
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8R";yDVHC
+RnNRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOLsFN8s_8HsPC_0CM38PE"y;RVCHDRN(
+V3RRHP#_ER8D4V;
+RE"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E;8"RHyVDUCR
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P"E8;VRyHRDCgV
+NRHR3#E_P84DR;R
+V"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNs_NOE3P8R";yDVHCjR4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8R";yDVHC4R4
+RNVR#3H_8PED;R4
+"VR/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_MOF0DsF_ONs38PE"y;RVCHDR
+4.NRVR3_H#PDE8R
+4;V/R"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCNs_sPO3E;8"RHyVD4CRdV
+NRHR3#E_P84DR;h
+eqRv 'sIF      o3PNs_8HsPC3ELCN;P'RPyRHRCIHj8R
+qehv' RI       Fs3NPo_H8sP3CsMDC0H'#0;RRyPIHCRRH84h
+eqRv 'sIF      o3PNC3LE'NP;RRyPIHCRRH8.h
+eqRv 'sIF      o3PNF_OMF0sDC3M0#DH0R';yHRPCHIR8
+Rdevhq IR'F3s  P_oNO0FMs3FDLNCEPR';yHRPCHIR8
+Rc@
+
+ftell;
+@ERMRI FsRNPo_H8sPRCsMDC0H;#0
+RNP3_H##sFkO4CR;P
+NRH3DMFCMR;n(
+RNP3PH#ER8D4N;
+PHR3#E_P84DR;P
+NRs3FHNohl"CRP_oN8PsHC;s"
+RNP#_$Mb#sCCCsPR
+4;N3PRNNDlON_b0OE_F0kMR
+4;N3PRFosHPIHCMCNlRC'LE'NP;P
+NRN3E#l0HHRMo4N;
+PkR3HD_M_N#DOd Rc6cn4F;
+RMDHCF_OkCM0sH_#o;_j
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FDCHM_kOFMs0C_o#H_
+4;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+DFRH_MCOMFk0_Cs#_Ho.N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FHRDMOC_F0kMC#s_Hdo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+RMDHCF_OkCM0sH_#o;_c
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FDCHM_kOFMs0C_o#H_
+6;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+DFRH_MCOMFk0_Cs#_HonN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FHRDMOC_F0kMC#s_H(o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+RMDHCF_OkCM0sH_#o;_U
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+H8_D$OMFk0_Cs4N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRM"H"H;
+R$8D_kOFMs0C_
+j;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";F#RP$_MO#00NC;_.
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$O0_#N_0C6N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RP$_MO#00NC;_d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$O0_#N_0CnN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RP$_MO#00NC;_c
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$O0_#N_0C4N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RP$_MO#00NC;_j
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$O0_#N_0C.N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RE$_MO#00NC;_c
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$O0_#N_0CjN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RE$_MO#00NC;_6
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$O0_#N_0C4N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F#RE$_MO#00NC;_d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$O0_#N_0CnN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFRODMkl_kOFMs0C_o#H_
+j;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+OFRFlDkMF_OkCM0sH_#o;_4
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FOkFDlOM_F0kMC#s_H.o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+RDOFk_lMOMFk0_Cs#_HodN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFRODMkl_kOFMs0C_o#H_
+c;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+OFRFlDkMF_OkCM0sH_#o;_6
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FOkFDlOM_F0kMC#s_Hno_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+RDOFk_lMOMFk0_Cs#_Ho(N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFRODMkl_kOFMs0C_o#H_
+U;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+OFRFlDkMF_OkCM0sH_#o;_g
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_g
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_U
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_(
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_n
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_6
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_c
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_.
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_4
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM#$OF_OkCM0s;_j
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_g
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_U
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_(
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_n
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_6
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_c
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_.
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_4
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FEM#$OF_OkCM0s;_j
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F8C_#0#_P$_MOOMFk0;Cs
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FkjM4_DOFk_lMOMFk0_Cs#DHo04n_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+R#P_$;MO
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FE$_#M
+O;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+EFR_NCML_DC#;Ho
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FPM_CNCLD_o#H;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"H;
+R#sCCb0_HOM_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+Fk_Mn8_D$OMFk0_Csj;_G
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F8C_#0#_E$_MOOMFk0;Cs
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+HO_D   b_HMON;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRM"H"o;
+MMRkg#_E$_MOOMFk0DCs0
+g;N3MRs_0DM_C0MCNlRo"PNs_8HsPC_HkM0M3kg#_E$_MOOMFk0DCs0;g"
+RoMO_D b_HMON;
+MOR3D  FORo"PND|O      H_bM
+";N3MROODF     8_Co"CRsCH#"N;
+MHR3#D_OFRO    4o;
+MMRkg#_P$_MOOMFk0DCs0
+g;N3MRs_0DM_C0MCNlRo"PNs_8HsPC_HkM0M3kg#_P$_MOOMFk0DCs0;g"
+RoMk_Mn8_D$OMFk0_Csj;_G
+RNM3Ds0_0MC_lMNC7R" Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0C_Gj_"o;
+M#RP$_MO#00NCC_MG.0__l#Jk;GN
+RNM3Ds0_0MC_lMNCPR"o8N_sCHPsM_kHP03#O$M_N#00MC_C_G0.J_#lNkG"o;
+M_RPCLMND#C_H4o__jj__oj_j__HF
+c;N3MRs_0DM_C0MCNlRo"PNs_8HsPC_HkM0_3PCLMND#C_H4o__jj__oj_j__HF;c"
+RoMEM_CNCLD_o#H_j4__jj___ojHc_F;M
+NR03sDC_M0N_Ml"CRP_oN8PsHCks_M3H0EM_CNCLD_o#H_j4__jj___ojHc_F"o;
+M#RE$_MO#00NC__dj__jjo__j;_j
+RNM3Ds0_0MC_lMNCPR"o8N_sCHPsM_kHE03#O$M_N#00dC__jj___j_ojj_"s;
+R4@@d6:4U::c4:6U6#:E$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRMc0.g_6j6666_qqqqsRbHElR#O$M_kOFMs0Cr
+j9SosCF=k0EM#$OF_OkCM0sR_jf(m4ndj:6d6(jSR
+O0Fk=$E#MOO_F0kMCOs_Frk0jf9Rm.4Ujdj:gdj.USR
+O=D    O_D     b_HMO8
+SNN0N=$E#MOO_F0kMCjs_R4fQ4j(n:ddU(RgU
+NS80=NOEM#$OF_OkCM0sC_MG40__l#JkRGNfgQ.j:6jd4g(4
+URSD#Ost!=_H._RcfQ4(cU:gdU.RgU
+DS#F!N8=gkM_$E#MOO_F0kMC0sDgQRf.cndnU:dUUj(Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8A;
+4,R4jjy5?0V:2
+R;A4.R,!jy5Vj?:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+g;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6N6N"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RE$_MOOMFk0rCs4S9
+sFCokE0=#O$M_kOFMs0C_f4Rmn4(j6:dg(66RO
+SF=k0EM#$OF_OkCM0sF_Ok40r9mRf4(Ucjg:djU6jRO
+SDO    =Db     _HOM_
+NS80=NNEM#$OF_OkCM0sR_4f4Q4(:njdjUcn
+URS08NNEO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQj.g6dj:g4(4USR
+#sOD!_=t.R_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgEM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=E$_MOOMFk0_CsO0FkrRj9fUQ4.:jjd.gjd;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEMUR6;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$E#MOO_F0kMC0sDg
+";s@R@44d:6cU::U46:E6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlEM#$OF_OkCM0s9r.
+CSso0Fk=$E#MOO_F0kMC.s_R4fm(:njdU66d
+(RSkOF0#=E$_MOOMFk0_CsO0FkrR.9fUm4(:cjd(gj(
+URS    OD=     OD_MbH_SO
+8NN0N#=E$_MOOMFk0_Cs.QRf4n4(jU:dcUddR8
+SNO0N=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fQgjj6:(dg4R4U
+OS#D=s!t__.HQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMEM#$OF_OkCM0sF_Ok40r9QRf4(Ucjg:djU6jRo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+(;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RE$_MOOMFk0rCsdS9
+sFCokE0=#O$M_kOFMs0C_fdRmn4(j6:d((4jRO
+SF=k0EM#$OF_OkCM0sF_Okd0r9mRf44gjjg:d4UjcRO
+SDO    =Db     _HOM_
+NS80=NNEM#$OF_OkCM0sR_df4Q4(:njdnUcj
+URS08NNEO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQj.g6dj:g4(4USR
+#sOD!_=t.R_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgEM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=E$_MOOMFk0_CsO0FkrR.9fUQ4(:cjd(gj(;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEMnR6;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$E#MOO_F0kMC0sDg
+";s@R@44d:6cU::U46:E6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlEM#$OF_OkCM0s9rc
+CSso0Fk=$E#MOO_F0kMCcs_R4fm(:njd.6(j
+URSkOF0#=E$_MOOMFk0_CsO0FkrRc9fgm4.:Ujddg44
+URS    OD=     OD_MbH_SO
+8NN0N#=E$_MOOMFk0_CscQRf4n4(jU:dcUU(R8
+SNO0N=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fQgjj6:(dg4R4U
+OS#D=s!t__.HQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMEM#$OF_OkCM0sF_Okd0r9QRf44gjjg:d4UjcRo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+6;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RE$_MOOMFk0rCs6S9
+sFCokE0=#O$M_kOFMs0C_f6Rmn4(j6:dnU6(RO
+SF=k0EM#$OF_OkCM0sF_Ok60r9mRf46g6jg:d4U6URO
+SDO    =Db     _HOM_
+NS80=NNEM#$OF_OkCM0sR_6f4Q4(:njd4U6c
+URS08NNEO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQj.g6dj:g4(4USR
+#sOD!_=t.R_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgEM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=E$_MOOMFk0_CsO0FkrRc9fgQ4.:Ujddg44;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEMcR6;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$E#MOO_F0kMC0sDg
+";s@R@44d:6cU::U46:E6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlEM#$OF_OkCM0s9rn
+CSso0Fk=$E#MOO_F0kMCns_R4fm(:njd.6(n
+URSkOF0#=E$_MOOMFk0_CsO0FkrRn9fgm4U:.jdUg46
+URS    OD=     OD_MbH_SO
+8NN0N#=E$_MOOMFk0_CsnQRf4n4(jU:d6Uc4R8
+SNO0N=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fQgjj6:(dg4R4U
+OS#D=s!t__.HQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMEM#$OF_OkCM0sF_Ok60r9QRf46g6jg:d4U6URo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+d;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RE$_MOOMFk0rCs(S9
+sFCokE0=#O$M_kOFMs0C_f(Rmn4(j6:d(jjjRO
+SF=k0EM#$OF_OkCM0sF_Ok(0r9mRf.gjjjg:d.U4.RO
+SDO    =Db     _HOM_
+NS80=NNEM#$OF_OkCM0sR_(f4Q4(:njdnU6U
+URS08NNEO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQj.g6dj:g4(4USR
+#sOD!_=t.R_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgEM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=E$_MOOMFk0_CsO0FkrRn9fgQ4U:.jdUg46;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEM.R6;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$E#MOO_F0kMC0sDg
+";s@R@44d:6cU::U46:E6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlEM#$OF_OkCM0s9rU
+CSso0Fk=$E#MOO_F0kMCUs_R4fm(:njd(6cc
+URSkOF0#=E$_MOOMFk0_CsO0FkrRU9fjm.d:njddg.g
+URS    OD=     OD_MbH_SO
+8NN0N#=E$_MOOMFk0_CsUQRf4n4(jU:d6Ug6R8
+SNO0N=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fQgjj6:(dg4R4U
+OS#D=s!t__.HQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMEM#$OF_OkCM0sF_Ok(0r9QRf.gjjjg:d.U4.Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+4;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:U46:4c:66U::$E#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6d6U_qR6qblsHR$E#MOO_F0kMCgsr9s
+SCkoF0#=E$_MOOMFk0_CsgmRf4j(n:nd6jRjU
+DSO    D=O     H_bM
+_OS08NNEN=#O$M_kOFMs0C_fgRQ(44ndj:g4(4USR
+8NN0O#=E$_MOOMFk0_CsM0CG_#4_JGlkNQRf.6gjjg:d(U44R#
+SO!Ds=.t__fHRQcc4Ud(:Ugg.USR
+#NDF8k!=MEg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU(
+URSMOH=$E#MOO_F0kMCOs_Frk0Uf9RQd.jndj:gg.dU
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHM6
+j;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6NN6"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MEg_#O$M_kOFMs0CD"0g;R
+s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.c6nj_n_nnUUUURHbsl#RP$_MOOMFk0rCsjS9
+sFCokP0=#O$M_kOFMs0C_fjRmn4(j6:dnUjjRO
+SF=k0PM#$OF_OkCM0sF_Okj0r9mRf.d(6cg:djU.dRO
+SDO    =Db     _HOM_
+NS80=NNPM#$OF_OkCM0sR_jf4Q4(:njd(Udg
+URS08NN8L=_0#C_$E#MOO_F0kMCfsRQj.4gdc:Ugd(USR
+8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R#
+SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob#sOD;b
+oRF#DN
+8;A44R,54y4*?j5Vj?::02!2fjRA;
+.,R4j5y!4j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$OF_OkCM0s
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3bNM_kOFMs0C_RVV4N;
+HNR3D_#0OMEHR;cg
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnnU
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s;
+R4@@dn:.(::c.:n(6#:P$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHPlR#O$M_kOFMs0Cr
+49SosCF=k0PM#$OF_OkCM0sR_4f(m4ndj:6cc4cSR
+O0Fk=$P#MOO_F0kMCOs_Frk04f9RmU.(jdc:gjj6USR
+O=D    O_D     b_HMO8
+SNN0N=$P#MOO_F0kMC4s_R4fQ4j(n:cdUjRnU
+NS80=NOPM#$OF_OkCM0sC_MG40__l#JkRGNfUQ..:dgd4g(4
+URSD#Ost!=__4nHQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_P$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMPM#$OF_OkCM0sF_Okj0r9QRf.d(6cg:djU.dRo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCPR"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHMc
+U;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MPg_#O$M_kOFMs0CD"0g;R
+s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RP$_MOOMFk0rCs.S9
+sFCokP0=#O$M_kOFMs0C_f.Rmn4(j6:d6ccjRO
+SF=k0PM#$OF_OkCM0sF_Ok.0r9mRf.(Ujcg:djU((RO
+SDO    =Db     _HOM_
+NS80=NNPM#$OF_OkCM0sR_.f4Q4(:njddUcd
+URS08NNPO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQ..Uddg:g4(4USR
+#sOD!_=t4Hn_RcfQ4(cU:gdU.RgU
+DS#F!N8=gkM_$P#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO
+SHPM=#O$M_kOFMs0C_kOF09r4R.fQ(cUj:jdg6RjU;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Oo;
+bOR#D
+s;o#bRD8FN;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.5Vj?::020;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlR#"P$_MOOMFk0"Cs;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HNR3MOb_F0kMCVs_V;R4
+RNH3#ND0E_OHcMR(N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"Nj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_P$_MOOMFk0DCs0;g"
+@sR@:4d.:n(cn:.(::6PM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$P#MOO_F0kMCdsr9s
+SCkoF0#=P$_MOOMFk0_CsdmRf4j(n:4d6nRUc
+FSOkP0=#O$M_kOFMs0C_kOF09rdR.fmUcdc:4dgjRcU
+DSO    D=O     H_bM
+_OS08NNPN=#O$M_kOFMs0C_fdRQ(44ndj:UjcnUSR
+8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R#
+SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=P$_MOOMFk0_CsO0FkrR.9fUQ.j:(cd(gj(;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEMnRc;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg
+";s@R@4.d:nc(::(.n:P6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlPM#$OF_OkCM0s9rc
+CSso0Fk=$P#MOO_F0kMCcs_R4fm(:njdg6.6
+cRSkOF0#=P$_MOOMFk0_CsO0FkrRc9fUm.n:4cddg44
+URS    OD=     OD_MbH_SO
+8NN0N#=P$_MOOMFk0_CscQRf4n4(jU:dcUU(R8
+SNO0N=$P#MOO_F0kMCMs_C_G04J_#lNkGR.fQUg.d:(dg4R4U
+OS#D=s!tn_4_fHRQcc4Ud(:Ugg.USR
+#NDF8k!=MPg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU(
+URSMOH=$P#MOO_F0kMCOs_Frk0df9RQd.Ucdc:gc4jU
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NO
+Rob#sOD;b
+oRF#DN
+8;oObRH
+M;A44R,54y.*?j5Vj?::02!2fjRA;
+.,R4j5y!.j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$OF_OkCM0s
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3bNM_kOFMs0C_RVV4N;
+HNR3D_#0OMEHR;c6
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"N6Nj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s;
+R4@@dn:.(::c.:n(6#:P$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_c.6qq6_qqjjsRbHPlR#O$M_kOFMs0Cr
+69SosCF=k0PM#$OF_OkCM0sR_6f(m4ndj:6(.UcSR
+O0Fk=$P#MOO_F0kMCOs_Frk06f9RmU.UUdc:gU46USR
+O=D    O_D     b_HMO8
+SNN0N=$P#MOO_F0kMC6s_R4fQ4j(n:6dU4RcU
+NS80=NOPM#$OF_OkCM0sC_MG40__l#JkRGNfUQ..:dgd4g(4
+URSD#Ost!=__4nHQRfcU4c(U:dgU.gR#
+SD8FN!M=kg#_P$_MOOMFk0DCs0fgRQd.ncdn:U(UjUSR
+O=HMPM#$OF_OkCM0sF_Okc0r9QRf.4Uncg:d4Ud4Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+O;o#bRO;Ds
+Rob#NDF8o;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??5jV2:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCPR"#O$M_kOFMs0C"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN_MbOMFk0_CsV4VR;H
+NRD3N#O0_ERHMc
+c;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNN"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3M#$OC_s#RC0"PM:o8N_sCHPsM_kHk03MPg_#O$M_kOFMs0CD"0g;R
+s@d@4:(.n:.c:n6(::$P#MOO_F0kMCgsr:Rj9fcc4Ud(:Ugg.UpRqaq )_ quXMRO0g.6c6._q_6qqjjqRHbsl#RP$_MOOMFk0rCsnS9
+sFCokP0=#O$M_kOFMs0C_fnRmn4(j6:djn44RO
+SF=k0PM#$OF_OkCM0sF_Okn0r9mRf.6g4cg:d4UU6RO
+SDO    =Db     _HOM_
+NS80=NNPM#$OF_OkCM0sR_nf4Q4(:njdcU64
+URS08NNPO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQ..Uddg:g4(4USR
+#sOD!_=t4Hn_RcfQ4(cU:gdU.RgU
+DS#F!N8=gkM_$P#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO
+SHPM=#O$M_kOFMs0C_kOF09r6R.fQUcUU:4dg6RUU;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Oo;
+bOR#D
+s;o#bRD8FN;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.5Vj?::020;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlR#"P$_MOOMFk0"Cs;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HNR3MOb_F0kMCVs_V;R4
+RNH3#ND0E_OHcMRdN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"Nj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HR#O$M_#sCC"0RMo:PNs_8HsPC_HkM0M3kg#_P$_MOOMFk0DCs0;g"
+@sR@:4d.:n(cn:.(::6PM#$OF_OkCM0s:rgjf9RcU4c(U:dgU.gRaqp _)qqXu R0OM.c6g.q_66qq_jRqjblsHR$P#MOO_F0kMC(sr9s
+SCkoF0#=P$_MOOMFk0_Cs(mRf4j(n:jd6cR4c
+FSOkP0=#O$M_kOFMs0C_kOF09r(R.fmgcc.:.dg4R.U
+DSO    D=O     H_bM
+_OS08NNPN=#O$M_kOFMs0C_f(RQ(44ndj:UU6nUSR
+8NN0O#=P$_MOOMFk0_CsM0CG_#4_JGlkNQRf.dU.gg:d(U44R#
+SO!Ds=4t_nR_Hf4Qcc:U(d.Ugg
+URSF#DN=8!k_MgPM#$OF_OkCM0sgD0R.fQnndc:UdUjR(U
+HSOM#=P$_MOOMFk0_CsO0FkrRn9fgQ.4:6cdUg46;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?j:?V002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEM.Rc;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6N;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg
+";s@R@4.d:nc(::(.n:P6:#O$M_kOFMs0Crjg:9cRf4(cU:gdU.RgUq pa)qq_uR XO.M06.gc_66qqj_qqbjRsRHlPM#$OF_OkCM0s9rU
+CSso0Fk=$P#MOO_F0kMCUs_R4fm(:njdn64U
+cRSkOF0#=P$_MOOMFk0_CsO0FkrRU9fgm.n:gcddg.g
+URS    OD=     OD_MbH_SO
+8NN0N#=P$_MOOMFk0_CsUQRf4n4(jU:d6Ug6R8
+SNO0N=$P#MOO_F0kMCMs_C_G04J_#lNkGR.fQUg.d:(dg4R4U
+OS#D=s!tn_4_fHRQcc4Ud(:Ugg.USR
+#NDF8k!=MPg_#O$M_kOFMs0CDR0gfnQ.d:cndjUU(
+URSMOH=$P#MOO_F0kMCOs_Frk0(f9RQc.g.dc:g..4U
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NO
+Rob#sOD;b
+oRF#DN
+8;oObRH
+M;A44R,54y.*?j5Vj?::02!2fjRA;
+.,R4j5y!.j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$OF_OkCM0s
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3bNM_kOFMs0C_RVV4N;
+HNR3D_#0OMEHR;c4
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"N6Nj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR$3#MsO_C0#CR:"MP_oN8PsHCks_M3H0k_MgPM#$OF_OkCM0sgD0"s;
+R4@@dn:.(::c.:n(6#:P$_MOOMFk0rCsg9:jR4fcc:U(d.UggqURp)a qu_q OXRM60.g_dU6qq6RHbsl#RP$_MOOMFk0rCsgS9
+sFCokP0=#O$M_kOFMs0C_fgRmn4(j6:ddnUdRO
+SDO    =Db     _HOM_
+NS80=NNPM#$OF_OkCM0sR_gf4Q4(:njd4g(4
+URS08NNPO=#O$M_kOFMs0C_GMC0__4#kJlGfNRQ..Uddg:g4(4USR
+#sOD!_=t4Hn_RcfQ4(cU:gdU.RgU
+DS#F!N8=gkM_$P#MOO_F0kMC0sDgQRf.cndnU:dUUj(RO
+SHPM=#O$M_kOFMs0C_kOF09rUR.fQgcng:.dgdRgU;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNO0N;b
+oRD#Oso;
+bDR#F;N8
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#MOO_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3NbF_OkCM0sV_VR
+4;N3HRN0D#_HOEMjRc;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRN"66;N"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+H#R3$_MOsCC#0MR":NPo_H8sP_Csk0MH3gkM_$P#MOO_F0kMC0sDg
+";s@R@4gd:(::cg6(::DOFk_lMOMFk0_Cs#rHog9:jRcfd4:d4d4g(4qURp)a qu_q VXRVgUcUA_AAbARsRHlOkFDlOM_F0kMC#s_Hgor9s
+SCkoF0F=ODMkl_kOFMs0C_o#H_fgRmn4(j(:dnc6gRO
+SDO    =Db     _HOM_
+NS80=NNk_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0gf9RQc.(gd.:g4(4USR
+8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfddc44g:d(U44R#
+SO!Ds=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6U:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,!jy554?j:?V0V2:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGgN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHMd
+(;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRLLLL"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dgc(:::g(6F:ODMkl_kOFMs0C_o#Hrjg:9dRfc44d:(dg4R4Uq pa)qq_uR XV(Vdjj_UUbjRsRHlOkFDlOM_F0kMC#s_HUor9s
+SCkoF0F=ODMkl_kOFMs0C_o#H_fURmn4(j(:d6cddRO
+SDO    =Db     _HOM_
+NS80=NNk_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0Uf9RQc.(gd.:g4(4USR
+8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfddc44g:d(U44R8
+SNO0N=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6g:d(U44Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+RA44y,j!?5.554?j:?V002:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CROkFDlOM_F0kMC#s_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCUGR;H
+NRD3N#O0_ERHMd
+n;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRUjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@d(:g:gc:(::6OkFDlOM_F0kMC#s_Hgor:Rj9f4dcdd4:g4(4UpRqaq )_ quXVRVd_(jUjjURHbslFRODMkl_kOFMs0C_o#Hr
+(9SosCF=k0OkFDlOM_F0kMC#s_H(o_R4fm(:njd4(.g
+nRS    OD=     OD_MbH_SO
+8NN0NM=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09r(R.fQ(...:(dg4R4U
+NS80=NLkjM4_DOFk_lMOMFk0_Cs#DHo0RFgfcQd4:d4d4g(4
+URS08NNOO=FlDkMF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ4d:66d4g(4;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob8NN0OA;
+4,R4j5y!.4?5??5jV2:0::020;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+(;N3HRN0D#_HOEM6Rd;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"UU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dgc(:::g(6F:ODMkl_kOFMs0C_o#Hrjg:94Rf(:njdnncgqgRp)a qu_q VXRVgUcUA_AAbARsRHlOkFDlOM_F0kMC#s_Hnor9s
+SCkoF0F=ODMkl_kOFMs0C_o#H_fnRmn4(jn:dcgngRO
+SDO    =Db     _HOM_
+NS80=NNk_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0nf9RQ..(.d.:g4(4USR
+8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfddc44g:d(U44R#
+SO!Ds=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6U:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,!jy554?j:?V0V2:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGnN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHMd
+c;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRLLLL"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dgc(:::g(6F:ODMkl_kOFMs0C_o#Hrjg:9dRfc44d:(dg4R4Uq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHo6S9
+sFCokO0=FlDkMF_OkCM0sH_#oR_6f(m4ndj:nn6ggSR
+O=D    O_D     b_HMO8
+SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR69fnQ.g:6.d4g(4
+URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ4dcdd4:g4(4USR
+#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RA44y,j!?545Vj?::02V;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+6;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR;dd
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"LLLL
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;R
+s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9Rddc44g:d(U44Raqp _)qqXu RUVVc_gUAAAARHbslFRODMkl_kOFMs0C_o#Hr
+c9SosCF=k0OkFDlOM_F0kMC#s_Hco_R4fm(:njdnnUd
+URS    OD=     OD_MbH_SO
+8NN0NM=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09rcR.fQn.g6:(dg4R4U
+NS80=NLkjM4_DOFk_lMOMFk0_Cs#DHo0RFgfcQd4:d4d4g(4
+URSD#OsO!=FlDkMF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ4d:66d.Ugg;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;4
+ARj4,y4!5??5jV2:0:RV2;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCOR"FlDkMF_OkCM0sH_#o
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G;Rc
+RNH3D#OsV_VR
+4;N3HRN0D#_HOEM.Rd;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRL"LL;L"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@d(:g:gc:(::6OkFDlOM_F0kMC#s_Hgor:Rj9f4dcdd4:g4(4UpRqaq )_ quXVRVUUcg_AAAAsRbHOlRFlDkMF_OkCM0sH_#o9rd
+CSso0Fk=DOFk_lMOMFk0_Cs#_HodmRf4j(n:(dncRcU
+DSO    D=O     H_bM
+_OS08NNkN=MO._FlDkMF_OkCM0sC_MGO0_FFlLkd0r9QRf.Unn.g:d(U44R8
+SNL0N=4kMjF_ODMkl_kOFMs0C_o#HDg0FRdfQc44d:(dg4R4U
+OS#D=s!OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_44_R4fQg6d6:gdU.RgU;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#OsA;
+4,R4j5y!4j?5?0V:22:VRN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CROkFDlOM_F0kMC#s_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCdGR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OHdMR4N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blLR"L"LL;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@4gd:(::cg6(::DOFk_lMOMFk0_Cs#rHog9:jRcfd4:d4d4g(4qURp)a qu_q VXRVgUcUA_AAbARsRHlOkFDlOM_F0kMC#s_H.or9s
+SCkoF0F=ODMkl_kOFMs0C_o#H_f.Rmn4(jn:dnU4(RO
+SDO    =Db     _HOM_
+NS80=NNk_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0.f9RQn.nUd.:g4(4USR
+8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfddc44g:d(U44R#
+SO!Ds=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6U:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,!jy554?j:?V0V2:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"DOFk_lMOMFk0_Cs#"Ho;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG.N;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHMd
+j;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRLLLL"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dgc(:::g(6F:ODMkl_kOFMs0C_o#Hrjg:9dRfc44d:(dg4R4Uq pa)qq_uR XVcVUgAU_ARAAblsHRDOFk_lMOMFk0_Cs#rHo4S9
+sFCokO0=FlDkMF_OkCM0sH_#oR_4f(m4ndj:(U4dnSR
+O=D    O_D     b_HMO8
+SNN0N=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR49fjQ.4:4.d4g(4
+URS08NNkL=M_4jOkFDlOM_F0kMC#s_H0oDFfgRQ4dcdd4:g4(4USR
+#sOD!F=ODMkl_kOFMs0C_GMC0__j#kJlG4N__f4RQd4g6d6:Ugg.U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RA44y,j!?545Vj?::02V;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+4;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR;.g
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"LLLL
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;R
+s@d@4::g(c(:g:O6:FlDkMF_OkCM0sH_#o:rgjf9Rddc44g:d(U44Raqp _)qqXu RUVVc_gU((((RHbslFRODMkl_kOFMs0C_o#Hr
+j9SosCF=k0OkFDlOM_F0kMC#s_Hjo_R4fm(:njdU(j4
+jRS    OD=     OD_MbH_SO
+8NN0NF=ODMkl_kOFMs0C_o#H_fjRQ(44ndj:g4(4USR
+8NN0LM=k4Oj_FlDkMF_OkCM0sH_#oFD0gQRfddc44g:d(U44R#
+SO!Ds=DOFk_lMOMFk0_CsM0CG_#j_JGlkN__44QRf46gd6U:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,5jy4j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CROkFDlOM_F0kMC#s_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCjGR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH.MRUN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl(R"("((;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@44d:Uc(::(4U:E6:#O$M_N#00jCr:Rn9fn4(jn:d..j6Raqp _)qqXu RdVVUwn_wRjjblsHR$E#M#O_0CN0r
+n9SosCF=k0EM#$O0_#N_0CnmRf4j(n:.dnjR6.
+DSO    D=O     H_bM
+_OS08NNk8=M8n_DO$_F0kMCjs__fGRQndnUdc:g4(4U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRN80N;4
+ARj4,yd!5?0V:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#M#O_0CN0"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+j;N3HRN0D#_HOEM(R.;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"Vj;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dd:jjcj:dj::6PM#$O0_#Nr0Cj9:nR6fc.:j(d4g(4qURp)a qu_q VXRVUcg_qjB sRbHPlR#O$M_N#00jCr9s
+SCkoF0#=P$_MO#00NCR_jf(m4ndj:((j(6SR
+O=D    O_D     b_HMO8
+SNN0N=$P#M#O_0CN0_fjRQ(44ndj:g4(4USR
+8NN0L#=P$_MO#00NC__dHjP___j_ojj___NdjQRfdn.j(g:d(U44R8
+SNO0N=nkM_$8D_kOFMs0C_Gj_RdfQncnU:(dg4R4U
+NS80=N8PM#$O0_#N_0CM0CG_#._JGlkNQRfcj6.(g:d(U44Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R44dy5??5.V5:!4:?V0:22!?5.jj*5?0V:24:5?fV:j222RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$O0_#N"0C;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGnN;
+HNR3D_#0OMEHR;.n
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"NjOC
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@4dd:jcj::jdj:P6:#O$M_N#00jCr:Rn9fndnUdc:g4(4UpRqaq )_ quXVRVc_gUjjjURHbsl#RP$_MO#00NC9r4
+CSso0Fk=$P#M#O_0CN0_f4Rmn4(j(:dccd.RO
+SDO    =Db     _HOM_
+NS80=NNPM#$O0_#N_0CcQRf4n4(jg:d(U44R8
+SNL0N=4kM.#_P$_MOOMFk0_Cs(QRf.6jccg:d(U44R8
+SNO0N=4kMd#_P$_MOOMFk0_CscQRf.(n46g:d(U44R8
+SN80N=nkM_$8D_kOFMs0C_Gj_RdfQncnU:(dg4R4U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV5:!.4?5??5jV2:0::020R22;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCPR"#O$M_N#00;C"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC6GR;H
+NRD3N#O0_ERHM.
+6;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@dj:dj::cd:jj6#:P$_MO#00NC:rjnf9R4U6jj6:dg4(dRaqp _)qqXu RdVV((4_wR(wblsHR$P#M#O_0CN0r
+n9SlOFL0Fk=nkM_$8D_kOFMs0C_Gj_R4fm6jjU:gd6(Rd4
+CSso0Fk=$P#M#O_0CN0_fnRmn4(j(:djgndRO
+SDO    =Db     _HOM_
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:nd6cR44
+NS80=NO8_D$OMFk0_Cs4QRf4n4(j6:d(4njRo;
+bFROlkLF0o;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NL
+Rob8NN0OA;
+4,R4j.y5??545Vj?::02002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#M#O_0CN0"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+j;N3HRN0D#_HOEMcR.;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"((;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4d4:.6c.:46::6DCHM_kOFMs0C_o#HrjU:9dRfnnU.:(dg4R4Uq pa)qq_uR XVcVUg7U_7R77blsHRMDHCF_OkCM0sH_#o9rU
+CSso0Fk=MDHCF_OkCM0sH_#oR_Uf(m4ndj:((.UcSR
+O=D    O_D     b_HMO8
+SNN0N=4kMjH_DMOC_F0kMC#s_H0oDFfURQ.d.dd.:g4(4USR
+8NN0LM=k4H_DMOC_F0kMC#s_HOo_FFlLkg0r9QRfd.nUng:d(U44R#
+SO!Ds=MDHCF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ44:Ucd.Ugg;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;4
+ARj4,y4!5?!V:5Vj?:202RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+U;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR;.d
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"8888
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;R
+s@d@4:64.:4c:.66::MDHCF_OkCM0sH_#o:rUjf9Rd.nUng:d(U44Raqp _)qqXu RUVVc_gU7777RHbslHRDMOC_F0kMC#s_H(or9s
+SCkoF0H=DMOC_F0kMC#s_H(o_R4fm(:njdg(64
+jRS    OD=     OD_MbH_SO
+8NN0NM=k4Dj_H_MCOMFk0_Cs#DHo0RFUf.Qd.:d.d4g(4
+URS08NNkL=MD4_H_MCOMFk0_Cs#_HoOLFlFrk0Uf9RQUdn.dn:g4(4USR
+#sOD!H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fQgc4U:gdU.RgU;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#OsA;
+4,R4j5y!4:?V!?5jV2:02
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"MDHCF_OkCM0sH_#o
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G;R(
+RNH3D#OsV_VR
+4;N3HRN0D#_HOEM.R.;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboR8"88;8"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@d.:46::c4:.66H:DMOC_F0kMC#s_HUor:Rj9f6dn6dn:g4(4UpRqaq )_ quXVRVUUcg_7777sRbHDlRH_MCOMFk0_Cs#rHonS9
+sFCokD0=H_MCOMFk0_Cs#_HonmRf4j(n:.d(4Rgn
+DSO    D=O     H_bM
+_OS08NNkN=M_4jDCHM_kOFMs0C_o#HDU0FRdfQ...d:(dg4R4U
+NS80=NLk_M4DCHM_kOFMs0C_o#H_lOFL0FkrR(9fnQd6:6nd4g(4
+URSD#OsD!=H_MCOMFk0_CsM0CG_#j_JGlkN__44QRf4Ug4cU:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,!jy5V4?:j!5?0V:2;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRH"DMOC_F0kMC#s_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCnGR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH.MR4N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl8R"8"88;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@44d:.c6::64.:D6:H_MCOMFk0_Cs#rHoU9:jRnfd6:6nd4g(4qURp)a qu_q VXRVjd(_UUjjsRbHDlRH_MCOMFk0_Cs#rHo6S9
+sFCokD0=H_MCOMFk0_Cs#_Ho6mRf4j(n:.d(4Rgn
+DSO    D=O     H_bM
+_OS08NNkN=M_4jDCHM_kOFMs0C_o#HDU0FRdfQ...d:(dg4R4U
+NS80=NLk_M4DCHM_kOFMs0C_o#H_lOFL0FkrRn9fnQd6:6nd4g(4
+URS08NNDO=H_MCOMFk0_CsM0CG_#j_JGlkN__44QRf4Ug4cg:d(U44Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+RA44y,j!?5.554?j:?V002:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+6;N3HRN0D#_HOEMjR.;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"UU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4d4:.6c.:46::6DCHM_kOFMs0C_o#HrjU:9dRfnn.U:(dg4R4Uq pa)qq_uR XVcVUg7U_7R77blsHRMDHCF_OkCM0sH_#o9rc
+CSso0Fk=MDHCF_OkCM0sH_#oR_cf(m4ndj:n4(gnSR
+O=D    O_D     b_HMO8
+SNN0N=4kMjH_DMOC_F0kMC#s_H0oDFfURQ.d.dd.:g4(4USR
+8NN0LM=k4H_DMOC_F0kMC#s_HOo_FFlLk60r9QRfdUn.ng:d(U44R#
+SO!Ds=MDHCF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ44:Ucd.Ugg;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;4
+ARj4,y4!5?!V:5Vj?:202RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+c;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR;4g
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"8888
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;R
+s@d@4:64.:4c:.66::MDHCF_OkCM0sH_#o:rUjf9RdUn.ng:d(U44Raqp _)qqXu RUVVc_gU7777RHbslHRDMOC_F0kMC#s_Hdor9s
+SCkoF0H=DMOC_F0kMC#s_Hdo_R4fm(:njd4ngj
+nRS    OD=     OD_MbH_SO
+8NN0NM=k4Dj_H_MCOMFk0_Cs#DHo0RFUf.Qd.:d.d4g(4
+URS08NNkL=MD4_H_MCOMFk0_Cs#_HoOLFlFrk0cf9RQ.dnUdn:g4(4USR
+#sOD!H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fQgc4U:gdU.RgU;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#OsA;
+4,R4j5y!4:?V!?5jV2:02
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"MDHCF_OkCM0sH_#o
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G;Rd
+RNH3D#OsV_VR
+4;N3HRN0D#_HOEMUR4;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboR8"88;8"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@d.:46::c4:.66H:DMOC_F0kMC#s_HUor:Rj9fjdn4dn:g4(4UpRqaq )_ quXVRVUUcg_7777sRbHDlRH_MCOMFk0_Cs#rHo.S9
+sFCokD0=H_MCOMFk0_Cs#_Ho.mRf4j(n:gdn6R4.
+DSO    D=O     H_bM
+_OS08NNkN=M_4jDCHM_kOFMs0C_o#HDU0FRdfQ...d:(dg4R4U
+NS80=NLk_M4DCHM_kOFMs0C_o#H_lOFL0FkrRd9fnQdj:4nd4g(4
+URSD#OsD!=H_MCOMFk0_CsM0CG_#j_JGlkN__44QRf4Ug4cU:dgU.gRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;A44R,!jy5V4?:j!5?0V:2;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRH"DMOC_F0kMC#s_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC.GR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH4MR(N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl8R"8"88;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@44d:.c6::64.:D6:H_MCOMFk0_Cs#rHoU9:jRnfdj:4nd4g(4qURp)a qu_q VXRVgUcU7_77b7RsRHlDCHM_kOFMs0C_o#Hr
+49SosCF=k0DCHM_kOFMs0C_o#H_f4Rmn4(jn:dU..cRO
+SDO    =Db     _HOM_
+NS80=NNkjM4_MDHCF_OkCM0sH_#oFD0UQRfdd...g:d(U44R8
+SNL0N=4kM_MDHCF_OkCM0sH_#oF_OlkLF09r.RdfQnnj4:(dg4R4U
+OS#D=s!DCHM_kOFMs0C_GMC0__j#kJlG4N__f4RQ44gUdc:Ugg.U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RA44y,j!?54V5:!j:?V0R22;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCDR"H_MCOMFk0_Cs#"Ho;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4N;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHM4
+n;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR8888"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4d4:.6c.:46::6DCHM_kOFMs0C_o#HrjU:9dRf...d:(dg4R4Uq pa)qq_uR XVcVUgAU_ARAAblsHRMDHCF_OkCM0sH_#o9rj
+CSso0Fk=MDHCF_OkCM0sH_#oR_jf(m4ndj:ncnnnSR
+O=D    O_D     b_HMO8
+SNN0N=4kM_MDHCF_OkCM0sH_#oF_OlkLF09r4R.fQgncc:(dg4R4U
+NS80=NLkjM4_MDHCF_OkCM0sH_#oFD0UQRfdd...g:d(U44R#
+SO!Ds=MDHCF_OkCM0sC_MGj0__l#Jk_GN4R_4fgQ44:Ucd.Ugg;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;4
+ARj4,y4!5??5jV2:0:RV2;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCDR"H_MCOMFk0_Cs#"Ho;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGjN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHM4
+6;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRLLLL"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4d4:U(cU:4(::6PM_CNCLD_o#HR.fc6:(ndUUg6qURp)a qu_q VXRV4gjj _  b RsRHlPM_CNCLD_o#H
+CSso0Fk=CP_MDNLCH_#omRf4j(n:cd(4Rcc
+DSO    D=O     H_bM
+_OS08NNEN=#O$M_N#00dC_R4fQ4j(n:(dg4R4U
+NS80=NLEM#$O0_#N_0C4QRf4n4(jg:d(U44R#
+SO=Dsk_Mn8_D$OMFk0_CsjR_GfnQdn:Ucd.Ugg
+URSNCM=CP_MDNLCH_#o__4j__jjj_o_FH_cQRfc(.6nU:dgUU6Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oCbRM
+N;A44R,!jy5V4?:?5jV2:02
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"CP_MDNLCH_#o
+";N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3D#OsV_VR
+4;N3HRN0D#_HOEMcR4;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMND4CR;H
+NRk3D0lboRC"CC;C"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHP03_NCML_DC#_Ho4__jj__joHj__"Fc;R
+s@d@4:jdj:dc:j6j::CE_MDNLCH_#ocRf.n6(:gdUUR6Uq pa)qq_uR XVjVg4 j_ R  blsHRCE_MDNLCH_#os
+SCkoF0_=ECLMND#C_HfoRmn4(j(:dUn(nRO
+SDO    =Db     _HOM_
+NS80=NNPM#$O0_#N_0CdQRf4n4(jg:d(U44R8
+SNL0N=$P#M#O_0CN0_f4RQ(44ndj:g4(4USR
+#sOD=nkM_$8D_kOFMs0C_Gj_RdfQncnU:gdU.RgU
+MSCN_=ECLMND#C_H4o__jj__oj_j__HFfcRQ6c.(dn:U6gUU
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RobC;MN
+RA44y,j!?54Vj:5?0V:2;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlR_"ECLMND#C_H;o"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH4MRdN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blCR"C"CC;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0EM_CNCLD_o#H_j4__jj___ojHc_F"s;
+R4@@dU:4(::c4:U(6_:E#O$MRcf.(:ccd4g(4qURp)a qu_q VXRVUcg_(wwwsRbHElR_M#$Os
+SCkoF0_=E#O$MR4fm(:njdjUjd
+nRS    OD=     OD_MbH_SO
+8NN0NC=s#_C0b_HMO8
+SNL0N=$8D_kOFMs0C_fjRQ(44ndj:g4(4USR
+8NN0OD=8$F_OkCM0sR_4f4Q4(:njd4g(4
+URS08NNE8=_M#$O__4j__jj4_oR.fQcc(c:(dg4R4U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!jy5Vd?:.!5??545Vj?::02002:2;2R
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlR_"E#O$M"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRN0D#_HOEM.R4;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"V(;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+@sR@:4dd:jjcj:dj::6P$_#MfOR.cc(cg:d(U44Raqp _)qqXu RcVVgwU_wR(wblsHR#P_$
+MOSosCF=k0P$_#MfORmn4(jU:djnjdRO
+SDO    =Db     _HOM_
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:(dg4R4U
+NS80=NO8_D$OMFk0_Cs4QRf4n4(jg:d(U44R8
+SN80N=#P_$_MO4__jj__jof4RQ(.ccdc:g4(4U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,yd!5?!V:55.?4j?5?0V:22:0:202RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRP$_#M;O"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRD3N#O0_ERHM4
+4;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRVVV("N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+R4@@dj:dj::cd:jj6#:P$_MO#00NC:rjnf9Rcj6.(U:dgUU6Raqp _)qqXu RgVVj_4j    RHbsl#RP$_MO#00NC9r6
+CSso0Fk=$P#M#O_0CN0_f6Rmn4(jn:dnd(4RO
+SDO    =Db     _HOM_
+NS80=NNPM#$O0_#N_0CnQRf4n4(jg:d(U44R8
+SNL0N=$P#M#O_0CN0_fjRQ(44ndj:g4(4USR
+#sOD=nkM_$8D_kOFMs0C_Gj_RdfQncnU:gdU.RgU
+MSCN#=P$_MO#00NCC_MG.0__l#JkRGNf6Qc.:j(dUUg6;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRNCM;4
+ARj4,y4!5?5V:j:?V0R22;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCPR"#O$M_N#00;C"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC4GR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH4MRjN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blCR"C"CC;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0PM#$O0_#N_0CM0CG_#._JGlkN
+";s@R@4dd:jcj::jdj:P6:#O$M_N#00jCr:Rn9f.c6jd(:U6gUUpRqaq )_ quXVRVg..j_j.jjsRbHPlR#O$M_N#00cCr9s
+SCkoF0#=P$_MO#00NCR_cf(m4ndj:n(.j4SR
+O=D    O_D     b_HMO8
+SNN0N=$P#MOO_F0kMCjs_R4fQ4j(n:(dg4R4U
+NS80=NLPM#$OF_OkCM0sR_gf4Q4(:njd4g(4
+URS08NNPO=#O$M_N#006C_R4fQ4j(n:(dg4R4U
+NS80=N8kcM4_$P#MOO_F0kMCUs_R.fQggd4:(dg4R4U
+OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR
+C=MNPM#$O0_#N_0CM0CG_#._JGlkNQRfcj6.(U:dgUU6Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08o;
+bOR#D
+s;oCbRM
+N;A44R,5jyd.?5??54V5:!j:?V0:22VV2:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#M#O_0CN0"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+.;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR
+g;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+4;N3HRDbk0o"lR.jjj"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3FODOC      _MDNLCMR":NPo_H8sP_Csk0MH3$P#M#O_0CN0_GMC0__.#kJlG;N"
+@sR@:4dd:jjcj:dj::6PM#$O0_#Nr0Cj9:nR6fc.:j(dUUg6qURp)a qu_q VXRV(UgUq_qqbqRsRHlPM#$O0_#Nr0CdS9
+sFCokP0=#O$M_N#00dC_R4fm(:njdgn(j
+dRS    OD=     OD_MbH_SO
+8NN0N#=P$_MO#00NCR_4f4Q4(:njd4g(4
+URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC
+SMPN=#O$M_N#00MC_C_G0.J_#lNkGRcfQ6(.j:gdUUR6U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o#bRO;Ds
+RobC;MN
+RA44y,j!?5jV2:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$O0_#N"0C;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGdN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHMUN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blNR"N"NN;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0PM#$O0_#N_0CM0CG_#._JGlkN
+";s@R@4dd:jcj::jdj:P6:#O$M_N#00jCr:Rn9f.c6jd(:U6gUUpRqaq )_ quXVRVg..j_jUjjsRbHPlR#O$M_N#00.Cr9s
+SCkoF0#=P$_MO#00NCR_.f(m4ndj:njn(dSR
+O=D    O_D     b_HMO8
+SNN0N=$P#MOO_F0kMCjs_R4fQ4j(n:(dg4R4U
+NS80=NLPM#$OF_OkCM0sR_gf4Q4(:njd4g(4
+URS08NNPO=#O$M_N#00dC_R4fQ4j(n:(dg4R4U
+NS80=N8kcM4_$P#MOO_F0kMCUs_R.fQggd4:(dg4R4U
+OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR
+C=MNPM#$O0_#N_0CM0CG_#._JGlkNQRfcj6.(U:dgUU6Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08o;
+bOR#D
+s;oCbRM
+N;A44R,!jy55d?.4?5??5jV2:0::02002:2
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#M#O_0CN0"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+c;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR
+(;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+4;N3HRDbk0o"lRUjjj"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3FODOC      _MDNLCMR":NPo_H8sP_Csk0MH3$P#M#O_0CN0_GMC0__.#kJlG;N"
+@sR@:4d4:U(cU:4(::6EM#$O0_#Nr0Cj9:nR.fc(:c(dUUg6qURp)a qu_q VXRV4gjj _  b RsRHlEM#$O0_#Nr0C6S9
+sFCokE0=#O$M_N#006C_R4fm(:njdgn.U
+dRS    OD=     OD_MbH_SO
+8NN0N#=E$_MO#00NCR_nf4Q4(:njd4g(4
+URS08NNEL=#O$M_N#00jC_R4fQ4j(n:(dg4R4U
+OS#Dks=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugg.USR
+C=MNEM#$O0_#N_0Cd__jj__j__ojjQRfcc.((U:dgUU6Ro;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oCbRM
+N;A44R,!jy5V4?:?5jV2:02
+R;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#M#O_0CN0"N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+4;N3HR#sOD_RVV4N;
+HNR3D_#0OMEHR
+n;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+4;N3HRDbk0o"lRCCCC"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3FODOC      _MDNLCMR":NPo_H8sP_Csk0MH3$E#M#O_0CN0_jd__jj__j_o_;j"
+@sR@:4d4:U(cU:4(::6EM#$O0_#Nr0Cj9:nR.fc(:c(dUUg6qURp)a qu_q VXRVjg..j_UjbjRsRHlEM#$O0_#Nr0CcS9
+sFCokE0=#O$M_N#00cC_R4fm(:njd.nc6
+dRS    OD=     OD_MbH_SO
+8NN0N#=E$_MO#00NCR_6f4Q4(:njd4g(4
+URS08NNkL=M_4jEM#$OF_OkCM0sR_dfjQ..:Udd4g(4
+URS08NNkO=M_4jEM#$OF_OkCM0sR_4fjQ.n:.6d4g(4
+URS08NNk8=M_4jEM#$OF_OkCM0sR_cfjQ..:Udd4g(4
+URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC
+SMEN=#O$M_N#00dC__jj___j_ojj_RcfQ.((c:gdUUR6U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;b
+oRD#Oso;
+bMRCNA;
+4,R4j5y!d.?5??545Vj?::02002:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CREM#$O0_#N"0C;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG.N;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHM6N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blUR"j"jj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0EM#$O0_#N_0Cd__jj__j__ojj
+";s@R@44d:Uc(::(4U:E6:#O$M_N#00jCr:Rn9f(c.cd(:U6gUUpRqaq )_ quXVRVUUg(_qqqqsRbHElR#O$M_N#00dCr9s
+SCkoF0#=E$_MO#00NCR_df(m4ndj:n((gdSR
+O=D    O_D     b_HMO8
+SNN0N=$E#M#O_0CN0_f4RQ(44ndj:g4(4USR
+#sOD=nkM_$8D_kOFMs0C_Gj_RdfQncnU:gdU.RgU
+MSCN#=E$_MO#00NC__dj__jjo__jR_jf.Qc(:c(dUUg6;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bOR#D
+s;oCbRM
+N;A44R,!jy5Vj?:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_N#00;C"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCdGR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OHcMR;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMND4CR;H
+NRk3D0lboRN"NN;N"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHE03#O$M_N#00dC__jj___j_ojj_"s;
+R4@@dU:4(::c4:U(6#:E$_MO#00NC:rjnf9Rcc.((U:dgUU6Raqp _)qqXu RgVVj_4jUUUURHbsl#RE$_MO#00NC9r.
+CSso0Fk=$E#M#O_0CN0_f.Rmn4(jn:dnd(jRO
+SDO    =Db     _HOM_
+NS80=NNEM#$O0_#N_0CdQRf4n4(jg:d(U44R8
+SNL0N=4kM.#_E$_MOOMFk0RCsfnQ.4:(6d4g(4
+URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC
+SMEN=#O$M_N#00dC__jj___j_ojj_RcfQ.((c:gdUUR6U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#Oso;
+bMRCNA;
+4,R4j5y!4j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CREM#$O0_#N"0C;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGcN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHMdN;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blUR"U"UU;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0EM#$O0_#N_0Cd__jj__j__ojj
+";s@R@44d:Uc(::(4U:E6:#O$M_N#00jCr:Rn9f(c.cd(:U6gUUpRqaq )_ quXVRVg..j_jUjjsRbHElR#O$M_N#004Cr9s
+SCkoF0#=E$_MO#00NCR_4f(m4ndj:(6c4dSR
+O=D    O_D     b_HMO8
+SNN0N=$E#M#O_0CN0_fcRQ(44ndj:g4(4USR
+8NN0LM=k4E4_#O$M_kOFMs0C_f.RQ..jUdd:g4(4USR
+8NN0OM=k4Ej_#O$M_kOFMs0C_f4RQn.j.d6:g4(4USR
+8NN08M=k4E4_#O$M_kOFMs0C_fdRQ..jUdd:g4(4USR
+#sOD=nkM_$8D_kOFMs0C_Gj_RdfQncnU:gdU.RgU
+MSCN#=E$_MO#00NC__dj__jjo__jR_jf.Qc(:c(dUUg6;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+Rob#sOD;b
+oRNCM;4
+ARj4,yd!5??5.554?j:?V002:22:0:R02;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNCER"#O$M_N#00;C"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC6GR;H
+NRO3#DVs_V;R4
+RNH3#ND0E_OH.MR;H
+NRD3N#00_DRCM4N;
+HNR3D_#0sCC[O80CR
+4;N3HRlCF8R
+4;N3HRCLMND4CR;H
+NRk3D0lboRj"Uj;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HOR3D  FO_NCMLRDC"PM:o8N_sCHPsM_kHE03#O$M_N#00dC__jj___j_ojj_"s;
+R4@@dU:4(::c4:U(6#:E$_MO#00NC:rjnf9Rcc.((U:dgUU6Raqp _)qqXu RgVVj_4jUUUURHbsl#RE$_MO#00NC9rj
+CSso0Fk=$E#M#O_0CN0_fjRmn4(jn:dd.d.RO
+SDO    =Db     _HOM_
+NS80=NNEM#$O0_#N_0C.QRf4n4(jg:d(U44R8
+SNL0N=4kMd#_E$_MOOMFk0RCsfnQ.4:(6d4g(4
+URSD#OsM=knD_8$F_OkCM0s__jGQRfdUnncU:dgU.gRC
+SMEN=#O$M_N#00dC__jj___j_ojj_RcfQ.((c:gdUUR6U;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#Oso;
+bMRCNA;
+4,R4j5y!4j?5?0V:22:0RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CREM#$O0_#N"0C;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGnN;
+H#R3O_DsV4VR;H
+NRD3N#O0_ERHM4N;
+HNR3D_#00MDCR
+4;N3HRN0D#_[sCCCO08;R4
+RNH38lFC;R4
+RNH3NCMLRDC4N;
+HDR3ko0blUR"U"UU;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HROODF     M_CNCLDR:"MP_oN8PsHCks_M3H0EM#$O0_#N_0Cd__jj__j__ojj
+";s@R@4gd:(::cg6(:+Pj:#O$M_N#00MC_C_G0.J_#lNkGR4fc.:(cdgU6.q6Rp)a qu_q NXR._c4qAqqRHbsl#RP$_MO#00NCC_MG.0__l#Jk
+GNSlOFL0Fk=$P#M#O_0CN0_GMC0__.#kJlGfNRm.c4(dc:U.6g6SR
+8NN0NM=knD_8$F_OkCM0s__jGQRfdUnncU:d46ddR8
+SNL0N=$P#M#O_0CN0_GMC0__4#kJlG4N_RdfQd4g6:.dUnRj6
+NS80=NOPM#$O0_#N_0CM0CG_#4_JGlkNR_dfdQdg:64d(Udg
+6RS08NNk8=MP4_#O$M_N#00MC_C_G04J_#lNkG_fjRQndngdg:U66j6
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!4y5jd?*?5jV2:0:?5.f5j:4j?f:2V22
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRN"NN;L"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';sdRfUcU4:6dUgR.6q pa)qq_uR XN4.c_wwj4sRbHElR#O$M_N#00dC__jj___j_ojj_
+FSOlkLF0#=E$_MO#00NC__dj__jjo__jR_jfUmdU:4cdgU6.
+6RS08NNEN=#O$M_N#00MC_C_G04J_#lNkG_f4RQd.(nd6:Ud4d6SR
+8NN0L#=E$_MO#00NCC_MG40__l#Jk_GN.QRf.n(d6U:d.6njR8
+SNO0N=nkM_$8D_kOFMs0C_Gj_RdfQncnU:ddU(Rg6
+NS80=N8k_M4EM#$O0_#N_0CM0CG_#4_JGlkNR_jf.Qdj:n(djU66;6R
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j!?5d5V.?::025V.?:4!5?5V:j:?V02222
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"VV;4"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:jcn::n.j:j(+:4kM_$E#M#O_0CN0_GMC0__4#kJlGfNR.jUd6U:d4d.gRaqp _)qqXu RcN.4q_jBb RsRHlk_M4EM#$O0_#N_0CM0CG_#4_JGlkN
+_jSlOFL0Fk=4kM_$E#M#O_0CN0_GMC0__4#kJlGjN_R.fmU6dj:4dU.Rgd
+NS80=NNEM#$O0_#N_0C.QRf4n4(j(:dnd(jR8
+SNL0N=$E#M#O_0CN0_fdRQ(44ndj:(((gdSR
+8NN0OM=k4Ed_#O$M_kOFMs0CR.fQn64(:gd(4Rnd
+NS80=N8k.M4_$E#MOO_F0kMCfsRQ4.n(d6:U.jcd
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,54yd.?5?!V:jj*5?0V:2!2:55.?4:?V052:4:?Vf2j22
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRN"jO;C"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4dd:4cg::gd4:j(+:4kM_$P#M#O_0CN0_GMC0__4#kJlGfNRdd.g(U:d4d.gRaqp _)qqXu RcN.4w_w.bqRsRHlk_M4PM#$O0_#N_0CM0CG_#4_JGlkN
+_jSlOFL0Fk=4kM_$P#M#O_0CN0_GMC0__4#kJlGjN_Rdfm.(gd:4dU.Rgd
+NS80=NNPM#$O0_#N_0C.QRf4n4(j(:dnd(jR8
+SNL0N=4kM.#_P$_MOOMFk0_CsnQRf.6jcc(:d(dg(R8
+SNO0N=4kM6#_P$_MOOMFk0_CscQRf.(n46(:dgd4nR8
+SN80N=$P#M#O_0CN0_GMC0__4#kJlG.N_RdfQ.(jn:jdUcR.d;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+AR44,yd!5?!V:55.?4:?V!5j*j:?V0:22!2fj2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"V.;N"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s.RfU6dj:ddgdR6nq pa)qq_uR XNd44_UUjjsRbHPlR#O$M_N#00dC___HPj__j__ojjd_N_Sj
+OLFlF=k0PM#$O0_#N_0CdP_H_jj__j_o_Nj_dR_jfUm.d:j6ddgd6
+nRS08NNPN=#O$M_N#00.C_R4fQ4j(n:UdU(Rnn
+NS80=NLk.M4_$P#MOO_F0kMCns_R.fQjcc6:jdgjRdn
+NS80=NOk6M4_$P#MOO_F0kMCcs_R.fQn64(:4dg.R.n;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;A44R,!jy55.?4j?5?0V:22:0:R02;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRUjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:g4d:4g:dcg:j:+jp Qh_zBmhMa_C\G034kMjH_DMOC_F0kMC#s_HfoR.46ncg:djj6jRaqp _)qqXu RcN.4w_w(bwRsRHlp Qh_zBmhMa_C\G034kMjH_DMOC_F0kMC#s_H0oDFSU
+OLFlF=k0kjM4_MDHCF_OkCM0sH_#oFD0UmRf.46ncg:djj6jR8
+SNN0N=MDHCF_OkCM0sH_#oR_(f4Q4(:njdgU64
+jRS08NNDL=H_MCOMFk0_Cs#_HoUQRf4n4(jU:d(j4UR8
+SNO0N=MDHCF_OkCM0sH_#oR_nf4Q4(:njddUU(
+jRS08NNk8=M_4jDCHM_kOFMs0C_o#HD60FR.fQcc(c:gdUnRdj;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,yd!5?!V:55.?4j?5?0V:22:0:202RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"(VVV
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@jn:44::j4:n44.c+:NPo_H8sP_Csk0MHR(fd6:6cddU6nq6Rp)a qu_q NXR._c4jww4RHbsl_Rt.O
+SFFlLkt0=_H._Rdfm(c66:6dUdRn6
+NS80=NNEM#$O0_#N_0CjQRf4n4(jU:dj6((R8
+SNL0N=$E#M#O_0CN0_fnRQ(44ndj:Uc.j6SR
+8NN0OM=kg#_E$_MOOMFk0DCs0fgRQd.ncdn:Udd.6SR
+8NN08M=knD_8$F_OkCM0s__jGQRfdUnncU:dc6cgRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5??5.V2:0:?5.5V4?:?5jV2:022:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"j4;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4dd:.4n:4.:dn.:d+Pj:#O$M_N#00MC_C_G04J_#lNkG_f4RdUj4g(:dUdUcRaqp _)qqXu RcN.4j_7wbjRsRHlPM#$O0_#N_0CM0CG_#4_JGlkN
+_4SlOFL0Fk=$P#M#O_0CN0_GMC0__4#kJlG4N_Rdfmjg4U:Ud(URcd
+NS80=NNPM#$OF_OkCM0sR_jf4Q4(:njd.(c6
+dRS08NNPL=#O$M_kOFMs0C_fgRQ(44ndj:(.66dSR
+8NN0O#=P$_MO#00NCR_6f4Q4(:njd((n4
+dRS08NNk8=M_4cPM#$OF_OkCM0sR_UfgQ.d:4gdg(((;dR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j!?5d55.?4:?V!?5jV2:022:0:?5.V2:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"8V;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4dd:d44:4d:d4d:d+Pj:#O$M_N#00MC_C_G04J_#lNkG_f.R.jUd6(:dn4nnRaqp _)qqXu R4N4dq_..bqRsRHlPM#$O0_#N_0CM0CG_#4_JGlkN
+_.SlOFL0Fk=$P#M#O_0CN0_GMC0__4#kJlG.N_R.fmU6dj:nd(nRn4
+NS80=NNPM#$O0_#N_0CcQRf4n4(j(:d.4j(R8
+SNL0N=4kM.#_P$_MOOMFk0_Cs(QRf.6jcc(:dd4dcR8
+SNO0N=4kMd#_P$_MOOMFk0_CscQRf.(n46(:dc46dRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+RA44y,455.?4:?V!5j*j:?V0:22!2fjRN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"..NN
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@dd:dg4:4:gdd:+dcj#:P$_MO#00NCC_MG40__l#Jk_GNddRfjg4U:jdUjRddq pa)qq_uR XN4.c_w(jjsRbHPlR#O$M_N#00MC_C_G04J_#lNkG_Sd
+OLFlF=k0PM#$O0_#N_0CM0CG_#4_JGlkNR_dfjmd4:UgdjUjd
+dRS08NNPN=#O$M_kOFMs0C_fjRQ(44ndj:(c6cdSR
+8NN0L#=P$_MOOMFk0_CsgQRf4n4(j(:dnd(4R8
+SNO0N=$P#M#O_0CN0_fdRQ(44ndj:(j(gdSR
+8NN08M=k4Pc_#O$M_kOFMs0C_fURQd.g4dg:(ng4d
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd.?5??545Vj?::020V2:25:!.:?V0R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR(jjV"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@j@4:44n:4j:n44:c:+.P_oN8PsHCks_MRH0f6d(6dc:Un6d6pRqaq )_ quX.RNcj4_wR4wblsHR4t_nO
+SFFlLkt0=__4nHmRfd6(6cU:d66dnR8
+SNN0N=$P#M#O_0CN0_fjRQ(44ndj:U(j(6SR
+8NN0L#=P$_MO#00NCR_nf4Q4(:njdjU.c
+6RS08NNkO=MPg_#O$M_kOFMs0CDR0gfnQ.d:cnd.Udd
+6RS08NNk8=M8n_DO$_F0kMCjs__fGRQndnUdc:Ugcc6
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd.?5?0V:2.:5??54Vj:5?0V:202:2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"V"4V;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:4U4.4:4dU:d:+jEM#$O0_#N_0CM0CG_#4_JGlkNR_.fn.djdd:(cUUdpRqaq )_ quX.RNc.4_qRqqblsHR$E#M#O_0CN0_GMC0__4#kJlG.N_
+FSOlkLF0#=E$_MO#00NCC_MG40__l#Jk_GN.mRf.jdnd(:dUdUcR8
+SNN0N=$E#M#O_0CN0_fcRQ(44ndj:(6c.dSR
+8NN0LM=k4E4_#O$M_kOFMs0C_f.RQ..jUdd:(.66dSR
+8NN0OM=k4Ej_#O$M_kOFMs0C_f4RQn.j.d6:(4n(dSR
+8NN08M=k4E4_#O$M_kOFMs0C_fdRQ..jUdd:(((gd
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,54yd.?5??54Vj:!*?5jV2:02f:!j!2:fRj2;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR.NNN"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:d.4::44.:4ddj.+:$E#M#O_0CN0_GMC0__4#kJlG4N_Rdf.n:jdd6(((qdRp)a qu_q NXR._c4.qqqRHbsl#RE$_MO#00NCC_MG40__l#Jk_GN4O
+SFFlLkE0=#O$M_N#00MC_C_G04J_#lNkG_f4Rmn.djdd:(((6dSR
+8NN0N#=E$_MO#00NCR_6f4Q4(:njdg(.U
+dRS08NNkL=M_4jEM#$OF_OkCM0sR_dfjQ..:Udd.(c6
+dRS08NNkO=M_4jEM#$OF_OkCM0sR_4fjQ.n:.6dc(6c
+dRS08NNk8=M_4jEM#$OF_OkCM0sR_cfjQ..:Udd((nj;dR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,455d?.4?5?!V:jj*5?0V:2!2:f:j2!2fjRN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"N.NN
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:44::g4:44cj4+:pBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#RHofj.(cd6:gdjj.pRqaq )_ quX.RNc44_wRjwblsHRpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#DHo0
+FgSlOFL0Fk=4kMjF_ODMkl_kOFMs0C_o#HDg0FR.fm(6jc:jdgjRd.
+NS80=NNOkFDlOM_F0kMC#s_H(o_R4fQ4j(n:6dUcRc.
+NS80=NLOkFDlOM_F0kMC#s_HUo_R4fQ4j(n:ndU(R4.
+NS80=NOOkFDlOM_F0kMC#s_Hgo_R4fQ4j(n:(dUgRj.
+NS80=N8kjM4_DOFk_lMOMFk0_Cs#DHo0fnRQ4.n(d6:Ung4.
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd.?5??54Vj:5?0V:202:2.:5?0V:2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl4R"V"jV;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:.n4.4:.dn:c:+j]h1YB1_wvC_MG30\k.M4_$E#MOO_F0kMCfsR.c...(:dnjcgRaqp _)qqXu RcN.4j_UjbjRsRHl]h1YB1_wvC_MG30\k.M4_$E#MOO_F0kMCSs
+OLFlF=k0k.M4_$E#MOO_F0kMCfsRm...cd.:(gncjSR
+8NN0N#=E$_MOOMFk0_CsjQRf4n4(j(:d4jgjR8
+SNL0N=$E#MOO_F0kMC4s_R4fQ4j(n:dd(4R(j
+NS80=NOk.M4_$E#MOO_F0kMCds_R.fQj.44:cd(dRnj
+NS80=N8k.M4_$E#MOO_F0kMCcs_R.fQj.44:6d(nR.j;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,yd!5??5.554?j:?V002:22:0:R02;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRUjjj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:4.d::44.:d4dj.+:Y]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCsf...cd.:(d6.jpRqaq )_ quX.RNc44_jRjjblsHRY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0
+CsSlOFL0Fk=4kMd#_E$_MOOMFk0RCsf.m..:c.d.(6d
+jRS08NNEN=#O$M_kOFMs0C_fnRQ(44ndj:(cjnjSR
+8NN0L#=E$_MOOMFk0_Cs(QRf4n4(j(:d4jg4R8
+SNO0N=4kMd#_E$_MOOMFk0_Cs.QRf.4j4.(:ddj4jR8
+SN80N=4kMd#_E$_MOOMFk0_Cs(QRf.Uj.d(:dcjdnRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5??5.5V4?:?5jV2:022:V:RV2;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR4jjj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:.4(:4g:(d.:n:+j]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0RCsf...cd.:(dg44pRqaq )_ quX.RNcw4_(RwwblsHRY]1hBB_mazh_GMC0k\3MEg_#O$M_kOFMs0CD
+0gSlOFL0Fk=gkM_$E#MOO_F0kMC0sDgmRf.c...(:dg44dR8
+SNN0N=$E#MOO_F0kMCUs_R4fQ4j(n:cd(6Rc4
+NS80=NLEM#$OF_OkCM0sR_gf4Q4(:njdU(64
+4RS08NNkO=MEg_#O$M_kOFMs0CD_0gdQRf.4j4.(:d(4jjR8
+SN80N=4kMd#_E$_MOOMFk0_Cs(QRf.Uj.d(:dU4.nRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4j5y!d.?5?!V:554?j:?V002:2V2:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboR("VV;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:Ug4::4.U:+dnj1:eY_hBBhmzaC_MG30\k_MgPM#$OF_OkCM0s.Rf...c:gd(4Rd4q pa)qq_uR XN4.c_www(sRbHelR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMC0sDgO
+SFFlLkk0=MPg_#O$M_kOFMs0CDR0gf.m..:c.d4(gd
+4RS08NNPN=#O$M_kOFMs0C_fcRQ(44ndj:(cc64SR
+8NN0L#=P$_MOOMFk0_Cs6QRf4n4(j(:d64U4R8
+SNO0N=gkM_$P#MOO_F0kMC0sDgR_6fjQ.4:4.dj((j
+4RS08NNk8=MPg_#O$M_kOFMs0CD_0gnQRf.4j4.(:dU4.nRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4j5y!d:?V5V.?:4!5??5jV2:0:2022
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"VV;("
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44d:dgg::g4d:+cjjQ:phB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HRjf.g:U.dUU6nqURp)a qu_q NXR._c4j(wjRHbslQRphB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HD60F
+FSOlkLF0M=k4Dj_H_MCOMFk0_Cs#DHo0RF6fjm.g:U.dUU6n
+URS08NNDN=H_MCOMFk0_Cs#_Ho4QRf4n4(jU:d4U.(R8
+SNL0N=MDHCF_OkCM0sH_#oR_.f4Q4(:njd6U.c
+URS08NNDO=H_MCOMFk0_Cs#_Ho6QRf4n4(jU:ddU(dR8
+SN80N=4kMjH_DMOC_F0kMC#s_H0oDcR_.fjQ.4:4.dgUcg;UR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j55d?.:?V052:.:?V554?j:?V002:2R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRj(Vj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:4dd::44d:d4djd+:Ye1hwB_1Mv_C\G034kMd#_P$_MOOMFk0RCsf...cd.:(gj6UpRqaq )_ quX4RN4Ud_jRUjblsHRYe1hwB_1Mv_C\G034kMd#_P$_MOOMFk0_CscO
+SFFlLkk0=M_4dPM#$OF_OkCM0sR_cf.m..:c.d6(jg
+URS08NNPN=#O$M_kOFMs0C_fjRQ(44ndj:njnjUSR
+8NN0L#=P$_MOOMFk0_Cs6QRf4n4(jn:d(U.(R8
+SNO0N=4kMd#_P$_MOOMFk0_CsdQRf.4j4.n:dUUcnRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+RA44y,j!?5.554?j:?V002:22:0RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UUjj
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@dc:dc4:4:cdc:+d.j1:eY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0CR.f..:c.d.(6dqjRp)a qu_q NXR4_4d4jj4RHbsl1ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C_Sc
+OLFlF=k0k6M4_$P#MOO_F0kMCcs_R.fm...c:6d(.Rdj
+NS80=NNPM#$OF_OkCM0sR_4f4Q4(:njdn(jc
+jRS08NNPL=#O$M_kOFMs0C_fcRQ(44ndj:(44gjSR
+8NN0OM=k4P6_#O$M_kOFMs0C_fdRQ4.j4d.:(jd4j
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y?5.5V4?:?5jV2:022:VRN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"44jj
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:44::g4:44cj4+:pBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#RHofc..4dd:Uj6cjpRqaq )_ quX.RNcw4_wRw(blsHRpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#DHo0Sn
+OLFlF=k0kjM4_DOFk_lMOMFk0_Cs#DHo0fnRmc..4dd:Uj6cjSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fjRQ(44ndj:U4jUjSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f4RQ(44ndj:UU.jjSR
+8NN0OM=k4Oj_FlDkMF_OkCM0sH_#onD0_f4RQ..jUdd:U(d.jSR
+8NN08M=k4Oj_FlDkMF_OkCM0sH_#onD0_f.RQ4.j4d.:Udc6j
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!jy5Vd?:?5.V5:!4j?5?0V:22:02;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blVR"V"V(;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d4:ng(n:4g.:d+Ej:#O$M_kOFMs0C_GMC0__4#kJlGfNR.n4gcg:dj.jdRaqp _)qqXu RcN.4j_jUbjRsRHlEM#$OF_OkCM0sC_MG40__l#Jk
+GNSlOFL0Fk=$E#MOO_F0kMCMs_C_G04J_#lNkGR.fm4cgn:jdgjRd.
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:ndU(R4.
+NS80=NO8_D$OMFk0_Cs4QRf4n4(jU:d(.gjR8
+SN80N=#8_CE0_#O$M_kOFMs0CR.fQ4cjg:gdU4Rn.;b
+oRlOFL0Fk;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd:?V!?5.554?j:?V002:22:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"jU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44d:4g4::444:+c4jF:ODMkl_kOFMs0C_GMC0__j#kJlG4N_R6f4j:UjdjU6.qdRp)a qu_q NXR._c4jjjURHbslFRODMkl_kOFMs0C_GMC0__j#kJlG4N__S4
+OLFlF=k0OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_44_R4fm6jjU:6dUjR.d
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:4dU(Rjd
+NS80=NO8_D$OMFk0_Cs4QRf4n4(jU:d.dUgR8
+SN80N=$E#M#O_0CN0_f4RQ(44ndj:U6c4d
+R;oObRFFlLk
+0;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV5:!.4?5??5jV2:0::020R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+sfg.jUd.:g6ddnpRqaq )_ quX.RNcB4_BR7UblsHR#E_$_MO4__jj__joS4
+OLFlF=k0E$_#M4O__jj__oj_4mRf.Ujg.g:ddnd6R8
+SNN0N=$E#M#O_0CN0_f.RQ(44ndj:UnU(nSR
+8NN0L_=E#O$MR4fQ4j(n:jdgjRdn
+NS80=NOEM#$O0_#N_0CcQRf4n4(jg:d4n..R8
+SN80N=4kM_$E#M#O_0CN0_jd_R.fQj.44:.dgcRUn;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+AR44,yd!5??54V2:0:?5.5V4?:*!j5Vj?:202:?54f0j:2R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lROUO8"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:g4d:4g:dcg:j:+jDCHM_kOFMs0C_GMC0__j#kJlG4N_R6f4j:Ujd4U6gqcRp)a qu_q NXR._c4jjjURHbslHRDMOC_F0kMCMs_C_G0jJ_#lNkG_44_
+FSOlkLF0H=DMOC_F0kMCMs_C_G0jJ_#lNkG_44_R4fm6jjU:6dU4Rgc
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjs_R4fQ4j(n:4dUUR(c
+NS80=NO8_D$OMFk0_Cs4QRf4n4(jU:ddcjnR8
+SN80N=$P#M#O_0CN0_f4RQ(44ndj:U.cdc
+R;oObRFFlLk
+0;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV5:!.4?5??5jV2:0::020R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+sfg.jUd.:g6ddnpRqaq )_ quX.RNcB4_BR7UblsHR#P_$_MO4__jj__joS4
+OLFlF=k0P$_#M4O__jj__oj_4mRf.Ujg.g:ddnd6R8
+SNN0N=$P#M#O_0CN0_f.RQ(44ndj:UnU(nSR
+8NN0L_=P#O$MR4fQ4j(n:jdgjRdn
+NS80=NOPM#$O0_#N_0CcQRf4n4(jg:d4n..R8
+SN80N=4kM_$P#M#O_0CN0_j._R.fQj.44:.dgcRUn;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+AR44,yd!5??54V2:0:?5.5V4?:*!j5Vj?:202:?54f0j:2R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lROUO8"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+sfUdU4dc:UgnjnpRqaq )_ quX4RN4wd_4Rw4blsHRCE_MDNLCH_#o__4j__jjj_o_FH_cO
+SFFlLkE0=_NCML_DC#_Ho4__jj__joHj__RFcfUmdU:4cdjUng
+nRS08NNPN=#O$M_N#00cC_R4fQ4j(n:4dU6Rjn
+NS80=NLPM#$O0_#N_0C6QRf4n4(jU:d.n((R8
+SNO0N=nkM_$8D_kOFMs0C_Gj_RdfQncnU:ddUgRnn;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;A44R,!jy5V.?:4!5?5V:j:?V0222RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"VV44
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d(:.U::(.:(Udj.+:$P#MOO_F0kMCMs_C_G04J_#lNkGR4f.4:6ddjgjdq.Rp)a qu_q NXR._c4jjjURHbsl#RP$_MOOMFk0_CsM0CG_#4_JGlkNO
+SFFlLkP0=#O$M_kOFMs0C_GMC0__4#kJlGfNRm4.46dd:gdjj.SR
+8NN0NC=s#_C0b_HMO8
+SNL0N=$8D_kOFMs0C_fjRQ(44ndj:U4n(.SR
+8NN0OD=8$F_OkCM0sR_4f4Q4(:njdgU(j
+.RS08NN88=_0#C_$P#MOO_F0kMCfsRQ..jUdd:Ung4.
+R;oObRFFlLk
+0;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV5:!.4?5??5jV2:0::020R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjjjU"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:gdd::44d:dgdjc+:Ye1hwB_1Mv_C\G034kMc#_P$_MOOMFk0RCsfj.6cdc:(gdnUpRqaq )_ quXcRNgU_UUbURsRHleh1YB1_wvC_MG30\kcM4_$P#MOO_F0kMCUs_
+FSOlkLF0M=k4Pc_#O$M_kOFMs0C_fURmj.6cdc:(gdnUSR
+8NN0NM=k4P._#O$M_kOFMs0C_fnRQc.j6dc:njg4USR
+8NN0LM=k4P._#O$M_kOFMs0C_f(RQc.j6dc:((jdU
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,!jy554?j:?V002:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRU"UU;U"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';sdRfUcU4:ndUjRgnq pa)qq_uR XNd44_ww44sRbHPlR_NCML_DC#_Ho4__jj__joHj__
+FcSlOFL0Fk=CP_MDNLCH_#o__4j__jjj_o_FH_cmRfd4UUcU:dnnjgR8
+SNN0N=$E#M#O_0CN0_fcRQ(44ndj:Uj46nSR
+8NN0L#=E$_MO#00NCR_6f4Q4(:njd(U.(
+nRS08NNkO=M8n_DO$_F0kMCjs__fGRQndnUdc:Undgn
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y.!5?!V:5V4?:?5jV2:02;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blVR"4"V4;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:4U4.4:4dU:d:+j]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMCfsR46ndj(:dcjjcRaqp _)qqXu RcN.4j_jjbURsRHl]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMCds_
+FSOlkLF0M=k4E4_#O$M_kOFMs0C_fdRmd4n6dj:(ccjjSR
+8NN0N#=E$_MOOMFk0_CsjQRf4n4(jn:dgjc6R8
+SNL0N=$E#MOO_F0kMC4s_R4fQ4j(n:jd((R.j
+NS80=NOEM#$OF_OkCM0sR_df4Q4(:njdg(44
+jRS08NNE8=#O$M_kOFMs0C_fcRQ(44ndj:((d4j
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd:?V5V.?:4!5??5jV2:0:2022
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"jj;U"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:44U:44:.Ud:d+]j:1BYh_vw1_GMC0k\3M_44EM#$OF_OkCM0s4Rfnjd6:4d(6Rgjq pa)qq_uR XNd44_jjUUsRbH]lR1BYh_vw1_GMC0k\3M_44EM#$OF_OkCM0s
+_.SlOFL0Fk=4kM4#_E$_MOOMFk0_Cs.mRf46ndj(:d4j6gR8
+SNN0N=$E#MOO_F0kMC.s_R4fQ4j(n:(dnjRjj
+NS80=NLEM#$OF_OkCM0sR_(f4Q4(:njd.nU(
+jRS08NNEO=#O$M_kOFMs0C_fnRQ(44ndj:nngcj
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y?5.V5:!4j?5?0V:22:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRU"jj;U"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:.4n:4.:.nc:d+]j:1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0s4Rfnjd6:4d(UR6Uq pa)qq_uR XN4.c_4jjjsRbH]lR1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0s
+_cSlOFL0Fk=4kM.#_E$_MOOMFk0_CscmRf46ndj(:d4UU6R8
+SNN0N=$E#MOO_F0kMCns_R4fQ4j(n:(dn.RnU
+NS80=NLEM#$OF_OkCM0sR_(f4Q4(:njd6nUd
+URS08NNEO=#O$M_kOFMs0C_f.RQ(44ndj:n.g(USR
+8NN08#=E$_MOOMFk0_CscQRf4n4(j(:djUgURo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5?5V:.4?5?5V:j:?V0:22VR22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjjj4"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:n..::44.:.ndjc+:Y]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0RCsfd4n6dj:(gj6UpRqaq )_ quX.RNcj4_jR.jblsHRY]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0_CsdO
+SFFlLkk0=M_4.EM#$OF_OkCM0sR_dfnm4d:6jd6(jg
+URS08NNEN=#O$M_kOFMs0C_fgRQ(44ndj:njnjUSR
+8NN0L#=E$_MOOMFk0_Cs6QRf4n4(jn:d(U.(R8
+SNO0N=$E#MOO_F0kMCUs_R4fQ4j(n:UdncRnU
+NS80=N8EM#$OF_OkCM0sR_df4Q4(:njd(ng.;UR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j5Vd?:?5.5V4?:j!5?0V:2V2:2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"j".j;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d4:(.g(:4.n:d+]j:1BYh_zBmhMa_C\G03gkM_$E#MOO_F0kMCfsR46ndj(:ddg.dRaqp _)qqXu RcN.4w_(wbwRsRHl]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0DCs0dg_
+FSOlkLF0M=kg#_E$_MOOMFk0DCs0dg_R4fmnjd6:dd(.Rdg
+NS80=NNEM#$OF_OkCM0sR_nf4Q4(:njdnnUc
+gRS08NNEL=#O$M_kOFMs0C_f(RQ(44ndj:n4gggSR
+8NN0O#=E$_MOOMFk0_CscQRf4n4(j(:d4g4jR8
+SN80N=$E#MOO_F0kMC6s_R4fQ4j(n:.d(dRng;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5d55.?4j?5?0V:22:0::020;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl(R"V"VV;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:d44.4:dd4:.:+j]h1YB1_wvC_MG30\kdM4_$E#MOO_F0kMCfsR46ndjn:dgUddRaqp _)qqXu RcN.4j_jUbjRsRHl]h1YB1_wvC_MG30\kdM4_$E#MOO_F0kMC.s_
+FSOlkLF0M=k4Ed_#O$M_kOFMs0C_f.Rmd4n6dj:ndgdUSR
+8NN0N#=E$_MOOMFk0_CsUQRf4n4(jn:dcU(cR8
+SNL0N=$E#MOO_F0kMCgs_R4fQ4j(n:ndnjR4U
+NS80=NOEM#$OF_OkCM0sR_cf4Q4(:njd.n(j
+URS08NNE8=#O$M_kOFMs0C_f6RQ(44ndj:nnUcU
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd:?V!?5.554?j:?V002:22:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"jU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:Ug4::4.U:+dnj1:eY_hBBhmzaC_MG30\k_MgPM#$OF_OkCM0s4Rfnjd6:cd(cRggq pa)qq_uR XN4.c_w(wwsRbHelR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMC0sDg
+_nSlOFL0Fk=gkM_$P#MOO_F0kMC0sDgR_nfnm4d:6jdc(cg
+gRS08NNPN=#O$M_kOFMs0C_f.RQ(44ndj:njgggSR
+8NN0L#=P$_MOOMFk0_CsdQRf4n4(j(:d4g4(R8
+SNO0N=$P#MOO_F0kMCjs_R4fQ4j(n:.d(dRng
+NS80=N8PM#$OF_OkCM0sR_4f4Q4(:njdn(d.;gR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j55d?.4?5??5jV2:0::02002:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"(V;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4.d:Ug4::4.U:+dnj1:eY_hBBhmzaC_MG30\k_MgPM#$OF_OkCM0s4Rfnjd6:dd(.Rdgq pa)qq_uR XN4.c_w(wwsRbHelR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMC0sDg
+_6SlOFL0Fk=gkM_$P#MOO_F0kMC0sDgR_6fnm4d:6jd.(dd
+gRS08NNPN=#O$M_kOFMs0C_fURQ(44ndj:ncUngSR
+8NN0L#=P$_MOOMFk0_CsgQRf4n4(jn:dggg4R8
+SNO0N=$P#MOO_F0kMCns_R4fQ4j(n:4d(4Rjg
+NS80=N8PM#$OF_OkCM0sR_(f4Q4(:njdd(.n;gR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j55d?.4?5??5jV2:0::02002:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"(V;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4dd:d44:4d:d4d:d+ej:1BYh_vw1_GMC0k\3M_4dPM#$OF_OkCM0s4Rfnjd6:cdn(Rjnq pa)qq_uR XN4.c_jjj4sRbHelR1BYh_vw1_GMC0k\3M_4dPM#$OF_OkCM0s
+_dSlOFL0Fk=4kMd#_P$_MOOMFk0_CsdmRf46ndjn:dcn(jR8
+SNN0N=$P#MOO_F0kMCns_R4fQ4j(n:jdn4R4n
+NS80=NLPM#$OF_OkCM0sR_(f4Q4(:njddn4U
+nRS08NNPO=#O$M_kOFMs0C_fURQ(44ndj:n(.6nSR
+8NN08#=P$_MOOMFk0_CsgQRf4n4(jn:ddnUdRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5?5V:.:?V5V4?:?5jV2:02R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRj4jj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:d.4::44.:4ddj.+:Y]1hwB_1Mv_C\G034kMj#_E$_MOOMFk0RCsfd4n6dj:((.(jpRqaq )_ quX.RNcU4_jRjjblsHRY]1hwB_1Mv_C\G034kMj#_E$_MOOMFk0_CscO
+SFFlLkk0=M_4jEM#$OF_OkCM0sR_cfnm4d:6jd((.(
+jRS08NNEN=#O$M_kOFMs0C_fcRQ(44ndj:nUU4jSR
+8NN0L#=E$_MOOMFk0_CsnQRf4n4(jn:dgjc6R8
+SNO0N=$E#MOO_F0kMC4s_R4fQ4j(n:jd(nRcj
+NS80=N8EM#$OF_OkCM0sR_df4Q4(:njdg(4j;jR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j!?5d55.?4j?5?0V:22:0::020;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blUR"j"jj;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:4d4.4:4dd:.:+j]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMCfsR46ndj(:djjd.Raqp _)qqXu R4N4d4_jjb4RsRHl]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMCds_
+FSOlkLF0M=k4Ej_#O$M_kOFMs0C_fdRmd4n6dj:(.jdjSR
+8NN0N#=E$_MOOMFk0_CsjQRf4n4(jn:d6j(dR8
+SNL0N=$E#MOO_F0kMC(s_R4fQ4j(n:(dnjRjj
+NS80=NOEM#$OF_OkCM0sR_.f4Q4(:njd4nUg;jR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0OA;
+4,R4j.y5?5V:4:?V5Vj?:2022
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboR4"jj;4"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4dd:c4c:4c:dc.:d+ej:1BYh_vw1_GMC0k\3M_46PM#$OF_OkCM0s4Rfnjd6:gdndRdUq pa)qq_uR XN4.c_.jjjsRbHelR1BYh_vw1_GMC0k\3M_46PM#$OF_OkCM0s
+_dSlOFL0Fk=4kM6#_P$_MOOMFk0_CsdmRf46ndjn:dgUddR8
+SNN0N=$P#MOO_F0kMCgs_R4fQ4j(n:cdn(RcU
+NS80=NLPM#$OF_OkCM0sR_.f4Q4(:njdjnn4
+URS08NNPO=#O$M_kOFMs0C_fdRQ(44ndj:nj(.USR
+8NN08#=P$_MOOMFk0_CsjQRf4n4(jn:dUUcnRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5?5V:.4?5?!V:5Vj?:202:2V2RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol".jjj
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:44::g4:44cj4+:pBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#RHofd4n6dj:Unj(UpRqaq )_ quX4RN4(d_wR(wblsHRpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#DHo0.n_
+FSOlkLF0M=k4Oj_FlDkMF_OkCM0sH_#onD0_f.Rmd4n6dj:Unj(USR
+8NN0NF=ODMkl_kOFMs0C_o#H_f.RQ(44ndj:((n4USR
+8NN0LF=ODMkl_kOFMs0C_o#H_fdRQ(44ndj:(c(cUSR
+8NN0OF=ODMkl_kOFMs0C_o#H_fcRQ(44ndj:(dUnU
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y?5.554?j:?V002:22:0RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"((VV
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@dd:4g::g4:dgcjj+:hpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#RHofd4n6dj:Ud4.npRqaq )_ quX4RN4(d_wR(wblsHRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#DHo0.c_
+FSOlkLF0M=k4Dj_H_MCOMFk0_Cs#DHo0.c_R4fmnjd6:4dU.Rdn
+NS80=NNDCHM_kOFMs0C_o#H_fjRQ(44ndj:(cnnnSR
+8NN0LH=DMOC_F0kMC#s_Hco_R4fQ4j(n:(d(gR4n
+NS80=NODCHM_kOFMs0C_o#H_fdRQ(44ndj:(jg4n
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y?5.554?j:?V002:22:0RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"((VV
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:.d4:4:d.4:+d.j1:]Y_hBw_1vM0CG\M3k4Ej_#O$M_kOFMs0CRnf4d:6jd4(4nqURp)a qu_q NXR4_4dj44jRHbsl1R]Y_hBw_1vM0CG\M3k4Ej_#O$M_kOFMs0C_S4
+OLFlF=k0kjM4_$E#MOO_F0kMC4s_R4fmnjd6:4d(4RnU
+NS80=NNEM#$OF_OkCM0sR_6f4Q4(:njd6nn(
+URS08NNEL=#O$M_kOFMs0C_fURQ(44ndj:nc(UUSR
+8NN0O#=E$_MOOMFk0_CsgQRf4n4(jn:dgUjdRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+RA44y,j5V.?:?54Vj:5?0V:2R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRj44j"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:4.d::44.:d4dj.+:Y]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCsfd4n6dj:(.jc(pRqaq )_ quX.RNcU4_jRjjblsHRY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0_Cs(O
+SFFlLkk0=M_4dEM#$OF_OkCM0sR_(fnm4d:6jdc(j.
+(RS08NNEN=#O$M_kOFMs0C_f.RQ(44ndj:nd6U(SR
+8NN0L#=E$_MOOMFk0_CsdQRf4n4(jn:d((4jR8
+SNO0N=$E#MOO_F0kMCjs_R4fQ4j(n:Udn.Rg(
+NS80=N8EM#$OF_OkCM0sR_4f4Q4(:njd6ng6;(R
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j!?5d55.?4j?5?0V:22:0::020;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blUR"j"jj;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4dd:.n4d4:.dn:.:+jeh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMCfsR46ndjn:d6cjjRaqp _)qqXu RcN.4j_jjb4RsRHleh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMCns_
+FSOlkLF0M=k4P._#O$M_kOFMs0C_fnRmd4n6dj:nj6jcSR
+8NN0N#=P$_MOOMFk0_Cs(QRf4n4(jn:djcc4R8
+SNL0N=$P#MOO_F0kMCUs_R4fQ4j(n:4dnnRUc
+NS80=NOPM#$OF_OkCM0sR_6f4Q4(:njdUn.(
+cRS08NNP8=#O$M_kOFMs0C_fnRQ(44ndj:ndc4c
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd:?V5V.?:?54Vj:5?0V:2222RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"jjj4
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d.:dn4:4:nd.:+d.j1:eY_hBw_1vM0CG\M3k4P._#O$M_kOFMs0CRnf4d:6jd.nn(qcRp)a qu_q NXR._c4j4jjRHbsl1ReY_hBw_1vM0CG\M3k4P._#O$M_kOFMs0C_S(
+OLFlF=k0k.M4_$P#MOO_F0kMC(s_R4fmnjd6:ndn.R(c
+NS80=NNPM#$OF_OkCM0sR_df4Q4(:njdnn4U
+cRS08NNPL=#O$M_kOFMs0C_fcRQ(44ndj:n6.gcSR
+8NN0O#=P$_MOOMFk0_Cs4QRf4n4(jn:dcc4cR8
+SN80N=$P#MOO_F0kMC.s_R4fQ4j(n:6dncRjc;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV.:5?5V:4:?V5Vj?:2022;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"j"j4;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4d.:jncj:.n+:(jM:k4#_E$_MO#00NCR_dfd4n6dj:U.U(cpRqaq )_ quXcRNg _  b RsRHlk_M4EM#$O0_#N_0Cd
+_jSlOFL0Fk=4kM_$E#M#O_0CN0_jd_R4fmnjd6:UdU(R.c
+NS80=NNEM#$O0_#N_0CdQRf4n4(jU:dcc4dR8
+SNL0N=$E#M#O_0CN0_f4RQ(44ndj:Uj6cc
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,!jy5V4?:?5jV2:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRC"CC;C"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44d:4g4::444:+c4jm:Bphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HRnf4d:6jd.(gUqgRp)a qu_q NXRc(g_(R((blsHRpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#DHo04n_
+FSOlkLF0M=k4Oj_FlDkMF_OkCM0sH_#onD0_f4Rmd4n6dj:(Ug.gSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fnRQ(44ndj:(gcngSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f6RQ(44ndj:(n6gg
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,5jy4j?5?0V:22:0RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"((((
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:dg::cd:4g(:+jk_M4PM#$O0_#N_0C.4Rfnjd6:UdU(R.cq pa)qq_uR XN_cg    RHbslMRk4#_P$_MO#00NC__.jO
+SFFlLkk0=MP4_#O$M_N#00.C__fjRmd4n6dj:U.U(cSR
+8NN0N#=P$_MO#00NCR_df4Q4(:njd4Ucd
+cRS08NNPL=#O$M_N#004C_R4fQ4j(n:6dUcRjc;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;4
+ARj4,y4!5?5V:j:?V0R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRCCCC"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@d@4:U.c:.c:c(U:+8j:_0#C_$E#MOO_F0kMCfsR46ndj(:dn.ncRaqp _)qqXu RgNc_    sRbH8lR_0#C_$E#MOO_F0kMCSs
+OLFlF=k08C_#0#_E$_MOOMFk0RCsfnm4d:6jdn(nc
+.RS08NNEN=#O$M_N#00nC_R4fQ4j(n:.d(jR6.
+NS80=NLEM#$O0_#N_0CjQRf4n4(j(:dd.d.Ro;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0LA;
+4,R4j5y!4:?V5Vj?:202RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"CCCC
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@dn:d4::cd:n4(:+j8C_#0#_P$_MOOMFk0RCsfd4n6dj:U.6.gpRqaq )_ quXcRNg _  b RsRHl8C_#0#_P$_MOOMFk0
+CsSlOFL0Fk=#8_CP0_#O$M_kOFMs0CR4fmnjd6:6dU.R.g
+NS80=NNPM#$O0_#N_0CnQRf4n4(jU:djgndR8
+SNL0N=$P#M#O_0CN0_fjRQ(44ndj:Uj4gg
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,!jy5V4?:?5jV2:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRC"CC;C"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44d:cd4:4c:44.:6+kj:MD4_H_MCOMFk0_Cs#rHog9:4Rdfdj:ncddgd6qnRp)a qu_q NXR4dj(_nnBBsRbHklRMD4_H_MCOMFk0_Cs#rHogS9
+OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrRg9fdmdj:ncddgd6
+nRS08NNDN=H_MCOMFk0_Cs#_Ho(QRf4n4(jU:dUn(nR8
+SNL0N=MDHCF_OkCM0sH_#oR_Uf4Q4(:njdjgjd
+nRSMOH=4kM_MDHCF_OkCM0sH_#oF_Ok(0r9QRf.cUdcU:dUnndRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"nnOO
+";N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo's;
+R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49fjddndc:g6ddnpRqaq )_ quX4RNj_c46qq6RHbslMRk4H_DMOC_F0kMC#s_HUor9O
+SFFlLkk0=MD4_H_MCOMFk0_Cs#_HoOLFlFrk0Uf9Rmjddndc:g6ddnSR
+8NN0NH=DMOC_F0kMC#s_H(o_R4fQ4j(n:UdU(Rnn
+HSOMM=k4H_DMOC_F0kMC#s_HOo_Frk0nf9RQd.Ucdc:UdUnn
+R;oObRFFlLk
+0;o8bRNN0N;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"6N;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9.RfUcdc:UdUnRdnq pa)qq_uR XN(4j(B_nnUB_jRUjblsHR4kM_MDHCF_OkCM0sH_#o9r(
+FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLk(0r9mRfdg.(cg:ddnd6RO
+SF=k0k_M4DCHM_kOFMs0C_o#H_kOF09r(R.fmUcdc:UdUnRdn
+NS80=NNDCHM_kOFMs0C_o#H_f6RQ(44ndj:Ug.4nSR
+8NN0LH=DMOC_F0kMC#s_Hno_R4fQ4j(n:.dU4Rgn
+HSOMM=k4H_DMOC_F0kMC#s_HOo_Frk06f9RQj.U(dc:UnUdn
+R;oObRFFlLk
+0;oObRF;k0
+Rob8NN0No;
+bNR80;NL
+RobO;HM
+RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnOj
+";N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo's;
+R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49fd.Ucdc:UdUnnpRqaq )_ quX4RNj_((6qq6_UUjjsRbHklRMD4_H_MCOMFk0_Cs#rHonS9
+OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrRn9f.md(:gcddgd6
+nRSkOF0M=k4H_DMOC_F0kMC#s_HOo_Frk0nf9Rmd.Ucdc:UdUnnSR
+8NN0NH=DMOC_F0kMC#s_H6o_R4fQ4j(n:.dU4Rgn
+NS80=NLDCHM_kOFMs0C_o#H_fnRQ(44ndj:Ug.4nSR
+O=HMk_M4DCHM_kOFMs0C_o#H_kOF09rcR.fQUcj(:UdUdRnn;b
+oRlOFL0Fk;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6U;j"
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';s@R@44d:cd4:4c:44.:6+kj:MD4_H_MCOMFk0_Cs#rHog9:4RUf.j:(cddUUnqnRp)a qu_q NXR4(j(_nnBBj_UUbjRsRHlk_M4DCHM_kOFMs0C_o#Hr
+69SlOFL0Fk=4kM_MDHCF_OkCM0sH_#oF_OlkLF09r6Rdfm.c6.:ddgdR6n
+FSOkk0=MD4_H_MCOMFk0_Cs#_HoO0FkrR69fUm.j:(cddUUn
+nRS08NNDN=H_MCOMFk0_Cs#_HodQRf4n4(jU:d4ng.R8
+SNL0N=MDHCF_OkCM0sH_#oR_cf4Q4(:njdgU4.
+nRSMOH=4kM_MDHCF_OkCM0sH_#oF_Okd0r9QRf.j(UcU:dUnjgRo;
+bFROlkLF0o;
+bFROk
+0;o8bRNN0N;b
+oR08NN
+L;oObRH
+M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02
+R;A4.R,!jy55.?4j?5?0V:22:0:R02;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRnjOU"N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;R
+s@d@4:44c::d44:c46j.+:4kM_MDHCF_OkCM0sH_#o:rg4f9R.(UjcU:dUndnRaqp _)qqXu RjN4(6(_q_6qUjjURHbslMRk4H_DMOC_F0kMC#s_Hcor9O
+SFFlLkk0=MD4_H_MCOMFk0_Cs#_HoOLFlFrk0cf9Rm6d..dc:g6ddnSR
+O0Fk=4kM_MDHCF_OkCM0sH_#oF_Okc0r9mRf.(UjcU:dUndnR8
+SNN0N=MDHCF_OkCM0sH_#oR_df4Q4(:njdgU4.
+nRS08NNDL=H_MCOMFk0_Cs#_HocQRf4n4(jU:d4ng.RO
+SHkM=MD4_H_MCOMFk0_Cs#_HoO0FkrR.9f(Q.U:jcdjUUg;nR
+RobOLFlF;k0
+RobO0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"U6Nj
+";N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo's;
+R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49fU.(jdc:UgUjnpRqaq )_ quX4RNj_((nBBn_UUjjsRbHklRMD4_H_MCOMFk0_Cs#rHodS9
+OLFlF=k0k_M4DCHM_kOFMs0C_o#H_lOFL0FkrRd9f.md.:6cddgd6
+nRSkOF0M=k4H_DMOC_F0kMC#s_HOo_Frk0df9RmU.(jdc:UgUjnSR
+8NN0NH=DMOC_F0kMC#s_H4o_R4fQ4j(n:4dUnR6n
+NS80=NLDCHM_kOFMs0C_o#H_f.RQ(44ndj:U64nnSR
+O=HMk_M4DCHM_kOFMs0C_o#H_kOF09r4R.fQ(c6d:(dUUR.n;b
+oRlOFL0Fk;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0blnR"O"Uj;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+@sR@:4d4:c4d44:c64:.:+jk_M4DCHM_kOFMs0C_o#Hr4g:9.Rf(cUj:UdUjRgnq pa)qq_uR XN(4j(q_66Uq_jRUjblsHR4kM_MDHCF_OkCM0sH_#o9r.
+FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLk.0r9mRfd6..cg:ddnd6RO
+SF=k0k_M4DCHM_kOFMs0C_o#H_kOF09r.R.fm(cUj:UdUjRgn
+NS80=NNDCHM_kOFMs0C_o#H_f4RQ(44ndj:U64nnSR
+8NN0LH=DMOC_F0kMC#s_H.o_R4fQ4j(n:4dUnR6n
+HSOMM=k4H_DMOC_F0kMC#s_HNo__kOF09r4R.fQ(c6d:(dUUR.n;b
+oRlOFL0Fk;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6U;j"
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';s@R@44d:cd4:4c:44.:6+kj:MD4_H_MCOMFk0_Cs#rHog9:4R(f.6:dcdUU(.qnRp)a qu_q NXR6j._j_jjUUUURHbslMRk4H_DMOC_F0kMC#s_HNo_r
+49SkOF0M=k4H_DMOC_F0kMC#s_HNo__kOF09r4R.fm(c6d:(dUUR.n
+NS80=NN8C_#0#_E$_MOOMFk0RCsf4Q.j:gcddU4U
+nRS08NNDL=H_MCOMFk0_Cs#_HojQRf4n4(jU:d4ndURo;
+bFROk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,VjyRA;
+.,R4j5y!4j?5?0V:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UjjU
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@dc:444:d:44c:+6.jM:k4H_DMOC_F0kMC#s_Hgor:R49f6.(ddc:U.(UnpRqaq )_ quX6RNdn_nnUn_URUUblsHR4kM_MDHCF_OkCM0sH_#o9r4
+FSOlkLF0M=k4H_DMOC_F0kMC#s_HOo_FFlLk40r9mRf.U6ncg:ddnd6RO
+SF=k0k_M4DCHM_kOFMs0C_o#H_kOF09r4R.fm(c6d:(dUUR.n
+NS80=NN8C_#0#_E$_MOOMFk0RCsf4Q.j:gcddU4U
+nRS08NNDL=H_MCOMFk0_Cs#_HojQRf4n4(jU:d4ndURo;
+bFROlkLF0o;
+bFROk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,54y4*?j5Vj?::02!2fjRA;
+.,R4j5y!4j?5?0V:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnnU
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@d4:4.4:d:.44:+6cjM:k.F_ODMkl_kOFMs0C_GMC0:rgjf9R.dd(jg:ddnd6Raqp _)qqXu RjN4(nd_BRnBblsHR.kM_DOFk_lMOMFk0_CsM0CGr
+g9SlOFL0Fk=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrRg9fdm.(:djddgd6
+nRS08NNON=FlDkMF_OkCM0sH_#oR_Uf4Q4(:njd(UUn
+nRS08NNOL=FlDkMF_OkCM0sH_#oR_gf4Q4(:njdjgjd
+nRSMOH=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r(R4fQgjj4:UdUnRdn;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRnOOn"N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;R
+s@d@4:.44::d44:4.6jc+:.kM_DOFk_lMOMFk0_CsM0CGrjg:9.Rfdj(d:ddgdR6nq pa)qq_uR XNc4j4q_66bqRsRHlk_M.OkFDlOM_F0kMCMs_CrG0US9
+OLFlF=k0k_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0Uf9Rm(.dddj:g6ddnSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fURQ(44ndj:UnU(nSR
+O=HMk_M.OkFDlOM_F0kMCMs_C_G0O0FkrRn9fgQ4j:4jdnUUd;nR
+RobOLFlF;k0
+Rob8NN0No;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6NN6"N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;R
+s@d@4:.44::d44:4.6jc+:.kM_DOFk_lMOMFk0_CsM0CGrjg:94Rfgjj4:UdUnRdnq pa)qq_uR XN(4j(B_nnUB_jRUjblsHR.kM_DOFk_lMOMFk0_CsM0CGr
+(9SlOFL0Fk=.kM_DOFk_lMOMFk0_CsM0CG_lOFL0FkrR(9fdm.c:njddgd6
+nRSkOF0M=k.F_ODMkl_kOFMs0C_GMC0F_Ok(0r9mRf44gjjU:dUnndR8
+SNN0N=DOFk_lMOMFk0_Cs#_HonQRf4n4(jU:d.n4gR8
+SNL0N=DOFk_lMOMFk0_Cs#_Ho(QRf4n4(jU:d.n4gRO
+SHkM=MO._FlDkMF_OkCM0sC_MGO0_Frk06f9RQ(4Ucdj:UnUdn
+R;oObRFFlLk
+0;oObRF;k0
+Rob8NN0No;
+bNR80;NL
+RobO;HM
+RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnOj
+";N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo's;
+R4@@d4:4.4:d:.44:+6cjM:k.F_ODMkl_kOFMs0C_GMC0:rgjf9R44gjjU:dUnndRaqp _)qqXu RjN4(6(_q_6qUjjURHbslMRk.F_ODMkl_kOFMs0C_GMC09rn
+FSOlkLF0M=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09rnR.fmdjcn:ddgdR6n
+FSOkk0=MO._FlDkMF_OkCM0sC_MGO0_Frk0nf9Rmj4g4dj:UdUnnSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fnRQ(44ndj:Ug.4nSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f(RQ(44ndj:Ug.4nSR
+O=HMk_M.OkFDlOM_F0kMCMs_C_G0O0FkrRc9fUQ4(:cjddUUn;nR
+RobOLFlF;k0
+RobO0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"U6Nj
+";N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo's;
+R4@@d4:4.4:d:.44:+6cjM:k.F_ODMkl_kOFMs0C_GMC0:rgjf9R4cU(jU:dUndnRaqp _)qqXu RjN4(n(_B_nBUjjURHbslMRk.F_ODMkl_kOFMs0C_GMC09r6
+FSOlkLF0M=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09r6R.fmdj4g:ddgdR6n
+FSOkk0=MO._FlDkMF_OkCM0sC_MGO0_Frk06f9Rm(4Ucdj:UnUdnSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fcRQ(44ndj:U.4gnSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f6RQ(44ndj:U.4gnSR
+O=HMk_M.OkFDlOM_F0kMCMs_C_G0O0FkrRd9fUQ4c:(jdjUUg;nR
+RobOLFlF;k0
+RobO0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRO"nU;j"
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';s@R@44d:4d.:44:4.c:6+kj:MO._FlDkMF_OkCM0sC_MGg0r:Rj9f(4Ucdj:UnUdnpRqaq )_ quX4RNj_((6qq6_UUjjsRbHklRMO._FlDkMF_OkCM0sC_MGc0r9O
+SFFlLkk0=MO._FlDkMF_OkCM0sC_MGO0_FFlLkc0r9mRf.gd4jg:ddnd6RO
+SF=k0k_M.OkFDlOM_F0kMCMs_C_G0O0FkrRc9fUm4(:cjddUUn
+nRS08NNON=FlDkMF_OkCM0sH_#oR_cf4Q4(:njdgU4.
+nRS08NNOL=FlDkMF_OkCM0sH_#oR_6f4Q4(:njdgU4.
+nRSMOH=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r.R4fQUjc(:UdUjRgn;b
+oRlOFL0Fk;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6U;j"
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';s@R@44d:4d.:44:4.c:6+kj:MO._FlDkMF_OkCM0sC_MGg0r:Rj9fc4U(dj:UgUjnpRqaq )_ quX4RNj_((nBBn_UUjjsRbHklRMO._FlDkMF_OkCM0sC_MGd0r9O
+SFFlLkk0=MO._FlDkMF_OkCM0sC_MGO0_FFlLkd0r9mRf...gjg:ddnd6RO
+SF=k0k_M.OkFDlOM_F0kMCMs_C_G0O0FkrRd9fUm4c:(jdjUUg
+nRS08NNON=FlDkMF_OkCM0sH_#oR_.f4Q4(:njdnU46
+nRS08NNOL=FlDkMF_OkCM0sH_#oR_df4Q4(:njdnU46
+nRSMOH=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r4R4fQUj.j:(dUUR.n;b
+oRlOFL0Fk;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0Lo;
+bHROMA;
+4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0blnR"O"Uj;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+@sR@:4d4:4.d44:46.:c:+jk_M.OkFDlOM_F0kMCMs_CrG0g9:jRUf4c:(jdjUUgqnRp)a qu_q NXR4(j(_66qqj_UUbjRsRHlk_M.OkFDlOM_F0kMCMs_CrG0.S9
+OLFlF=k0k_M.OkFDlOM_F0kMCMs_C_G0OLFlFrk0.f9Rmg...dj:g6ddnSR
+O0Fk=.kM_DOFk_lMOMFk0_CsM0CG_kOF09r.R4fmUjc(:UdUjRgn
+NS80=NNOkFDlOM_F0kMC#s_H.o_R4fQ4j(n:4dUnR6n
+NS80=NLOkFDlOM_F0kMC#s_Hdo_R4fQ4j(n:4dUnR6n
+HSOMM=k.F_ODMkl_kOFMs0C_GMC0F_Okj0r9QRf4jU.jU:d(nU.Ro;
+bFROlkLF0o;
+bFROk
+0;o8bRNN0N;b
+oR08NN
+L;oObRH
+M;A44R,54y.*?j5Vj?::02!2fjRA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"Uj;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+@sR@:4d4:4.d44:46.:c:+jk_M.OkFDlOM_F0kMCMs_CrG0g9:jRUf4.:jjdUU(.qnRp)a qu_q NXR6nd_n_nnUUUURHbslMRk.F_ODMkl_kOFMs0C_GMC09r4
+FSOlkLF0M=k.F_ODMkl_kOFMs0C_GMC0F_OlkLF09r4R4fmnjd6:ddgdR6n
+FSOkk0=MO._FlDkMF_OkCM0sC_MGO0_Frk04f9Rm.4Ujdj:U.(UnSR
+8NN0NF=ODMkl_kOFMs0C_o#H_fjRQ(44ndj:UU4dnSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f4RQ(44ndj:UU4dn
+R;oObRFFlLk
+0;oObRF;k0
+Rob8NN0No;
+bNR80;NL
+RA44y,45j4?*?5jV2:0:j!f2
+R;A4.R,!jy554?j:?V002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRn"nU;U"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44d:4d.:44:4.c:6+kj:MO._FlDkMF_OkCM0sC_MGg0r:Rj9f.4Ujdj:U.(UnpRqaq )_ quX6RN.6_66U6_URUUblsHR.kM_DOFk_lMOMFk0_CsM0CGr
+j9SkOF0M=k.F_ODMkl_kOFMs0C_GMC0F_Okj0r9mRf4jU.jU:d(nU.R8
+SNN0N=DOFk_lMOMFk0_Cs#_HojQRf4n4(jU:d4ndUR8
+SNL0N=DOFk_lMOMFk0_Cs#_Ho4QRf4n4(jU:d4ndURo;
+bFROk
+0;o8bRNN0N;b
+oR08NN
+L;A44R,5jyj:?V0;2R
+RA.4y,j!?545Vj?::020;2R
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"6"UU;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@bR@44::44::..+:k0sCjRf:0jRsRkC0CskRBeB;H
+NRosCHRFM'NPo'b;
+R:fjjNRVDR#CtRh7t;h7
+
+@ 
+ftell;
+@ERMRI FsRNPo_MOF0DsFR0MCD0H#;P
+NR#3H_k#FsROC4N;
+PDR3HMMCFgRdUN;
+PHR3#8PED;R4
+RNP3_H#PDE8R
+4;N3PRFosHhCNlRo"PNF_OMF0sD
+";N#PR$bM_sCC#sRPC4N;
+PNR3DOlN_0bNEF_OkRM04N;
+PFR3sPHoHMCINRlC'ELCN;P'
+RNP3#EN0HHlM4oR;P
+NRH3k__MD#ODN  cRdgnn(;R
+HOkFDlOM_F0kMC#s_H6o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_Hjo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_H4o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_Hdo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_Hco_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_H.o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_Hgo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_HUo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_H(o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HOkFDlOM_F0kMC#s_Hno_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HDCHM_kOFMs0C_o#H_
+j;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HHRDMOC_F0kMC#s_H4o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HDCHM_kOFMs0C_o#H_
+.;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HHRDMOC_F0kMC#s_HUo_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HDCHM_kOFMs0C_o#H_
+d;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HHRDMOC_F0kMC#s_H6o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HDCHM_kOFMs0C_o#H_
+c;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HHRDMOC_F0kMC#s_H(o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs""HM;R
+HDCHM_kOFMs0C_o#H_
+n;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";FFR0oCoD_kOFMs0C_o#H_
+j;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+0FRFDooCF_OkCM0sH_#o;_4
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H.o_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+Ro0Fo_DCOMFk0_Cs#_HodN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_
+c;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+0FRFDooCF_OkCM0sH_#o;_6
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_Hno_;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRF3bsD0N8RHs"0Fk"F;
+Ro0Fo_DCOMFk0_Cs#_Ho(N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_
+U;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+0FRFDooCF_OkCM0sH_#o;_g
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H4o_jN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;44
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H4o_.N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;4d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H4o_cN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;46
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H4o_nN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;4(
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H4o_UN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;4g
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H.o_jN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;.4
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H.o_.N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";FFR0oCoD_kOFMs0C_o#H_;.d
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoDOC_F0kMC#s_H.o_cN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";H_RPCLMND#C_H
+o;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HMRk4Oj_FlDkMF_OkCM0sH_#onD0_
+4;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";H_RECLMND#C_H
+o;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";F;Ro
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+FsN;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HbR3FNs0Ds8HRk"F0
+";F;RL
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRF"k0;R
+F0oFoD#C_H
+o;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sFR"k;0"
+kHRM8n_DO$_F0kMCjs__
+G;N3HR#FDbs8HoH"sRHkMF0
+";N3HRb0FsNHD8sHR"M
+";HDRO H_bM;_O
+RNH3b#DFosH8RHs"FHMk;0"
+RNH3sbF08NDH"sRH;M"
+RoMO_D b_HMON;
+MOR3D  FORo"PND|O      H_bM
+";N3MROODF     8_Co"CRsCH#"N;
+MHR3#D_OFRO    4s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9R4j(n:ndn6Rd.q pa)qq_uR XVcVcUw._wRjjblsHRo0Fo_DCOMFk0_Cs#rHo.
+c9SosCF=k00oFoDOC_F0kMC#s_H.o_cmRf4j(n:ndn6Rd.
+DSO    D=O     H_bM
+_OS08NNt8=hS7
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;4
+ARj4,yd!5?0V:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG.
+c;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"Vj;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:nc6d.pRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#odr.9s
+SCkoF0F=0oCoD_kOFMs0C_o#H_R.df(m4ndj:nc6d.SR
+O=D    O_D     b_HMO8
+SN80N=7th
+OSNDks=M8n_DO$_F0kMCjs__
+G;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};A44R,!jy5Vd?:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8GdR.;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRVjVj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HNR3#O$M_#sCC"0RM :7p_qY)  1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9R4j(n:cdnjR(.q pa)qq_uR XVcVcUw._wRjjblsHRo0Fo_DCOMFk0_Cs#rHo.
+.9SosCF=k00oFoDOC_F0kMC#s_H.o_.mRf4j(n:cdnjR(.
+DSO    D=O     H_bM
+_OS08NNt8=hS7
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;4
+ARj4,yd!5?0V:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG.
+.;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"Vj;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jR(f4ndj:(d4.cpRqaq )_ quXVRVc.cU_jwwjsRbH0lRFDooCF_OkCM0sH_#o4r.9s
+SCkoF0F=0oCoD_kOFMs0C_o#H_R.4f(m4ndj:(d4.cSR
+O=D    O_D     b_HMO8
+SN80N=7th
+OSNDks=M8n_DO$_F0kMCjs__
+G;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};A44R,!jy5Vd?:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G4R.;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRVjVj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HNR3#O$M_#sCC"0RM :7p_qY)  1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9R4j(n:gdngRncq pa)qq_uR XVcVcUw._wRjjblsHRo0Fo_DCOMFk0_Cs#rHo.
+j9SosCF=k00oFoDOC_F0kMC#s_H.o_jmRf4j(n:gdngRnc
+DSO    D=O     H_bM
+_OS08NNt8=hS7
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;4
+ARj4,yd!5?0V:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG.
+j;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"Vj;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRVn4d4nU_BRnBblsHRo0Fo_DCOMFk0_Cs#rHo4
+g9SosCF=k00oFoDOC_F0kMC#s_H4o_gmRf4j(n:Ud66R.U
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#oU_4R4fQ4j(n:(dg4R4U
+NS80=NL0oFoDOC_F0kMC#s_H4o_gQRf4n4(jg:d(U44RN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk04R(9fjQ.d:njddg.g;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8GgR4;H
+NRO3#DVs_V;R4
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blnR"O"nO;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@44.:jcj::j4j:06:FDooCF_OkCM0sH_#ocr.:Rj9fndg.d.:Ugg.UpRqaq )_ quXVRV4Ud6nq_66bqRsRHl0oFoDOC_F0kMC#s_H4orUS9
+sFCok00=FDooCF_OkCM0sH_#oU_4R4fm(:njd.6(n
+URS    OD=     OD_MbH_SO
+8NN0NF=0oCoD_kOFMs0C_o#H_R4Uf4Q4(:njd4g(4
+URSDNOsM=knD_8$F_OkCM0s__jG#
+SO!Ds=o0Fo_DC#_Hoj__jj4_oRdfQg.n.:gdU.RgU
+HSOMF=0oCoD_kOFMs0C_o#H_kOF0nr49QRf.njdjg:d.UdgRo;
+bCRso0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o
+";N#HR$bM_sCC#sRPC4N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR;4U
+RNH3D#OsV_VR
+4;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRN"66;N"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HNR3#O$M_#sCC"0RM :7p_qY)  1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rd.gn.U:dgU.gRaqp _)qqXu R4VVd.n._nnBBj_UUbjRsRHl0oFoDOC_F0kMC#s_H4or(S9
+sFCok00=FDooCF_OkCM0sH_#o(_4R4fm(:njdj6n(
+URSkOF0F=0oCoD_kOFMs0C_o#H_kOF0(r49mRf.njdjg:d.UdgRO
+SDO    =Db     _HOM_
+NS80=NN0oFoDOC_F0kMC#s_H4o_nQRf4n4(jU:d6Ug6R8
+SNL0N=o0Fo_DCOMFk0_Cs#_Ho4f(RQ(44ndj:U66gUSR
+NsOD=nkM_$8D_kOFMs0C_Gj_
+OS#D=s!0oFoD#C_Hjo__jj__Ro4fgQdn:..d.Ugg
+URSMOH=o0Fo_DCOMFk0_Cs#_HoO0Fkr946R.fQjjjg:.dg4R.U;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oObRH
+M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02
+R;A4.R,!jy55.?4j?5?0V:22:0:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G(R4;H
+NRO3#DVs_V;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0blnR"O"Uj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@44.:jcj::j4j:06:FDooCF_OkCM0sH_#ocr.:Rj9fndg.d.:Ugg.UpRqaq )_ quXVRV4.dn.q_66Uq_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo4
+n9SosCF=k00oFoDOC_F0kMC#s_H4o_nmRf4j(n:cd6URjU
+FSOk00=FDooCF_OkCM0sH_#oF_Ok40rnf9Rmd.jndj:gg.dUSR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DCOMFk0_Cs#_Ho4fnRQ(44ndj:U66gUSR
+8NN0LF=0oCoD_kOFMs0C_o#H_R4(f4Q4(:njdgU66
+URSDNOsM=knD_8$F_OkCM0s__jG#
+SO!Ds=o0Fo_DC#_Hoj__jj4_oRdfQg.n.:gdU.RgU
+HSOMF=0oCoD_kOFMs0C_o#H_kOF0cr49QRf.gjjjg:d.U4.Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?4j?5?0V:22:0:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8GnR4;H
+NRO3#DVs_V;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"Uj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@44.:jcj::j4j:06:FDooCF_OkCM0sH_#ocr.:Rj9fndg.d.:Ugg.UpRqaq )_ quXVRV4.dn.B_nnUB_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo4
+69SosCF=k00oFoDOC_F0kMC#s_H4o_6mRf4j(n:4dngRjj
+FSOk00=FDooCF_OkCM0sH_#oF_Ok40r6f9Rmj.jgdj:g..4USR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DCOMFk0_Cs#_Ho4fcRQ(44ndj:UU6nUSR
+8NN0LF=0oCoD_kOFMs0C_o#H_R46f4Q4(:njdnU6U
+URSDNOsM=knD_8$F_OkCM0s__jG#
+SO!Ds=o0Fo_DC#_Hoj__jj4_oRdfQg.n.:gdU.RgU
+HSOMF=0oCoD_kOFMs0C_o#H_kOF0dr49QRf4.gUjg:d4UU6Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RobO;HM
+RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H
+NRM#$_Cbs#PCsC;R4
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC4GR6N;
+H#R3O_DsV4VR;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRnjOU"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRVn4d.6._q_6qUjjURHbslFR0oCoD_kOFMs0C_o#Hr94c
+CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4fcRmn4(jn:djj(4RO
+SF=k00oFoDOC_F0kMC#s_HOo_Frk04Rc9fjm.j:gjd4g..
+URS    OD=     OD_MbH_SO
+8NN0NF=0oCoD_kOFMs0C_o#H_R4cf4Q4(:njdnU6U
+URS08NN0L=FDooCF_OkCM0sH_#o6_4R4fQ4j(n:6dUnRUU
+OSNDks=M8n_DO$_F0kMCjs__SG
+#sOD!F=0oCoD_o#H_jj__oj_4QRfd.gn.U:dgU.gRO
+SH0M=FDooCF_OkCM0sH_#oF_Ok40r.f9RQU4g.dj:g64UU
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H
+NRM#$_Cbs#PCsC;R4
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC4GRcN;
+H#R3O_DsV4VR;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNU"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRVn4d.n._B_nBUjjURHbslFR0oCoD_kOFMs0C_o#Hr94d
+CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4fdRmn4(j6:dgjccRO
+SF=k00oFoDOC_F0kMC#s_HOo_Frk04Rd9fgm4U:.jdUg46
+URS    OD=     OD_MbH_SO
+8NN0NF=0oCoD_kOFMs0C_o#H_R4.f4Q4(:njdcU64
+URS08NN0L=FDooCF_OkCM0sH_#od_4R4fQ4j(n:6dUcR4U
+OSNDks=M8n_DO$_F0kMCjs__SG
+#sOD!F=0oCoD_o#H_jj__oj_4QRfd.gn.U:dgU.gRO
+SH0M=FDooCF_OkCM0sH_#oF_Ok40r4f9RQ64g6dj:gU46U
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4
+d;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnOj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#o.r49s
+SCkoF0F=0oCoD_kOFMs0C_o#H_R4.f(m4ndj:njnn.SR
+O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr94.R4fmgjU.:4dgUR6U
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#o._4R4fQ4j(n:6dUcR4U
+NS80=NL0oFoDOC_F0kMC#s_H4o_dQRf4n4(jU:d6Uc4RN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk04Rj9fgQ46:6jd6g4U;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#Oso;
+bHROMA;
+4,R44.y5?5j*j:?V0!2:fRj2;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4
+.;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"U6Nj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH0lRFDooCF_OkCM0sH_#o4r49s
+SCkoF0F=0oCoD_kOFMs0C_o#H_R44f(m4ndj:nd6d.SR
+O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr944R4fmgj66:4dg6RUU
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#oj_4R4fQ4j(n:6dU4RcU
+NS80=NL0oFoDOC_F0kMC#s_H4o_4QRf4n4(jU:d6U4cRN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk0gf9RQ.4gUdj:g44dU
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4
+4;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnOj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#ojr49s
+SCkoF0F=0oCoD_kOFMs0C_o#H_R4jf(m4ndj:nnd4jSR
+O0Fk=o0Fo_DCOMFk0_Cs#_HoO0Fkr94jR4fmgj66:4dg6RUU
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#oj_4R4fQ4j(n:6dU4RcU
+NS80=NL0oFoDOC_F0kMC#s_H4o_4QRf4n4(jU:d6U4cRN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk0Uf9RQ.4gUdj:g44dU
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H
+NRM#$_Cbs#PCsC;R4
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMC4GRjN;
+H#R3O_DsV4VR;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6jNU"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRVn4d.n._B_nBUjjURHbslFR0oCoD_kOFMs0C_o#Hr
+g9SosCF=k00oFoDOC_F0kMC#s_Hgo_R4fm(:njdgn4(
+jRSkOF0F=0oCoD_kOFMs0C_o#H_kOF09rgR4fmgj.U:4dgdR4U
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#oR_Uf4Q4(:njdUUc(
+URS08NN0L=FDooCF_OkCM0sH_#oR_gf4Q4(:njdUUc(
+URSDNOsM=knD_8$F_OkCM0s__jG#
+SO!Ds=o0Fo_DC#_Hoj__jj4_oRdfQg.n.:gdU.RgU
+HSOMF=0oCoD_kOFMs0C_o#H_kOF09r(R4fQgjj4:4dgjRcU;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oObRH
+M;A44R,54y.4?5?5j*j:?V0!2:f:j2!?54V2:02
+R;A4.R,!jy55.?4j?5?0V:22:0:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G;Rg
+RNH3D#OsV_VR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRO"nU;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HNR3#O$M_#sCC"0RM :7p_qY)  1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rd.gn.U:dgU.gRaqp _)qqXu R4VVd.n._66qqj_UUbjRsRHl0oFoDOC_F0kMC#s_HUor9s
+SCkoF0F=0oCoD_kOFMs0C_o#H_fURmn4(jn:djj(jRO
+SF=k00oFoDOC_F0kMC#s_HOo_Frk0Uf9Rm.4gUdj:g44dUSR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DCOMFk0_Cs#_HoUQRf4n4(jU:dcUU(R8
+SNL0N=o0Fo_DCOMFk0_Cs#_HogQRf4n4(jU:dcUU(RN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk0nf9RQj4g4dj:gc4jU
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.jj*5?0V:2f:!j;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H
+NRM#$_Cbs#PCsC;R4
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCUGR;H
+NRO3#DVs_V;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0bl6R"N"Uj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@44.:jcj::j4j:06:FDooCF_OkCM0sH_#ocr.:Rj9fndg.d.:Ugg.UpRqaq )_ quXVRV4.dn.B_nnUB_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo(S9
+sFCok00=FDooCF_OkCM0sH_#oR_(f(m4ndj:6664nSR
+O0Fk=o0Fo_DCOMFk0_Cs#_HoO0FkrR(9fgm4j:4jdjg4c
+URS    OD=     OD_MbH_SO
+8NN0NF=0oCoD_kOFMs0C_o#H_fnRQ(44ndj:UjcnUSR
+8NN0LF=0oCoD_kOFMs0C_o#H_f(RQ(44ndj:UjcnUSR
+NsOD=nkM_$8D_kOFMs0C_Gj_
+OS#D=s!0oFoD#C_Hjo__jj__Ro4fgQdn:..d.Ugg
+URSMOH=o0Fo_DCOMFk0_Cs#_HoO0FkrR69fUQ4(:cjd(gj(;UR
+RobsFCok
+0;oObRF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oRD#Oso;
+bHROMA;
+4,R44.y5??54jj*5?0V:2f:!j!2:5V4?:202RA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o
+";N#HR$bM_sCC#sRPC4N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+(;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"UnOj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XVdV4n_..6qq6_UUjjsRbH0lRFDooCF_OkCM0sH_#o9rn
+CSso0Fk=o0Fo_DCOMFk0_Cs#_HonmRf4j(n:dd6URgn
+FSOk00=FDooCF_OkCM0sH_#oF_Okn0r9mRf44gjjg:d4UjcRO
+SDO    =Db     _HOM_
+NS80=NN0oFoDOC_F0kMC#s_Hno_R4fQ4j(n:cdUnRjU
+NS80=NL0oFoDOC_F0kMC#s_H(o_R4fQ4j(n:cdUnRjU
+OSNDks=M8n_DO$_F0kMCjs__SG
+#sOD!F=0oCoD_o#H_jj__oj_4QRfd.gn.U:dgU.gRO
+SH0M=FDooCF_OkCM0sH_#oF_Okc0r9QRf4cU(jg:djU((Ro;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RobO;HM
+RA44y,45j.?*?5jV2:0:j!f2
+R;A4.R,!jy55.?4j?5?0V:22:0:R02;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH3VkMs_NOHCM8G;Rn
+RNH3D#OsV_VR
+4;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboRN"6U;j"
+RNH3M#$OlE_FR8C4N;
+H#R3kDl_k_0OHkMb0;R.
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"N;
+HNR3#O$M_#sCC"0RM :7p_qY)  1aC_MG\0\3nkM_$8D_kOFMs0C_Gj_"s;
+R4@@.j:4j::c4:jj6F:0oCoD_kOFMs0C_o#Hr:.cjf9Rd.gn.U:dgU.gRaqp _)qqXu R4VVd.n._nnBBj_UUbjRsRHl0oFoDOC_F0kMC#s_H6or9s
+SCkoF0F=0oCoD_kOFMs0C_o#H_f6Rmn4(j6:d.n(jRO
+SF=k00oFoDOC_F0kMC#s_HOo_Frk06f9Rm(4Ucdj:g(j(USR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DCOMFk0_Cs#_HocQRf4n4(jU:dcUddR8
+SNL0N=o0Fo_DCOMFk0_Cs#_Ho6QRf4n4(jU:dcUddRN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.USR
+O=HM0oFoDOC_F0kMC#s_HOo_Frk0df9RQc4U(dj:gjj6U
+R;osbRCkoF0o;
+bFROk
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+Rob8NN0No;
+bNR80;NL
+Rob#sOD;b
+oRMOH;4
+AR44,y?5.5j4?*?5jV2:0:j!f25:!4:?V0R22;.
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG6N;
+H#R3O_DsV4VR;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRnjOU"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk0.N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRVn4d.6._q_6qUjjURHbslFR0oCoD_kOFMs0C_o#Hr
+c9SosCF=k00oFoDOC_F0kMC#s_Hco_R4fm(:njd66U.
+URSkOF0F=0oCoD_kOFMs0C_o#H_kOF09rcR4fmUj(c:jdg(R(U
+DSO    D=O     H_bM
+_OS08NN0N=FDooCF_OkCM0sH_#oR_cf4Q4(:njddUcd
+URS08NN0L=FDooCF_OkCM0sH_#oR_6f4Q4(:njddUcd
+URSDNOsM=knD_8$F_OkCM0s__jG#
+SO!Ds=o0Fo_DC#_Hoj__jj4_oRdfQg.n.:gdU.RgU
+HSOMF=0oCoD_kOFMs0C_o#H_kOF09r.R4fQUjc(:jdg6RjU;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oObRH
+M;A44R,54y.*?j5Vj?::02!2fjRA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o
+";N#HR$bM_sCC#sRPC4N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+c;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"U6Nj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XVdV4n_..nBBn_UUjjsRbH0lRFDooCF_OkCM0sH_#o9rd
+CSso0Fk=o0Fo_DCOMFk0_Cs#_HodmRf4j(n:(d6dRdU
+FSOk00=FDooCF_OkCM0sH_#oF_Okd0r9mRf4(Ucjg:djU6jRO
+SDO    =Db     _HOM_
+NS80=NN0oFoDOC_F0kMC#s_H.o_R4fQ4j(n:cdUjRnU
+NS80=NL0oFoDOC_F0kMC#s_Hdo_R4fQ4j(n:cdUjRnU
+OSNDks=M8n_DO$_F0kMCjs__SG
+#sOD!F=0oCoD_o#H_jj__oj_4QRfd.gn.U:dgU.gRO
+SH0M=FDooCF_OkCM0sH_#oF_Ok40r9QRf4jU.jg:djU.dRo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RobO;HM
+RA44y,455.?4*?j5Vj?::02!2fj:4!5?0V:2;2R
+RA.4y,j!?5.554?j:?V002:22:0RN;
+HsR30FD_sMHoNRlC"o0Fo_DCOMFk0_Cs#"Ho;H
+NRM#$_Cbs#PCsC;R4
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCdGR;H
+NRO3#DVs_V;R4
+RNH38lFC;R.
+RNH3NCMLRDCjN;
+HDR3ko0blnR"O"Uj;H
+NR$3#M_OElCF8R
+4;N3HR#_klDOk0_bHMk.0R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@44.:jcj::j4j:06:FDooCF_OkCM0sH_#ocr.:Rj9fndg.d.:Ugg.UpRqaq )_ quXVRV4.dn.q_66Uq_jRUjblsHRo0Fo_DCOMFk0_Cs#rHo.S9
+sFCok00=FDooCF_OkCM0sH_#oR_.f(m4ndj:6nnjUSR
+O0Fk=o0Fo_DCOMFk0_Cs#_HoO0FkrR.9fUm4c:(jd6gjj
+URS    OD=     OD_MbH_SO
+8NN0NF=0oCoD_kOFMs0C_o#H_f.RQ(44ndj:UncjUSR
+8NN0LF=0oCoD_kOFMs0C_o#H_fdRQ(44ndj:UncjUSR
+NsOD=nkM_$8D_kOFMs0C_Gj_
+OS#D=s!0oFoD#C_Hjo__jj__Ro4fgQdn:..d.Ugg
+URSMOH=.kM_o0Fo_DCOMFk0_CsM0CG_kOF09rjR4fQUj.j:jdg.RdU;b
+oRosCF;k0
+RobO0Fk;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}o;
+bNR80;NN
+Rob8NN0Lo;
+bOR#D
+s;oObRH
+M;A44R,54y.*?j5Vj?::02!2fjRA;
+.,R4j5y!.4?5??5jV2:0::020;2R
+RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0sH_#o
+";N#HR$bM_sCC#sRPC4N;
+H#R3$NM_HD_OFRO        {H
+NRNPo| OD_MbHRN{
+HHRs#4CR;;
+}
+
+};N3HRksMVNHO_MG8CR
+.;N3HR#sOD_RVV4N;
+HlR3FR8C.N;
+HCR3MDNLC;Rj
+RNH30DkbRol"U6Nj
+";N3HR#O$MEF_l84CR;H
+NRk3#lk_D0HO_M0bkR
+.;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4:j4j:4c:j6j::o0Fo_DCOMFk0_Cs#rHo.jc:9dRfg.n.:gdU.RgUq pa)qq_uR XV.V46_gUnnnn_UUUUsRbH0lRFDooCF_OkCM0sH_#o9r4
+CSso0Fk=o0Fo_DCOMFk0_Cs#_Ho4mRf4j(n:4d6cRdn
+FSOk00=FDooCF_OkCM0sH_#oF_Ok40r9mRf4jU.jg:djU.dRO
+SDO    =Db     _HOM_
+NS80=NN0oFoDOC_F0kMC#s_Hjo_R4fQ4j(n:ddU(RgU
+NS80=NL0oFoDOC_F0kMC#s_H4o_R4fQ4j(n:ddU(RgU
+OSNDks=M8n_DO$_F0kMCjs__SG
+#sOD!F=0oCoD_o#H_jj__oj_4QRfd.gn.U:dgU.gRo;
+bCRso0Fk;b
+oRkOF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oR08NN
+L;o#bRO;Ds
+RA44y,45j4?*?5jV2:0:j!f2
+R;A4.R,!jy554?j:?V002:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4N;
+H#R3O_DsV4VR;H
+NRF3l8.CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRnUnU"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoDOC_F0kMC#s_H.orc9:jRgfdn:..d.UggqURp)a qu_q VXRV64.n6._6R66blsHRo0Fo_DCOMFk0_Cs#rHojS9
+sFCok00=FDooCF_OkCM0sH_#oR_jf(m4ndj:(gd(USR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DCOMFk0_Cs#_HojQRf4n4(jg:d(U44RN
+SO=Dsk_Mn8_D$OMFk0_Csj
+_GSD#Os0!=FDooCH_#o__jj__jof4RQndg.d.:Ugg.U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};o8bRNN0N;b
+oRD#OsA;
+4,R4jjy5?0V:2
+R;N3HRs_0DFosHMCNlRF"0oCoD_kOFMs0C_o#H"N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCGjN;
+H#R3O_DsV4VR;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lR6666"N;
+H#R3$EMO_8lFC;R4
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';N3HROODF     PR"oON|Db       _H;M"
+RNH3$N#MsO_C0#CR:"M7q pY _)1_ aM0CG\k\3M8n_DO$_F0kMCjs__;G"
+@sR@:4.4:jjcj:4j::60oFoD#C_HfoRd.gn.g:d(U44Raqp _)qqXu RcVVc_j.ggggRHbslFR0oCoD_o#H
+CSso0Fk=o0Fo_DC#RHof(m4ndj:UdjjnSR
+O=D    O_D     b_HMO8
+SNN0N=o0Fo_DC#RHof4Q4(:njd4g(4
+URS08NN0L=FDooCH_#o__jj__jof4RQndg.d.:g4(4USR
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oRosCF;k0
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;4
+AR44,y4!5?5j*j:?V0!2:fRj2;H
+NR03sDs_FHNoMl"CR0oFoD#C_H;o"
+RNH#_$Mb#sCCCsPR
+4;N3HR#_$MNOH_D        FORN{
+HoRPND|O       H_bM
+R{NsHRHR#C4};
+;;
+}
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blgR"g"gg;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+RNH3FODO"      RP|oNO_D        b"HM;H
+NR#3N$_MOsCC#0MR":p7 q)Y_ a1 _GMC03\\k_Mn8_D$OMFk0_Csj"_G;R
+s@.@4::n4c4:n:L6:Rcfd4:(gd4g(4qURp)a qu_q VXRVgc6c4_jjbjRsRHlLs
+SCkoF0
+=LS    OD=     OD_MbH_SO
+8NN0NM=k4Pd__NCMLDDC0RFUfcQ.(:ccd4g(4
+URS08NNkL=MP6__NCMLDDC0RF(fnQ.j:jcd4g(4
+URS08NNkO=M_4(PM_CNCLDD(0FRdfQjnnd:(dg4R4U
+NS80=N8LC_MGj0___oj6QRfd(c4gg:d(U44RN
+SO=Dsk_Mn8_D$OMFk0_Csj;_G
+RobO;D 
+RNb3M#$__NHOODF        
+R{NPbRoON|Db   _H{MR
+RNbsCH#R
+4;}};
+;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5d5V.?:?54Vj:5?0V:2:22V;2R
+RNH3Ds0_HFsolMNCLR""N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"jj4j
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@4n.:4::cn64::fsRjR:jq pa)qq_uR XVcVcUw._wRjjblsHRSs
+sFCoks0=
+DSO    D=O     H_bM
+_OS08NNt8=hS7
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}A;
+4,R4j5y!d:?V0;2R
+RNH3Ds0_HFsolMNCsR""N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"jVVj
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";s@R@4n.:4::cn64::foRjR:jq pa)qq_uR XVcVcUw._wRjjblsHRSo
+sFCoko0=
+DSO    D=O     H_bM
+_OS08NNt8=hS7
+NsOD=nkM_$8D_kOFMs0C_Gj_;b
+oR     OD;b
+NR$3#MH_N_FODO{        R
+RNbP|oNO_D     bRHM{b
+NR#sHC;R4
+
+};}A;
+4,R4j5y!d:?V0;2R
+RNH3Ds0_HFsolMNCoR""N;
+H$R#Ms_bCs#CP4CR;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"jVVj
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";N3HRNM#$OC_s#RC0"7M: Ypq_1)  Ma_C\G0\M3knD_8$F_OkCM0s__jG
+";sdRfcUU(:cdU6R6cq pa)qq_uR XN4.c_jj4jsRbH0lRFDooCH_#o__jj__joS4
+OLFlF=k00oFoD#C_Hjo__jj__Ro4fcmdU:(Ud6Uc6
+cRS08NN0N=FDooCF_OkCM0sH_#oj_.R4fQ4j(n:gd(gRnc
+NS80=NL0oFoDOC_F0kMC#s_H.o_4QRf4n4(jU:d4c.dR8
+SNO0N=o0Fo_DC#_Hoj__jj4_o_f.RQ4.j4d.:U..ccSR
+8NN08M=k4F_0oCoD_kOFMs0C_o#HD40FgQRfdjcjUU:ddcnURo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5??5.V4:5?5V:j:?V0222:RV2;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRjj4j"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@.@4:.44:4(:4d.:U:+cAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#HRjfd.:cndg(g.q.Rp)a qu_q NXR._c4wj4wRHbslpRAQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_H0oDF
+4gSlOFL0Fk=4kM_o0Fo_DCOMFk0_Cs#DHo0gF4Rdfmjn.c:gd(gR..
+NS80=NN0oFoDOC_F0kMC#s_H4o_4QRf4n4(j(:d6.ddR8
+SNL0N=o0Fo_DCOMFk0_Cs#_Ho4f.RQ(44ndj:(jnn.SR
+8NN0OM=k4F_0oCoD_kOFMs0C_o#HD40FgR_6fcQ.(:ccd(((g
+.RS08NNk8=M04_FDooCF_OkCM0sH_#oFD04fjRQd.g(dn:(6gj.
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!jy55d?.:?V!?54Vj:5?0V:2:225V.?:202RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"VV4j
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@.4:4.::(4:4.dcU+:QAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#o.Rf6cn4:6d(.Rgjq pa)qq_uR XN4.c_4dwwsRbHAlRpiQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#DHo0jF4
+FSOlkLF0M=k4F_0oCoD_kOFMs0C_o#HD40FjmRf.46nc(:d6j.gR8
+SNN0N=o0Fo_DCOMFk0_Cs#_HoUQRf4n4(j(:djj(jR8
+SNL0N=o0Fo_DCOMFk0_Cs#_HogQRf4n4(j(:d4jg(R8
+SNO0N=o0Fo_DCOMFk0_Cs#_Ho4fjRQ(44ndj:(nd4jSR
+8NN08M=k4F_0oCoD_kOFMs0C_o#HD(0FR.fQcc(c:cd(cR.j;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5d55.?4:?V002:2.:5??54Vj:5?0V:202:2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bldR"V"4V;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+fsRd4jc(g:ddnd6Raqp _)qqXu RcN.4j_jUbjRsRHlLC_MGj0___oj6O
+SFFlLkL0=_GMC0__jo6j_Rdfmj(c4:ddgdR6n
+NS80=NNEM_CNCLD_o#HR4fQ4j(n:UdU(Rnn
+NS80=NL0oFoD#C_HfoRQ(44ndj:gdjjnSR
+8NN0O_=LM0CG_oj_jR_dfjQ.4:4.d.g4.
+nRS08NNk8=MPg__NCMLDDC0RFgfgQ.6:c(dcg.U;nR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,j5Vd?:.!5??545Vj?::02002:2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"j"Uj;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4.(d(:U(:(:+njc):7q1W_T)zq C_MG30\k(M4_CP_MDNLC.RfncU(:ddgdR6nq pa)qq_uR XNd44_UUjjsRbH7lR)_qW1qTz)M _C\G034kM(__PCLMND0CDFS(
+OLFlF=k0k(M4_CP_MDNLCFD0(mRf.(nUcg:ddnd6R8
+SNN0N=MDHCF_OkCM0sH_#oR_nf4Q4(:njd(UUn
+nRS08NNDL=H_MCOMFk0_Cs#_Ho(QRf4n4(jg:djnjdR8
+SNO0N=4kM(__PCLMND0CDFf6RQ(.ccdc:g.4.n
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y.!5??545Vj?::02002:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRj"UU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4(.:nU:d::(nncj+:q7)WT_1z q)_GMC0k\3MP6__NCMLRDCf...cd.:g6ddnpRqaq )_ quX.RNcU4_URUjblsHRq7)WT_1z q)_GMC0k\3MP6__NCMLDDC0
+F(SlOFL0Fk=6kM_CP_MDNLCFD0(mRf.c...g:ddnd6R8
+SNN0N=DOFk_lMOMFk0_Cs#_HonQRf4n4(jU:dUn(nR8
+SNL0N=DOFk_lMOMFk0_Cs#_Ho(QRf4n4(jg:djnjdR8
+SNO0N=6kM_CP_MDNLCFD06R_jfjQ.4:4.d.g4.
+nRS08NNk8=MP6__NCMLDDC0RFdfjQ.4:4.dcg.U;nR
+RobOLFlF;k0
+Rob8NN0No;
+bNR80;NL
+Rob8NN0Oo;
+bNR80;N8
+RA44y,4!?5dj4*5??5jV2:0::025f.?j2:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRU"UU;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4(.:(U:d::((ncj+:q7)WT_1z q)_GMC0k\3M_4(PM_CNCLDRjf.g:U.dcU(nqcRp)a qu_q NXR._c4w   RHbsl)R7q1W_T)zq C_MG30\k(M4_CP_MDNLCFD06O
+SFFlLkk0=M_4(PM_CNCLDD60FR.fmj.gU:(dUcRnc
+NS80=NNDCHM_kOFMs0C_o#H_fcRQ(44ndj:U(.UcSR
+8NN0LH=DMOC_F0kMC#s_H6o_R4fQ4j(n:cdU4Rcc
+NS80=NODCHM_kOFMs0C_o#H_fdRQ(44ndj:Ud6dcSR
+8NN08M=k4P(__NCMLDDC0f.RQ4.j4d.:Ugn6c
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!4y55d?.:?Vj4*5?5V:j:?V0222:2fjRN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"CVCC
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@.(:(::4j(d(:.:+c7W)q_z1Tq_) M0CG\M3k4Pd__NCMLRDCfg.jUd.:g6ddnpRqaq )_ quX.RNc44_4Rj4blsHRq7)WT_1z q)_GMC0k\3M_4dPM_CNCLDDU0F
+FSOlkLF0M=k4Pd__NCMLDDC0RFUfjm.g:U.ddgd6
+nRS08NNDN=H_MCOMFk0_Cs#_HoUQRf4n4(jU:dUn(nR8
+SNL0N=MDHCF_OkCM0sH_#oR_(f4Q4(:njdjgjd
+nRS08NNDO=H_MCOMFk0_Cs#_HonQRf4n4(jg:d4n..R8
+SN80N=4kMd__PCLMND0CDFNU_R.fQj.44:.dgcRUn;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+AR44,y?5dj4*5?5V:j:?V0:225V.?:2fj2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboR4"4j;4"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4(.:(j:4::((dc.+:q7)WT_1z q)_GMC0k\3M_4dPM_CNCLDRnf4d:6jd(UU.qcRp)a qu_q NXR._c4jw4wRHbsl)R7q1W_T)zq C_MG30\kdM4_CP_MDNLCFD0U
+_NSlOFL0Fk=4kMd__PCLMND0CDFNU_R4fmnjd6:UdU(R.c
+NS80=NNDCHM_kOFMs0C_o#H_f.RQ(44ndj:Udc4cSR
+8NN0LH=DMOC_F0kMC#s_Hco_R4fQ4j(n:6dUcRjc
+NS80=NODCHM_kOFMs0C_o#H_fdRQ(44ndj:Ugn6cSR
+8NN08H=DMOC_F0kMC#s_H6o_R4fQ4j(n:(dUUR6c;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5d5V.?:?54Vj:5?0V:2:220;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"4"VV;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4.(4n:jn:(:+d.c):7q1W_T)zq C_MG30\k_MgPM_CNCLDR6f.(:U6d(UU.qcRp)a qu_q NXR._c4jj4jRHbsl)R7q1W_T)zq C_MG30\k_MgPM_CNCLDDg0F
+FSOlkLF0M=kg__PCLMND0CDFfgRm(.6Ud6:U.U(cSR
+8NN0NF=ODMkl_kOFMs0C_o#H_f(RQ(44ndj:Udc4cSR
+8NN0LF=ODMkl_kOFMs0C_o#H_fURQ(44ndj:Uj6ccSR
+8NN0OF=ODMkl_kOFMs0C_o#H_fgRQ(44ndj:Ugn6cSR
+8NN08M=kg__PCLMND0CDFfnRQg.c4d6:U6(Uc
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd.?5?5V:4:?V5Vj?:20222:VRN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"jj4j
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+R4@@.4:4.::(4:4.dcU+:QAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#o.Rfj.gU:cd(jRdjq pa)qq_uR XN4.c_(wwwsRbHAlRpiQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#DHo0gF4_S6
+OLFlF=k0k_M40oFoDOC_F0kMC#s_H0oDF_4g6mRf.Ujg.(:dcjjdR8
+SNN0N=o0Fo_DCOMFk0_Cs#_Ho4fdRQ(44ndj:ncgcjSR
+8NN0LF=0oCoD_kOFMs0C_o#H_R4cf4Q4(:njd((j4
+jRS08NN0O=FDooCF_OkCM0sH_#o6_4R4fQ4j(n:4d(gRjj
+NS80=N8k_M40oFoDOC_F0kMC#s_H0oDF_4gcQRf.4j4.(:ddj4nRo;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4j5y!d:?V!?5.554?j:?V002:22:02
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRV"V(;V"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44.:4(.::.44:+dUcp:AQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_HfoR.Ujg.(:djUn6Raqp _)qqXu RcN.44_jjbjRsRHlAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#HD(0F
+FSOlkLF0M=k4F_0oCoD_kOFMs0C_o#HD(0FR.fmj.gU:jd(nR6U
+NS80=NN0oFoDOC_F0kMC#s_H.o_R4fQ4j(n:ndnjRnU
+NS80=NL0oFoDOC_F0kMC#s_Hdo_R4fQ4j(n:(dndRdU
+NS80=NO0oFoDOC_F0kMC#s_Hco_R4fQ4j(n:Udn6R.U
+NS80=N8k_M40oFoDOC_F0kMC#s_H0oDFc(_R.fQj.44:gdn(RUU;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5d5V.?:?54Vj:5?0V:2:22V;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"4"jj;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4.(4n:jn:(:+d.c):7q1W_T)zq C_MG30\k_MgPM_CNCLDR4f.4:6ddjUcgq.Rp)a qu_q NXR._c4w4wjRHbsl)R7q1W_T)zq C_MG30\k_MgPM_CNCLDDn0F
+FSOlkLF0M=kg__PCLMND0CDFfnRm4.46dd:Ugcj.SR
+8NN0NF=ODMkl_kOFMs0C_o#H_f.RQ(44ndj:(jg6.SR
+8NN0LF=ODMkl_kOFMs0C_o#H_fcRQ(44ndj:U(j(.SR
+8NN0OF=ODMkl_kOFMs0C_o#H_fdRQ(44ndj:Un4g.SR
+8NN08M=k4Oj_FlDkMF_OkCM0sH_#onD0_f4RQ..jUdd:U.d..
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!jy5Vd?:.!5?5V:4:?V5Vj?:2022;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0blVR"V"j4;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4.(dn:Un:(:+njc):7q1W_T)zq C_MG30\k_M6PM_CNCLDRnf4d:6jd(UU.qcRp)a qu_q NXR._c4wj jRHbsl)R7q1W_T)zq C_MG30\k_M6PM_CNCLDDd0F
+FSOlkLF0M=k6__PCLMND0CDFfdRmd4n6dj:U.U(cSR
+8NN0NF=ODMkl_kOFMs0C_o#H_f4RQ(44ndj:Udc4cSR
+8NN0LF=ODMkl_kOFMs0C_o#H_f.RQ(44ndj:Uj6ccSR
+8NN0OF=ODMkl_kOFMs0C_o#H_fjRQ(44ndj:Ugn6cSR
+8NN08F=ODMkl_kOFMs0C_o#H_fdRQ(44ndj:U6(Uc
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,!jy55d?.:?V5V4?:?5jV2:0202:2
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRC"Vj;j"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s4Rfnjd6:Ud(nRn.q pa)qq_uR XNd44_ww  sRbH0lRFDooCH_#o__jj__jo.4_
+FSOlkLF0F=0oCoD_o#H_jj__oj_4R_.fnm4d:6jdn(Un
+.RS08NN0N=FDooCF_OkCM0sH_#o._.R4fQ4j(n:cd(jR(.
+NS80=NL0oFoDOC_F0kMC#s_H.o_dQRf4n4(j(:d6.dcR8
+SNO0N=o0Fo_DCOMFk0_Cs#_Ho.fcRQ(44ndj:(dn6.
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y.!5?5V:4:?V5Vj?:2022
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRC"VV;C"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@44.:4(.::.44:+dUcp:AQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_HfoR46ndjn:dgUdgRaqp _)qqXu RcN.4w_(wbwRsRHlAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#HD40Fg
+_cSlOFL0Fk=4kM_o0Fo_DCOMFk0_Cs#DHo0gF4_fcRmd4n6dj:nggdUSR
+8NN0NF=0oCoD_kOFMs0C_o#H_R4nf4Q4(:njdUncj
+URS08NN0L=FDooCF_OkCM0sH_#o(_4R4fQ4j(n:ndnjR(U
+NS80=NO0oFoDOC_F0kMC#s_H4o_UQRf4n4(jn:d(U.nR8
+SN80N=o0Fo_DCOMFk0_Cs#_Ho4fgRQ(44ndj:n.U6U
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;b
+oR08NN
+8;A44R,5jyd.?5??545Vj?::02002:22:0RN;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"V(VV
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo's;
+Rnf4d:6jdcU(nqcRp)a qu_q NXR._c4jcjjRHbsl_RLM0CG_oj_j
+_dSlOFL0Fk=ML_C_G0jj_o_fdRmd4n6dj:Un(ccSR
+8NN0NH=DMOC_F0kMC#s_HUo_R4fQ4j(n:.dUUR(c
+NS80=NLPM_CNCLD_o#HR4fQ4j(n:cdU4Rcc
+NS80=NOOkFDlOM_F0kMC#s_HUo_R4fQ4j(n:6dUdRdc
+NS80=N8OkFDlOM_F0kMC#s_Hgo_R4fQ4j(n:ndU6Rgc;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;b
+oR08NN
+O;o8bRN80N;4
+ARj4,y?5dV.:5?5V:4j?5?0V:22:V2;2R
+RNH38lFC;R4
+RNH3NCMLRDCjN;
+HDR3ko0bljR"j"jc;H
+NRk3#lk_D0HO_M0bkR
+4;NsHRCFoHMPR'o;N'
+@sR@:4.4:4.(4:4.U:d+Ac:piQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHofd4n6dj:n.njnpRqaq )_ quX.RNcj4_jRj4blsHRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#oFD0(
+_cSlOFL0Fk=4kM_o0Fo_DCOMFk0_Cs#DHo0_F(cmRf46ndjn:dnnj.R8
+SNN0N=o0Fo_DCOMFk0_Cs#_Ho4QRf4n4(jn:d4ncdR8
+SNL0N=o0Fo_DCOMFk0_Cs#_Ho6QRf4n4(jn:d.n(jR8
+SNO0N=o0Fo_DCOMFk0_Cs#_HonQRf4n4(jn:ddnUgR8
+SN80N=o0Fo_DCOMFk0_Cs#_Ho(QRf4n4(jn:d6n46Ro;
+bFROlkLF0o;
+bNR80;NN
+Rob8NN0Lo;
+bNR80;NO
+Rob8NN08A;
+4,R4jdy5?5V:.:?V5V4?:?5jV2:02R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRj4jj"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@.@4::((d(U:(j:n+7c:)_qW1qTz)M _C\G034kM(__PCLMNDfCR46ndjU:d..UdRaqp _)qqXu R4N4d _wwb RsRHl7W)q_z1Tq_) M0CG\M3k4P(__NCMLDDC0S.
+OLFlF=k0k(M4_CP_MDNLC.D0R4fmnjd6:.dUURd.
+NS80=NNDCHM_kOFMs0C_o#H_f4RQ(44ndj:(cU..SR
+8NN0LH=DMOC_F0kMC#s_H.o_R4fQ4j(n:gd(6R4.
+NS80=NODCHM_kOFMs0C_o#H_fjRQ(44ndj:Ujj(.
+R;oObRFFlLk
+0;o8bRNN0N;b
+oR08NN
+L;o8bRNO0N;4
+ARj4,y.!5?5V:4:?V5Vj?:2022
+R;N3HRlCF8R
+4;N3HRCLMNDjCR;H
+NRk3D0lboRC"VV;C"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';s@R@4(.:nU:d::(nncj+:q7)WT_1z q)_GMC0k\3MP6__NCMLRDCfd4n6dj:Un(ccpRqaq )_ quXcRNg _  b RsRHl7W)q_z1Tq_) M0CG\M3k6__PCLMND0CDFj6_
+FSOlkLF0M=k6__PCLMND0CDFj6_R4fmnjd6:(dUcRnc
+NS80=NNOkFDlOM_F0kMC#s_H6o_R4fQ4j(n:.dUUR(c
+NS80=NLOkFDlOM_F0kMC#s_Hco_R4fQ4j(n:cdU4Rcc;b
+oRlOFL0Fk;b
+oR08NN
+N;o8bRNL0N;4
+ARj4,y4!5?5V:j:?V0R22;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRCCCC"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;R
+s@.@4:n44::.g4:4n6c.+:.kM_o0Fo_DCOMFk0_CsM0CGr:4gjf9R4jU.jg:djU.dRaqp _)qqXu R.N6_6666U_UUbURsRHlk_M.0oFoDOC_F0kMCMs_CrG0jS9
+O0Fk=.kM_o0Fo_DCOMFk0_CsM0CG_kOF09rjR4fmUj.j:jdg.RdU
+NS80=NN0oFoDOC_F0kMC#s_Hjo_R4fQ4j(n:ddU(RgU
+NS80=NL0oFoDOC_F0kMC#s_H4o_R4fQ4j(n:ddU(RgU;b
+oRkOF0o;
+bNR80;NN
+Rob8NN0LA;
+4,R4jjy5?0V:2
+R;A4.R,!jy554?j:?V002:2
+R;N3HRlCF8R
+.;N3HRCLMNDjCR;H
+NRk3D0lboR6"6U;U"
+RNH3l#k_0DkOM_HbRk04N;
+HCRsoMHFRo'PN
+';b@R@4::44::4.:+.V#NDCjRf:VjRNCD#RDVN#tCRh
+7;NsHRCFoHMPR'o;N'
+fbRjR:j0CskRBeBRBeB; 
+@
+ftell;
+@E@MR@dn:U::(dgU:RFRIsP        RoLNRCPEN;P
+NR#3H_k#FsROC4N;
+PDR3HMMCFgRc4N;
+PHR3#8PED;R4
+RNP3_H#PDE8R
+4;N3PR#_$MVblNRF"Is\   R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNN_b       E3P8\\"MsIF     "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_0CM38PE\M"\I    FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oNN3sOP\E8"I\MFRs       \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sLO/F8Ns_H8sP_CsC3M0P\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sLO/F8Ns_H8sP_CsN3sOP\E8"I\MFRs \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/oON_FsM0FCD_MP03E"8\\FMIs\  R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNF_OMF0sDs_NOE3P8\\"MsIF   "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsC3M0P\E8"I\MFRs  \E"/F#lC/sLkL/NM8CH8D8k/H_8C4Ln/#/bc7HC#oDMVF#I/sPO/o8N_sCHPss_NOE3P8\\"M
+";N#PR$bM_sCC#sRPC4N;
+P$R#MN_bs00HHRFM"oVbNu= 461.w(Bn."-n;P
+NRD3VF#I_0CN0RN{
+PVR3D_FIbbsF#b_NbCDH8;R4
+RNP3FVDIF_DF_b#L       sFCjMR;P
+NRD3VFkI_MkHJHCVH8;R4
+RNP3FVDI0_#Fsb_CHk#Mlo_FC8oM;R4
+RNP3FVDIC_8ObFlR
+4;N3PRVIDF_blNbRC84N;
+PVR3D_FIEsHCNEsO$C_s#s0FC48R;P
+NRD3VFNI_Vs0C_oDFH#O_$EM0C##HR
+4;}N;
+PlR3NFb_bF0HM"#RyamuQ1mh:|\"-Fbs8b0$C$|#MHbDVb$_s-F|CsMO$|b0-Fbs|N-bs 0|u.416nwB(n.-|N-lGMVN|j6j|H-bb-C|VoHGN80COODF   d#||H-VGMoCC0sNCD8OF#O  |-d|#_$MNCD0slN_FD8C||FM-b0F_PDCClD_FD8kCo|PNl|-N-b|PcJl4V|-s|CJ.463(-6|#|NbfQQ7)o/PNN3#b8|-COPHCLDH|b/F0$/#MHbDVV$/b_oNOj.jg/jnD/HLNCD0sNN/Ds0CN|3P-P8CHDOCH/L|F/b0#b$MD$HV/oVbN._OjjjgnH/DLD/N0NCs/NJks#0k_gQQj0/#sHN0G|3P-P8CHDOCH/L|F/b0#b$MD$HV/oVbN._OjjjgnH/DLD/N0NCs/NJks#0k_gQQjD/N0NCs_3lVP8|-COPHCLDH|b/F0$/#MHbDVV$/b_oNOj.jg/jnD/HLNCD0sJN/k0NskQ#_Q/gjNCD0sDN_bPl3|C-8PCHOD|HL/0Fb/M#$bVDH$b/VoON_.gjjjDn/HNL/Ds0CNk/JNks0#Q_QgNj/Ds0CNs_bH0lHH#PC3-P|#|NbfQQ7)o/PNN3#b\\"MzyB)":\/0Fb/M#$bVDH$b/VoON_.gjjjDn/HGMk/Nl_Ds0CN:\"4d.cjjdn(Mg\"N;
+POR3FHlbDbC_F0HM_lMNCPR'o;N'
+RNP3VsCFHD8MPo_H_CIH48R;P
+NR83OLN_#P{CR
+RNP4jjjRo"PNC|8VCHM_FODO-      RHCM0sDMNRn{RR{}RROb:Db _H}MRRN-Ml{CRRNPo|      OD_MbHR-}Rs_CVsCH#Rj{R3jjjjRjj}sR-CVV_NRDD{gR43jUngR6j}kR-MsOC0MNH0{$RRjj3jjjjjRR}-sbCHRF8{gRd34(.gRjj}OR-D     FOoksFbRR{QCMVs8sC_     ODoksFbR_j}sR-HR#C{3Rjjjjjj}jRRN-VD{DRR34gUgnj6}jR"N;
+P$R#MC_sVCCsM_OCOODF   
+R{NPPRoON|Db   _H{MR
+RNP3M#$_VsCOODF        $_0bnCR;;
+}
+
+};}N;
+PMR3NNsC8FCOl4bR;P
+NRC38ObFl_HbslN#_sRCN4N;
+PNR3DOlN_0bNEF_OkRM04N;
+PbR3N_0EORM04N;
+PFR3Lb#F0M8FC;R4
+RNP3l0HCN#0l4bR..6(nj6d4N;
+POR3bE_OC#O    k-lR.c(ncnjj6N;
+P3R3MND_DMDkHCJkR
+4;N3PR3M7F0HusMs0uFsbC0C$v#o#NCj#R;P
+NR033NC_M0C_8D_N$FjMR;P
+NRa33NMQoFasCsaCC$hbCCC07DRN$jN;
+P3R3a#Nq#Cklp8FN1CEHDM8Ho;Rj
+RNP3D3M_bsCDCNO0ECObRIs4N;
+P3R3aNNBDFOuss07H#PCR
+j;N3PR3BaNNuDOF7s0C$DNR
+j;N3PR3zaN#lCQbPsFC;Rj
+RNP3N3az)#CFCk0R
+j;N3PR3sVFONC_kO0FF0M#s;Rj
+RNP3D3M_HIs0NC_kO0FF0M#s8_#O;R4
+RNP3F3VssIN8M_NMNF00NC_kO0FF0M#s;R4
+RNP3D3M_0NkFMOF#R0sjN;
+P3R3VOFsCk_N0FFOMs#0_DsCNHG_F;Rj
+RNP3#3b_#8CH_oM#00NC;Rj
+RNP3_kH0HHlM#o_OCNDRj4jj
+j;N3PRE0N#HMlHo;R4
+RNP3_kHM#D_D   NORcdcn;64
+@HR@cn:.::(c4.:dD:O    H_bMDRO H_bMN;
+HsR30FD_sMHoNRlC"      OD_MbH"N;
+HOR38{LR
+RNH4jjjRN{
+HLRF[0CORN{
+HRRj4};
+;;
+}
+
+};N3HRO_8LO{bR
+RNHj6R"dndj_(4U_gncjnj_c.64_d.(c6g_gnd4_n4...c_U_.n4.jU(._46_nc4c4cn4_((nc_..g4"};
+;H
+NRN3E#L_MN_O   NR004N;
+HbR3FNs0Ds8HRM"H"o;
+bDRO   H_bMN;
+bOR38{LR
+RNb4jjjRN{
+bLRF[0CORN{
+bRRj4};
+;;
+}
+
+};N3bRHo#_N80C_FODO4   R;b
+NR#3H_FODO4    R;b
+NRD3OFRO       "NPo|   OD_MbH"H;
+Rn@@::cd(d:c::46sCC#0H_bMCRs#_C0b;HM
+RNH3Ds0_HFsolMNCsR"C0#C_MbH"N;
+HbR3FNs0Ds8HRM"H"F;
+Rn@@::c6(6:c::4.sbj_HsMRjH_bMN;
+HsR30FD_sMHoNRlC"_sjb"HM;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::c64c6:6j:.:_s4bRHMsb4_H
+M;N3HRs_0DFosHMCNlR4"s_MbH"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@n6:c::.dc.6:U.:s_MbHR_s.b;HM
+RNH3Ds0_HFsolMNCsR".H_bM
+";N3HRb0FsNHD8sFR"k;0"
+@FR@cn:n::(c4n:.j:o_MbHR_ojb;HM
+RNH3Ds0_HFsolMNCoR"jH_bM
+";N3HRb0FsNHD8sFR"k;0"
+@FR@cn:n6:4::cn.oj:4H_bM4Ro_MbH;H
+NR03sDs_FHNoMl"CRob4_H;M"
+RNH3sbF08NDH"sRF"k0;R
+F@:@nc.n:dn:c::.Uob._HoMR.H_bMN;
+HsR30FD_sMHoNRlC"_o.b"HM;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::c(((:c::4.Lbj_HLMRjH_bMN;
+HsR30FD_sMHoNRlC"_Ljb"HM;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::c(4c6:(j:.:_L4bRHMLb4_H
+M;N3HRs_0DFosHMCNlR4"L_MbH"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@nU:c:c(:U6:4:$E#MbO_HEMR#O$M_MbH;H
+NR03sDs_FHNoMl"CREM#$OH_bM
+";N3HRb0FsNHD8sFR"k;0"
+@FR@cn:g::(c4g:6#:P$_MObRHMPM#$OH_bMN;
+HsR30FD_sMHoNRlC"$P#MbO_H;M"
+RNH3sbF08NDH"sRF"k0;R
+F@:@n6(4:::644#g:CMPC_o#C_MbHr:4dj#9RCMPC_o#C_MbHr:4dj#9RCMPC_o#C_MbHr:4dj
+9;N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::6d(d:6::4d8#_E$RMO8#_E$;MO
+RNH3Ds0_HFsolMNC8R"_$E#M;O"
+RNH3sbF08NDH"sRF"k0;R
+F@:@n64d:nd:6::..8#_P$RMO8#_P$;MO
+RNH3Ds0_HFsolMNC8R"_$P#M;O"
+RNH3sbF08NDH"sRF"k0;R
+F@:@n6(c:::6c.8.:_DOFk_lMOMFk0rCsg9:jRO8_FlDkMF_OkCM0s:rgj89R_DOFk_lMOMFk0rCsg9:j;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@n6:6:6(:6j:.:D8_H_MCOMFk0rCsU9:jRD8_H_MCOMFk0rCsU9:jRD8_H_MCOMFk0rCsU9:j;H
+NR03sDs_FHNoMl"CR8H_DMOC_F0kMC;s"
+RNH3sbF08NDH"sRF"k0;R
+F@:@n6(n:::6n.8n:_0#C_DOFk_lMOMFk0RCs8C_#0F_ODMkl_kOFMs0C;H
+NR03sDs_FHNoMl"CR8C_#0F_ODMkl_kOFMs0C"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@nn:6::.g6cn:n_:8#_C0DCHM_kOFMs0CR#8_CD0_H_MCOMFk0;Cs
+RNH3Ds0_HFsolMNC8R"_0#C_MDHCF_OkCM0s
+";N3HRb0FsNHD8sFR"k;0"
+@FR@6n:(::(6.(:4_:8EM#$OF_OkCM0s:rgj89R_$E#MOO_F0kMCgsr:Rj98#_E$_MOOMFk0rCsg9:j;H
+NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::6U(U:6::.48#_P$_MOOMFk0rCsg9:jRP8_#O$M_kOFMs0Crjg:9_R8PM#$OF_OkCM0s:rgj
+9;N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s
+";N3HRb0FsNHD8sFR"k;0"
+@FR@6n:g::(6.g:6_:8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sN;
+HsR30FD_sMHoNRlC"#8_CE0_#O$M_kOFMs0C"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@ng:6::.U6cg:n_:8#_C0PM#$OF_OkCM0s_R8#_C0PM#$OF_OkCM0sN;
+HsR30FD_sMHoNRlC"#8_CP0_#O$M_kOFMs0C"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@nj:n:n(:jn:4:E8__NCMLRDC8__ECLMND
+C;N3HRs_0DFosHMCNlR_"8EM_CNCLD"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@n4:n:n(:4n:4:P8__NCMLRDC8__PCLMND
+C;N3HRs_0DFosHMCNlR_"8PM_CNCLD"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@n.:n:n(:.::g8R_s8;_s
+RNH3Ds0_HFsolMNC8R"_;s"
+RNH3sbF08NDH"sRF"k0;R
+F@:@nn4.:..:n::4c8R_o8;_o
+RNH3Ds0_HFsolMNC8R"_;o"
+RNH3sbF08NDH"sRF"k0;R
+F@:@nn4.:(.:n::4g8R_L8;_L
+RNH3Ds0_HFsolMNC8R"_;L"
+RNH3sbF08NDH"sRF"k0;R
+F@:@nn(d:::nd48g:_$E#M#O_0CN0rnj:9_R8EM#$O0_#Nr0Cj9:nRE8_#O$M_N#00jCr:;n9
+RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"N;
+HbR3FNs0Ds8HRk"F0
+";F@R@nc:n:n(:cg:4:P8_#O$M_N#00jCr:Rn98#_P$_MO#00NC:rjn89R_$P#M#O_0CN0rnj:9N;
+HsR30FD_sMHoNRlC"P8_#O$M_N#00;C"
+RNH3sbF08NDH"sRF"k0;R
+F@:@nn(6:::n648(:_N#00OC_D8    R_N#00OC_D
+       ;N3HRs_0DFosHMCNlR_"8#00NCD_O   
+";N3HRb0FsNHD8sFR"k;0"
+@FR@nn:n::(n4n:c_:80oFoD8CR_o0Fo;DC
+RNH3Ds0_HFsolMNC8R"_o0Fo"DC;H
+NRF3bsD0N8RHs"0Fk"F;
+Rn@@::n(((:n::..8F_0oCoD_kOFMs0Cr:.cj89R_o0Fo_DCOMFk0rCs.jc:9_R80oFoDOC_F0kMC.src9:j;H
+NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N;
+HbR3FNs0Ds8HRk"F0
+";oOLRDb       _H
+M;N3LROR8L{L
+NRj4jj
+R{NFLRLO[C0
+R{NjLRR
+4;}};
+;;
+}
+RNL#_$MOODF    M_HVsCsC48R;L
+NRD3OFRO       "NPo|   OD_MbH"N;
+LOR3D  FO_oC8CsR"H"#C;M
+oRdt_dN;
+MCRsoMHFRo'PN
+';N3MRHO#_D    FOR
+4;N3MRHo#_N80C_FODO4   R;M
+oR     OD_MbH_
+O;N3MROODF     PR"oON|Db       _H;M"
+RNM3FODOC      _8RoC"#sHC
+";N3MRHO#_D    FOR
+4;N3MRHo#_N80C_FODO4   R;M
+oR     OD_MbH;M
+NR#3H_FODO4    R;M
+NR#3H_0oNCO8_D FOR
+4;b@R@4::44::4.:+.0CskR:fjjsR0k0CRsRkCe;BB
+RNHsHCoF'MRP'oN;R
+b@:@44::44+:..N:VDR#Cfjj:RDVN#VCRNCD#R7th;H
+NRosCHRFM'NPo's;
+R4@@j4:4d::c4:4d6D:8$F_OkCM0s:r4jf9R4j(n:(dcnRj4q pa)qq_uR XV(VdjU_qqbURsRHl8_D$OMFk0rCs4S9
+sFCok80=DO$_F0kMC4sr9mRf4j(n:(dcnRj4
+DSO    D=O     H_bM
+_OS08NNsN=C0#C_MbH_SO
+8NN0LD=8$F_OkCM0s9rjR4fQ4j(n:(dg4R4U
+NS80=NO8_D$OMFk0rCs4f9RQ(44ndj:g4(4U
+R;osbRCkoF0o;
+bDRO   N;
+b#R3$NM_HD_OFRO        {b
+NRNPo| OD_MbHRN{
+bHRs#4CR;;
+}
+
+};N3bRHo#_N80C_FODO4   R;b
+NR#3H_FODO4    R;b
+oR08NN
+L;o8bRNO0N;4
+AR44,y.!5?5j*j:?V052:4j?f:202RN;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CR8_D$OMFk0"Cs;H
+NR$3#MH_N_FODO{        R
+RNHP|oNO_D     bRHM{H
+NR#sHC;R4
+
+};}N;
+HkR3MNVsOM_H8RCG4N;
+HNR3D_#0OMEHR;dg
+RNH3#ND0D_0C4MR;H
+NRD3N#s0_CO[C0RC84N;
+HlR3FR8C4N;
+HCR3MDNLC;Rj
+RNH30DkbRol"NNUU
+";N3HR#_klDOk0_bHMk40R;H
+NRosCHRFM'NPo'N;
+HOR3D  FORo"PND|O      H_bM
+";s@R@44j:4cd::d44:86:DO$_F0kMC4sr:Rj9fn4(jc:dn4c4Raqp _)qqXu RdVV(qj_.Rq.blsHR$8D_kOFMs0Cr
+j9SosCF=k08_D$OMFk0rCsjf9Rmn4(jc:dn4c4RO
+SDO    =Db     _HOM_
+NS80=NNsCC#0H_bM
+_OS08NN8L=DO$_F0kMCjsr9QRf4n4(jg:d(U44R8
+SNO0N=$8D_kOFMs0CrR49f4Q4(:njd4g(4;UR
+RobsFCok
+0;oObRD
+       ;N3bR#_$MNOH_D  FORN{
+boRPND|O       H_bM
+R{NsbRHR#C4};
+;;
+}
+RNb3_H#oCN08D_OFRO     4N;
+bHR3#D_OFRO    4o;
+bNR80;NL
+Rob8NN0OA;
+4,R445y!.*?j5Vj?::02!?54Vf:!jR22;H
+NRM#$_Cbs#PCsC;R4
+RNH3Ds0_HFsolMNC8R"DO$_F0kMC;s"
+RNH3M#$__NHOODF        
+R{NPHRoON|Db   _H{MR
+RNHsCH#R
+4;}};
+;H
+NRM3kVOsN_8HMCjGR;H
+NRD3N#O0_ERHMd
+U;N3HRN0D#_C0DM;R4
+RNH3#ND0C_s[0COC48R;H
+NRF3l84CR;H
+NRM3CNCLDR
+j;N3HRDbk0o"lRN..N"N;
+H#R3kDl_k_0OHkMb0;R4
+RNHsHCoF'MRP'oN;H
+NRD3OFRO       "NPo|   OD_MbH"s;
+Rn@@::cd(d:c::46sCC#0H_bMjRf:vjR z)B)pY_Q#AR00sNHHG_Fu_mabjRsRHlsCC#0H_bMM_H
+NSb8=HFsCC#0H_bMO
+SFFlLks0=C0#C_MbH_SO
+FtC=h
+7;N3HRb0FsNHD8sHR"M
+";N3HRs_0DFosHMCNlRC"s#_C0b"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8RM"Hb"k0;H
+NRosCHRFM'NPo's;
+Rn@@::c.(.:c::4dO_D    bRHMfjj:R)v BYz)_ApQRs#0NG0H__HFmjuaRHbslDRO    H_bMM_H
+NSb8=HFO_D     b
+HMSlOFL0Fk=    OD_MbH_SO
+FtC=h
+7;N3HRb0FsNHD8sHR"M
+";N3HRE_N#MOLN 0_N0;R4
+RNH3LO8_ROb{H
+NR"jR6jddnU_4(c_ng_jjn4c6.(_.d_cg64gdn._4n_.c.nU._U4j.4(_.c6n_c44c(n_4_(cn4.g.
+";}N;
+HsR30FD_sMHoNRlC"      OD_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCHR"M0bk"N;
+H#R3$OM_D      FO_8bNR
+4;NsHRCFoHMPR'o;N'
+RNH3FODOo      _NM0Ho;R4
+@sR@nn:(::(n.(:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k.0rcS9
+bHN8F_=80oFoDOC_F0kMC.srcS9
+8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.orc
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:n:n(:(.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0dr.9b
+SNF8H=08_FDooCF_OkCM0sdr.98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#odr.9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::n(((:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr9..
+NSb8=HF8F_0oCoD_kOFMs0Cr9..
+NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr9..;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0.
+49S8bNH8F=_o0Fo_DCOMFk0rCs.
+49S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo.;49
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:(::(n.(:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k.0rjS9
+bHN8F_=80oFoDOC_F0kMC.srjS9
+8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.orj
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:n:n(:(.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F0gr49b
+SNF8H=08_FDooCF_OkCM0sgr498
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ogr49N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::n(((:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr94U
+NSb8=HF8F_0oCoD_kOFMs0Cr94U
+NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94U;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04
+(9S8bNH8F=_o0Fo_DCOMFk0rCs4
+(9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4;(9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:(::(n.(:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k40rnS9
+bHN8F_=80oFoDOC_F0kMC4srnS9
+8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4orn
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:n:n(:(.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F06r49b
+SNF8H=08_FDooCF_OkCM0s6r498
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o6r49N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::n(((:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr94c
+NSb8=HF8F_0oCoD_kOFMs0Cr94c
+NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94c;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04
+d9S8bNH8F=_o0Fo_DCOMFk0rCs4
+d9S08NN=HMP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4;d9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_0oCoD_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:(::(n.(:._:80oFoDOC_F0kMC.src9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R80oFoDOC_F0kMCFs_k40r.S9
+bHN8F_=80oFoDOC_F0kMC4sr.S9
+8NN0HPM=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4or.
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:n:n(:(.:.:08_FDooCF_OkCM0scr.:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCF_OkCM0sk_F04r49b
+SNF8H=08_FDooCF_OkCM0s4r498
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o4r49N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"80oFoDOC_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::n(((:n::..8F_0oCoD_kOFMs0Cr:.cjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8F_0oCoD_kOFMs0C_0Fkr94j
+NSb8=HF8F_0oCoD_kOFMs0Cr94j
+NS80MNH=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94j;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0gS9
+bHN8F_=80oFoDOC_F0kMCgsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rg;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0US9
+bHN8F_=80oFoDOC_F0kMCUsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rU;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0(S9
+bHN8F_=80oFoDOC_F0kMC(sr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r(;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0nS9
+bHN8F_=80oFoDOC_F0kMCnsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rn;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk06S9
+bHN8F_=80oFoDOC_F0kMC6sr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r6;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0cS9
+bHN8F_=80oFoDOC_F0kMCcsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rc;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0dS9
+bHN8F_=80oFoDOC_F0kMCdsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rd;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0.S9
+bHN8F_=80oFoDOC_F0kMC.sr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r.;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk04S9
+bHN8F_=80oFoDOC_F0kMC4sr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r4;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn((:::n(.8.:_o0Fo_DCOMFk0rCs.jc:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_o0Fo_DCOMFk0_CsFrk0jS9
+bHN8F_=80oFoDOC_F0kMCjsr98
+SNH0NMo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rj;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"08_FDooCF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(n:::nn48c:_o0FoRDCfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR08_FDooCk_F0b
+SNF8H=08_FDooC8
+SNH0NMo=PNF_OMF0sDM_kH003FDooCH_#oN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"80oFoD;C"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::n6(6:n::4(80_#N_0CORD    fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR#8_0CN0_   OD_0Fk
+NSb8=HF80_#N_0CO
+D      S08NN=HMtd_d;b
+oR08NN;HM
+RNb3_H#oCN08D_OFRO     4N;
+bHR3#D_OFRO    4N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8#00NCD_O 
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(c:::nc48g:_$P#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#M#O_0CN0_0Fkr
+j9S8bNH8F=_$P#M#O_0CN0r
+j9S08NN=HMP_oN8PsHCks_M3H0PM#$O0_#Nr0Cj
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$P#M#O_0CN0"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:c::(n4c:g_:8PM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$O0_#N_0CFrk04S9
+bHN8F_=8PM#$O0_#Nr0C4S9
+8NN0HPM=o8N_sCHPsM_kHP03#O$M_N#004Cr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$O0_#N"0C;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nc:n:n(:cg:4:P8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_N#00FC_k.0r9b
+SNF8H=P8_#O$M_N#00.Cr98
+SNH0NMo=PNs_8HsPC_HkM0#3P$_MO#00NC9r.;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P8_#O$M_N#00;C"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::nc(c:n::4g8#_P$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MO#00NCk_F09rd
+NSb8=HF8#_P$_MO#00NC9rd
+NS80MNH=NPo_H8sP_Csk0MH3$P#M#O_0CN0r;d9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_P$_MO#00NC
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(c:::nc48g:_$P#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#M#O_0CN0_0Fkr
+c9S8bNH8F=_$P#M#O_0CN0r
+c9S08NN=HMP_oN8PsHCks_M3H0PM#$O0_#Nr0Cc
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$P#M#O_0CN0"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:c::(n4c:g_:8PM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$O0_#N_0CFrk06S9
+bHN8F_=8PM#$O0_#Nr0C6S9
+8NN0HPM=o8N_sCHPsM_kHP03#O$M_N#006Cr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$O0_#N"0C;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nc:n:n(:cg:4:P8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_N#00FC_kn0r9b
+SNF8H=P8_#O$M_N#00nCr98
+SNH0NMo=PNs_8HsPC_HkM0#3P$_MO#00NC9rn;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P8_#O$M_N#00;C"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::nd(d:n::4g8#_E$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MO#00NCk_F09rj
+NSb8=HF8#_E$_MO#00NC9rj
+NS80MNH=NPo_H8sP_Csk0MH3$E#M#O_0CN0r;j9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_E$_MO#00NC
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(d:::nd48g:_$E#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#M#O_0CN0_0Fkr
+49S8bNH8F=_$E#M#O_0CN0r
+49S08NN=HMP_oN8PsHCks_M3H0EM#$O0_#Nr0C4
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:d::(n4d:g_:8EM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$O0_#N_0CFrk0.S9
+bHN8F_=8EM#$O0_#Nr0C.S9
+8NN0HPM=o8N_sCHPsM_kHE03#O$M_N#00.Cr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM#$O0_#N"0C;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nd:n:n(:dg:4:E8_#O$M_N#00jCr:Rn9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_N#00FC_kd0r9b
+SNF8H=E8_#O$M_N#00dCr98
+SNH0NMo=PNs_8HsPC_HkM0#3E$_MO#00NC9rd;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"E8_#O$M_N#00;C"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::nd(d:n::4g8#_E$_MO#00NC:rjnf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MO#00NCk_F09rc
+NSb8=HF8#_E$_MO#00NC9rc
+NS80MNH=NPo_H8sP_Csk0MH3$E#M#O_0CN0r;c9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_E$_MO#00NC
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(d:::nd48g:_$E#M#O_0CN0rnj:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#M#O_0CN0_0Fkr
+69S8bNH8F=_$E#M#O_0CN0r
+69S08NN=HMP_oN8PsHCks_M3H0EM#$O0_#Nr0C6
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:d::(n4d:g_:8EM#$O0_#Nr0Cj9:nR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$O0_#N_0CFrk0nS9
+bHN8F_=8EM#$O0_#Nr0CnS9
+8NN0HPM=o8N_sCHPsM_kHE03#O$M_N#00nCr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM#$O0_#N"0C;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n.:n::4(n4.:g_:8LjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_FL_kS0
+bHN8F_=8L8
+SNH0NMo=PNF_OMF0sDM_kHL03;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"L8_"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:..:4::n.48c:_foRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8__oF
+k0S8bNH8F=_So
+8NN0HPM=oON_FsM0FkD_M3H0oN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8o
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nn(.:::n.g_:8sjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_Fs_kS0
+bHN8F_=8s8
+SNH0NMo=PNF_OMF0sDM_kHs03;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"s8_"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@nn:4::(n44:n_:8PM_CNCLDR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM_CNCLD_0Fk
+NSb8=HF8__PCLMNDSC
+8NN0HPM=o8N_sCHPsM_kHP03_NCML_DC#;Ho
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8__PCLMND;C"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::nj(j:n::4n8__ECLMNDfCRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8__ECLMNDFC_kS0
+bHN8F_=8EM_CNCLD
+NS80MNH=NPo_H8sP_Csk0MH3CE_MDNLCH_#oN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM_CNCLD"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:gU:.::6gc8n:_0#C_$P#MOO_F0kMCfsRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8C_#0#_P$_MOOMFk0_CsF
+k0S8bNH8F=_0#C_$P#MOO_F0kMCSs
+8NN0HPM=o8N_sCHPsM_kH803_0#C_$P#MOO_F0kMC
+s;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_0#C_$P#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6g(g:6::.68C_#0#_E$_MOOMFk0RCsfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR#8_CE0_#O$M_kOFMs0C_0Fk
+NSb8=HF8C_#0#_E$_MOOMFk0
+CsS08NN=HMP_oN8PsHCks_M3H08C_#0#_E$_MOOMFk0;Cs
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8C_#0#_E$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nU:6:6(:U4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_kg0r9b
+SNF8H=P8_#O$M_kOFMs0Cr
+g9S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rg;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:U::(6.U:4_:8PM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOOMFk0_CsFrk0US9
+bHN8F_=8PM#$OF_OkCM0s9rU
+NS80MNH=NPo_H8sP_Csk0MH3$P#MOO_F0kMCUsr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(U:::6U.84:_$P#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_kOFMs0C_0Fkr
+(9S8bNH8F=_$P#MOO_F0kMC(sr98
+SNH0NMo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCs(
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6U(U:6::.48#_P$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$OF_OkCM0sk_F09rn
+NSb8=HF8#_P$_MOOMFk0rCsnS9
+8NN0HPM=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr;n9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_P$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nU:6:6(:U4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_k60r9b
+SNF8H=P8_#O$M_kOFMs0Cr
+69S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9r6;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:U::(6.U:4_:8PM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOOMFk0_CsFrk0cS9
+bHN8F_=8PM#$OF_OkCM0s9rc
+NS80MNH=NPo_H8sP_Csk0MH3$P#MOO_F0kMCcsr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(U:::6U.84:_$P#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP8_#O$M_kOFMs0C_0Fkr
+d9S8bNH8F=_$P#MOO_F0kMCdsr98
+SNH0NMo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCsd
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6U(U:6::.48#_P$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8PM#$OF_OkCM0sk_F09r.
+NSb8=HF8#_P$_MOOMFk0rCs.S9
+8NN0HPM=o8N_sCHPsM_kHP03#O$M_kOFMs0Cr;.9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_P$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nU:6:6(:U4:.:P8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$P#MOO_F0kMCFs_k40r9b
+SNF8H=P8_#O$M_kOFMs0Cr
+49S08NN=HMP_oN8PsHCks_M3H0PM#$OF_OkCM0s9r4;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:U::(6.U:4_:8PM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOOMFk0_CsFrk0jS9
+bHN8F_=8PM#$OF_OkCM0s9rj
+NS80MNH=NPo_H8sP_Csk0MH3$P#MOO_F0kMCjsr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$OF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6((:::6(.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr
+g9S8bNH8F=_$E#MOO_F0kMCgsr98
+SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsg
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6(((:6::.48#_E$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$OF_OkCM0sk_F09rU
+NSb8=HF8#_E$_MOOMFk0rCsUS9
+8NN0HPM=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr;U9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:6:6(:(4:.:E8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#MOO_F0kMCFs_k(0r9b
+SNF8H=E8_#O$M_kOFMs0Cr
+(9S08NN=HMP_oN8PsHCks_M3H0EM#$OF_OkCM0s9r(;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:(::(6.(:4_:8EM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOOMFk0_CsFrk0nS9
+bHN8F_=8EM#$OF_OkCM0s9rn
+NS80MNH=NPo_H8sP_Csk0MH3$E#MOO_F0kMCnsr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM#$OF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6((:::6(.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr
+69S8bNH8F=_$E#MOO_F0kMC6sr98
+SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCs6
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6(((:6::.48#_E$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$OF_OkCM0sk_F09rc
+NSb8=HF8#_E$_MOOMFk0rCscS9
+8NN0HPM=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr;c9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:6:6(:(4:.:E8_#O$M_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_$E#MOO_F0kMCFs_kd0r9b
+SNF8H=E8_#O$M_kOFMs0Cr
+d9S08NN=HMP_oN8PsHCks_M3H0EM#$OF_OkCM0s9rd;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:(::(6.(:4_:8EM#$OF_OkCM0s:rgjf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOOMFk0_CsFrk0.S9
+bHN8F_=8EM#$OF_OkCM0s9r.
+NS80MNH=NPo_H8sP_Csk0MH3$E#MOO_F0kMC.sr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM#$OF_OkCM0s
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6((:::6(.84:_$E#MOO_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRE8_#O$M_kOFMs0C_0Fkr
+49S8bNH8F=_$E#MOO_F0kMC4sr98
+SNH0NMo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCs4
+9;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6(((:6::.48#_E$_MOOMFk0rCsg9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8EM#$OF_OkCM0sk_F09rj
+NSb8=HF8#_E$_MOOMFk0rCsjS9
+8NN0HPM=o8N_sCHPsM_kHE03#O$M_kOFMs0Cr;j9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8#_E$_MOOMFk0"Cs;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nn:6::.g6cn:n_:8#_C0DCHM_kOFMs0CR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl_R8#_C0DCHM_kOFMs0C_0Fk
+NSb8=HF8C_#0H_DMOC_F0kMCSs
+8NN0HPM=o8N_sCHPsM_kHP03#O$M_N#004Cr9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8#_C0DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:n::(6.n:n_:8#_C0OkFDlOM_F0kMCfsRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8C_#0F_ODMkl_kOFMs0C_0Fk
+NSb8=HF8C_#0F_ODMkl_kOFMs0C
+NS80MNH=NPo_H8sP_Csk0MH3$E#M#O_0CN0r;49
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8C_#0F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09rU
+NSb8=HF8H_DMOC_F0kMCUsr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_HUor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09r(
+NSb8=HF8H_DMOC_F0kMC(sr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H(or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09rn
+NSb8=HF8H_DMOC_F0kMCnsr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hnor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09r6
+NSb8=HF8H_DMOC_F0kMC6sr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H6or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09rc
+NSb8=HF8H_DMOC_F0kMCcsr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hcor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09rd
+NSb8=HF8H_DMOC_F0kMCdsr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hdor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09r.
+NSb8=HF8H_DMOC_F0kMC.sr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H.or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09r4
+NSb8=HF8H_DMOC_F0kMC4sr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_H4or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:6::(6.6:j_:8DCHM_kOFMs0CrjU:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_MDHCF_OkCM0sk_F09rj
+NSb8=HF8H_DMOC_F0kMCjsr98
+SNH0NMo=PNs_8HsPC_HkM0H3DMOC_F0kMC#s_Hjor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8DCHM_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:c::(6.c:._:8OkFDlOM_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRO8_FlDkMF_OkCM0sk_F09rg
+NSb8=HF8F_ODMkl_kOFMs0Cr
+g9S08NN=HMP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hgor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8OkFDlOM_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6c(c:6::..8F_ODMkl_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_DOFk_lMOMFk0_CsFrk0US9
+bHN8F_=8OkFDlOM_F0kMCUsr98
+SNH0NMo=PNs_8HsPC_HkM0F3ODMkl_kOFMs0C_o#Hr;U9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:c::(6.c:._:8OkFDlOM_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRO8_FlDkMF_OkCM0sk_F09r(
+NSb8=HF8F_ODMkl_kOFMs0Cr
+(9S08NN=HMP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H(or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8OkFDlOM_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6c(c:6::..8F_ODMkl_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_DOFk_lMOMFk0_CsFrk0nS9
+bHN8F_=8OkFDlOM_F0kMCnsr98
+SNH0NMo=PNs_8HsPC_HkM0F3ODMkl_kOFMs0C_o#Hr;n9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:c::(6.c:._:8OkFDlOM_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRO8_FlDkMF_OkCM0sk_F09r6
+NSb8=HF8F_ODMkl_kOFMs0Cr
+69S08NN=HMP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H6or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8OkFDlOM_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6c(c:6::..8F_ODMkl_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_DOFk_lMOMFk0_CsFrk0cS9
+bHN8F_=8OkFDlOM_F0kMCcsr98
+SNH0NMo=PNs_8HsPC_HkM0F3ODMkl_kOFMs0C_o#Hr;c9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:c::(6.c:._:8OkFDlOM_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRO8_FlDkMF_OkCM0sk_F09rd
+NSb8=HF8F_ODMkl_kOFMs0Cr
+d9S08NN=HMP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_Hdor9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8OkFDlOM_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6c(c:6::..8F_ODMkl_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_DOFk_lMOMFk0_CsFrk0.S9
+bHN8F_=8OkFDlOM_F0kMC.sr98
+SNH0NMo=PNs_8HsPC_HkM0F3ODMkl_kOFMs0C_o#Hr;.9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:c::(6.c:._:8OkFDlOM_F0kMCgsr:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRO8_FlDkMF_OkCM0sk_F09r4
+NSb8=HF8F_ODMkl_kOFMs0Cr
+49S08NN=HMP_oN8PsHCks_M3H0OkFDlOM_F0kMC#s_H4or9N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8OkFDlOM_F0kMC;s"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::6c(c:6::..8F_ODMkl_kOFMs0Crjg:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH8lR_DOFk_lMOMFk0_CsFrk0jS9
+bHN8F_=8OkFDlOM_F0kMCjsr98
+SNH0NMo=PNs_8HsPC_HkM0F3ODMkl_kOFMs0C_o#Hr;j9
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR8F_ODMkl_kOFMs0C"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:dn:4::6d.8.:_$P#MfORjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_P$_MOF
+k0S8bNH8F=_$P#MSO
+8NN0HPM=o8N_sCHPsM_kHP03_M#$ON;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8PM#$O
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(d:::6d48d:_$E#MfORjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl8#_E$_MOF
+k0S8bNH8F=_$E#MSO
+8NN0HPM=o8N_sCHPsM_kHE03_M#$ON;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR_"8EM#$O
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(4:::644#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMs_0Hdr49b
+SNF8H=P#CC#M_Cbo_H4MrdS9
+8NN0HeM=B
+B;N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNH3H0sR0"3s;H"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR#CCPMC_#oH_bM
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:4::(644:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_0Fkr94.
+NSb8=HF#CCPMC_#oH_bM.r498
+SNH0NM =7p_qY)  1aC_MG30\k_Mn8_D$OMFk0_Csj;_G
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR#CCPMC_#oH_bM
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(4:::644#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMk_F04r49b
+SNF8H=P#CC#M_Cbo_H4Mr4S9
+8NN0H7M= Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0C_Gj_;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::64(4:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_HFM_k40rjS9
+bHN8FC=#P_CM#_CobrHM4
+j9S08NN=HM7q pY _)1_ aM0CG\M3knD_8$F_OkCM0s__jGN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n4:6:6(:4g:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HMFrk0gS9
+bHN8FC=#P_CM#_CobrHMgS9
+8NN0H7M= Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0C_Gj_;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::64(4:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_HFM_kU0r9b
+SNF8H=P#CC#M_Cbo_HUMr98
+SNH0NM =7p_qY)  1aC_MG30\k_Mn8_D$OMFk0_Csj;_G
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR#CCPMC_#oH_bM
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(4:::644#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMk_F09r(
+NSb8=HF#CCPMC_#oH_bM9r(
+NS80MNH=p7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCjs__
+G;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:4::(644:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_H0sr
+n9S8bNH#F=CMPC_o#C_MbHr
+n9S08NN=HMe;BB
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRs30H3R"0"sH;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@n6(4:::644#g:CMPC_o#C_MbHr:4djf9RjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHl#CCPMC_#oH_bMs_0H9r6
+NSb8=HF#CCPMC_#oH_bM9r6
+NS80MNH=BeB;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+H0R3s"HR3H0s"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::64(4:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_H0M_scHr9b
+SNF8H=P#CC#M_Cbo_HcMr98
+SNH0NMB=eBN;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";N3HR0RsH"s30H
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n4:6:6(:4g:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HM0rsHdS9
+bHN8FC=#P_CM#_CobrHMdS9
+8NN0HeM=B
+B;N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNH3H0sR0"3s;H"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CR#CCPMC_#oH_bM
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@6n:4::(644:gC:#P_CM#_CobrHM4jd:9jRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbH#lRCMPC_o#C_MbH_0Fkr
+.9S8bNH#F=CMPC_o#C_MbHr
+.9S08NN=HM7q pY _)1_ aM0CG\M3knD_8$F_OkCM0s__jGN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlRC"#P_CM#_Cob"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n4:6:6(:4g:4:P#CC#M_Cbo_H4Mrd9:jR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbslCR#P_CM#_Cob_HMFrk04S9
+bHN8FC=#P_CM#_CobrHM4S9
+8NN0H7M= Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0C_Gj_;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::64(4:6::4g#CCPMC_#oH_bMdr4:Rj9fjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHRP#CC#M_Cbo_H0M_sjHr9b
+SNF8H=P#CC#M_Cbo_HjMr98
+SNH0NMB=eBN;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";N3HR0RsH"s30H
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNC#R"CMPC_o#C_MbH"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@ng:c:c(:g6:4:$P#MbO_HfMRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHlPM#$OH_bMk_F0b
+SNF8H=$P#MbO_HSM
+8NN0HPM=o8N_sCHPsM_kHP03_M#$ON;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR#"P$_MOb"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@nU:c:c(:U6:4:$E#MbO_HfMRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHlEM#$OH_bMk_F0b
+SNF8H=$E#MbO_HSM
+8NN0HPM=o8N_sCHPsM_kHE03_M#$ON;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR#"E$_MOb"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n(:c::46c.(:j4:L_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl4RL_MbH_0Fk
+NSb8=HFLb4_HSM
+8NN0HPM=oON_FsM0FkD_M3H0LN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR4"L_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@cn:(::(c4(:.j:L_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsljRL_MbH_0Fk
+NSb8=HFLbj_HSM
+8NN0HPM=oON_FsM0FkD_M3H0LN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlRj"L_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@cn:nd:.::cn.oU:.H_bMjRf:vjR z)B)pY_Q#AR00sNHHG_F7_ujsRbHolR.H_bMk_F0b
+SNF8H=_o.b
+HMS08NN=HMP_oNO0FMs_FDk0MH3
+o;N3HRb0FsNHD8sFR"k;0"
+RNH3Ds0_HFsolMNCoR".H_bM
+";N3HRPHCsD_FobNNsl"#RFsbCNF0HMF_l8HC,M0bk_osCHC#0sF_l8RC,HkMb0#_N$_MOsCC#0M,Hb_k0#O$M_#sCCR0,HkMb0F_bI_CskRb,Fbk0ks0_C#oH0_CslCF8,kRF00bk_$N#MsO_C0#C,0Fkb_k0#O$M_#sCCR0,Fbk0kb0_FsIC_,kbFsC_C#oH0_CslCF8,CRF_$N#MsO_C0#C,_FC#O$M_#sCCR0,FbC_FsIC_,kbRCFbMs_8N_HMFbk0k;0"
+RNHFsbCNF0HMF_l8"CRFbk0k;0"
+RNH3b#DFosH8RHs"FHMk;0"
+RNHsHCoF'MRP'oN;R
+s@:@nc4n:6n:c::.job4_HfMRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHlob4_HFM_kS0
+bHN8F4=o_MbH
+NS80MNH=NPo_MOF0DsF_HkM0;3o
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CRob4_H;M"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::cn(n:c::4.obj_HfMRjR:jvB )z_)YpRQA#N0s0_HGHuF_7bjRsRHlobj_HFM_kS0
+bHN8Fj=o_MbH
+NS80MNH=NPo_MOF0DsF_HkM0;3o
+RNH3sbF08NDH"sRF"k0;H
+NR03sDs_FHNoMl"CRobj_H;M"
+RNH3sPCHoDF_sbNNRl#"CFbsHN0FlM_F,8CHkMb0C_so0H#Cls_F,8CRbHMkN0_#O$M_#sCCH0,M0bk_M#$OC_s#,C0RbHMkb0_FsIC_,kbR0Fkb_k0sHCo#s0C_8lFCF,Rkk0b0#_N$_MOsCC#0k,F00bk_M#$OC_s#,C0R0Fkb_k0bCFIsb_k,_FCsHCo#s0C_8lFCF,RC#_N$_MOsCC#0C,F_M#$OC_s#,C0R_FCbCFIsb_k,bRFC8M_sMNH_0Fkb"k0;H
+NRCFbsHN0FlM_FR8C"0Fkb"k0;H
+NRD3#bHFsos8HRM"HF"k0;H
+NRosCHRFM'NPo's;
+Rn@@::c6.cd:6U:.:_s.bRHMfjj:R)v BYz)_ApQRs#0NG0H__HFuR7jblsHR_s.b_HMF
+k0S8bNHsF=.H_bM8
+SNH0NMo=PNF_OMF0sDM_kHs03;H
+NRF3bsD0N8RHs"0Fk"N;
+HsR30FD_sMHoNRlC"_s.b"HM;H
+NRC3PsFHDoN_bs#NlRb"FC0sNH_FMlCF8,bHMks0_C#oH0_CslCF8,MRHb_k0NM#$OC_s#,C0HkMb0$_#MsO_C0#C,MRHb_k0bCFIsb_k,kRF00bk_osCHC#0sF_l8RC,Fbk0kN0_#O$M_#sCCF0,kk0b0$_#MsO_C0#C,kRF00bk_IbFCks_bC,F_osCHC#0sF_l8RC,FNC_#O$M_#sCCF0,C$_#MsO_C0#C,CRF_IbFCks_bF,Rb_CM8HsNMk_F00bk"N;
+HbRFC0sNH_FMlCF8Rk"F00bk"N;
+H#R3DsbFHHo8sHR"M0Fk"N;
+HCRsoMHFRo'PN
+';s@R@n6:c::46c.6:j4:s_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsl4Rs_MbH_0Fk
+NSb8=HFsb4_HSM
+8NN0HPM=oON_FsM0FkD_M3H0sN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlR4"s_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@sR@cn:6::(c46:.j:s_MbHR:fjj Rv))BzYQ_pA0R#sHN0GF_H_ju7RHbsljRs_MbH_0Fk
+NSb8=HFsbj_HSM
+8NN0HPM=oON_FsM0FkD_M3H0sN;
+HbR3FNs0Ds8HRk"F0
+";N3HRs_0DFosHMCNlRj"s_MbH"N;
+HPR3CDsHFbo_NlsN#FR"bNCs0MHF_8lFCM,Hb_k0sHCo#s0C_8lFCH,RM0bk_$N#MsO_C0#C,bHMk#0_$_MOsCC#0H,RM0bk_IbFCks_bF,Rkk0b0C_so0H#Cls_F,8CR0Fkb_k0NM#$OC_s#,C0Fbk0k#0_$_MOsCC#0F,Rkk0b0F_bI_CskFb,CC_so0H#Cls_F,8CR_FCNM#$OC_s#,C0F#C_$_MOsCC#0F,RCF_bI_CskRb,FMbC_N8sHFM_kk0b0
+";NFHRbNCs0MHF_8lFCFR"kk0b0
+";N3HR#FDbs8HoH"sRHkMF0
+";NsHRCFoHMPR'o;N'
+@bR@cn:.::(c4.:dD:O    H_bMjRf:        jRCLCbktVR_Rddtd_dR     OD_MbH_
+O;oQbRr;j9
+RNb3_H#oCN08D_OFRO     4N;
+bHR3#D_OFRO    4N;
+HCRsoMHFRo'PN
+';s@R@44j:nj4::44n:+4c.o:PNs_8HsPC_HkM0jRf:IjRFRs      P_oN8PsHCMsRCH0D#P0Ro8N_sCHPsM_kHS0
+DCHM_kOFMs0C_o#H_Pj=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHojS9
+DCHM_kOFMs0C_o#H_P4=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo4S9
+DCHM_kOFMs0C_o#H_P.=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo.S9
+DCHM_kOFMs0C_o#H_Pd=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHodS9
+DCHM_kOFMs0C_o#H_Pc=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHocS9
+DCHM_kOFMs0C_o#H_P6=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo6S9
+DCHM_kOFMs0C_o#H_Pn=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHonS9
+DCHM_kOFMs0C_o#H_P(=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHo(S9
+DCHM_kOFMs0C_o#H_PU=o8N_sCHPsM_kHD03H_MCOMFk0_Cs#rHoUS9
+8_D$OMFk0_Cs4D=8$F_OkCM0s9r4
+DS8$F_OkCM0s=_j8_D$OMFk0rCsjS9
+PM#$O0_#N_0C.o=PNs_8HsPC_HkM0#3P$_MO#00NC9r.
+#SP$_MO#00NC=_6P_oN8PsHCks_M3H0PM#$O0_#Nr0C6S9
+PM#$O0_#N_0Cdo=PNs_8HsPC_HkM0#3P$_MO#00NC9rd
+#SP$_MO#00NC=_nP_oN8PsHCks_M3H0PM#$O0_#Nr0CnS9
+PM#$O0_#N_0Cco=PNs_8HsPC_HkM0#3P$_MO#00NC9rc
+#SP$_MO#00NC=_4P_oN8PsHCks_M3H0PM#$O0_#Nr0C4S9
+PM#$O0_#N_0Cjo=PNs_8HsPC_HkM0#3P$_MO#00NC9rj
+#SE$_MO#00NC=_.P_oN8PsHCks_M3H0EM#$O0_#Nr0C.S9
+EM#$O0_#N_0Cco=PNs_8HsPC_HkM0#3E$_MO#00NC9rc
+#SE$_MO#00NC=_jP_oN8PsHCks_M3H0EM#$O0_#Nr0CjS9
+EM#$O0_#N_0C6o=PNs_8HsPC_HkM0#3E$_MO#00NC9r6
+#SE$_MO#00NC=_4P_oN8PsHCks_M3H0EM#$O0_#Nr0C4S9
+EM#$O0_#N_0Cdo=PNs_8HsPC_HkM0#3E$_MO#00NC9rd
+#SE$_MO#00NC=_nP_oN8PsHCks_M3H0EM#$O0_#Nr0CnS9
+OkFDlOM_F0kMC#s_Hjo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHojS9
+OkFDlOM_F0kMC#s_H4o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo4S9
+OkFDlOM_F0kMC#s_H.o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo.S9
+OkFDlOM_F0kMC#s_Hdo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHodS9
+OkFDlOM_F0kMC#s_Hco_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHocS9
+OkFDlOM_F0kMC#s_H6o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo6S9
+OkFDlOM_F0kMC#s_Hno_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHonS9
+OkFDlOM_F0kMC#s_H(o_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHo(S9
+OkFDlOM_F0kMC#s_HUo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHoUS9
+OkFDlOM_F0kMC#s_Hgo_=NPo_H8sP_Csk0MH3DOFk_lMOMFk0_Cs#rHogS9
+PM#$OF_OkCM0s=_gP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rg
+#SP$_MOOMFk0_CsUo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCsUS9
+PM#$OF_OkCM0s=_(P_oN8PsHCks_M3H0PM#$OF_OkCM0s9r(
+#SP$_MOOMFk0_Csno=PNs_8HsPC_HkM0#3P$_MOOMFk0rCsnS9
+PM#$OF_OkCM0s=_6P_oN8PsHCks_M3H0PM#$OF_OkCM0s9r6
+#SP$_MOOMFk0_Csco=PNs_8HsPC_HkM0#3P$_MOOMFk0rCscS9
+PM#$OF_OkCM0s=_dP_oN8PsHCks_M3H0PM#$OF_OkCM0s9rd
+#SP$_MOOMFk0_Cs.o=PNs_8HsPC_HkM0#3P$_MOOMFk0rCs.S9
+PM#$OF_OkCM0s=_4P_oN8PsHCks_M3H0PM#$OF_OkCM0s9r4
+#SP$_MOOMFk0_Csjo=PNs_8HsPC_HkM0#3P$_MOOMFk0rCsjS9
+EM#$OF_OkCM0s=_gP_oN8PsHCks_M3H0EM#$OF_OkCM0s9rg
+#SE$_MOOMFk0_CsUo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsUS9
+EM#$OF_OkCM0s=_(P_oN8PsHCks_M3H0EM#$OF_OkCM0s9r(
+#SE$_MOOMFk0_Csno=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsnS9
+EM#$OF_OkCM0s=_6P_oN8PsHCks_M3H0EM#$OF_OkCM0s9r6
+#SE$_MOOMFk0_Csco=PNs_8HsPC_HkM0#3E$_MOOMFk0rCscS9
+EM#$OF_OkCM0s=_dP_oN8PsHCks_M3H0EM#$OF_OkCM0s9rd
+#SE$_MOOMFk0_Cs.o=PNs_8HsPC_HkM0#3E$_MOOMFk0rCs.S9
+EM#$OF_OkCM0s=_4P_oN8PsHCks_M3H0EM#$OF_OkCM0s9r4
+#SE$_MOOMFk0_Csjo=PNs_8HsPC_HkM0#3E$_MOOMFk0rCsjS9
+8C_#0#_P$_MOOMFk0=CsP_oN8PsHCks_M3H08C_#0#_P$_MOOMFk0
+CsS4kMjF_ODMkl_kOFMs0C_o#HD_0n4o=PNs_8HsPC_HkM0m3Bphzv_zBmhMa_C\G034kMjF_ODMkl_kOFMs0C_o#HD_0n4P
+S_M#$Oo=PNs_8HsPC_HkM0_3P#O$M
+_SE#O$M=NPo_H8sP_Csk0MH3#E_$
+MOSCE_MDNLCH_#oo=PNs_8HsPC_HkM0_3ECLMND#C_HSo
+PM_CNCLD_o#H=NPo_H8sP_Csk0MH3CP_MDNLCH_#os
+SC0#C_MbH_sO=C0#C_MbH_SO
+k_Mn8_D$OMFk0_Csj=_G7q pY _)1_ aM0CG\M3knD_8$F_OkCM0s__jG8
+S_0#C_$E#MOO_F0kMCPs=o8N_sCHPsM_kH803_0#C_$E#MOO_F0kMCSs
+O_D    b_HMOD=O        H_bM;_O
+RobDCHM_kOFMs0C_o#H_
+j;N3bRbdVR;b
+oRMDHCF_OkCM0sH_#o;_4
+RNb3RbVdo;
+bHRDMOC_F0kMC#s_H.o_;b
+NRV3bR
+d;oDbRH_MCOMFk0_Cs#_HodN;
+bbR3V;Rd
+RobDCHM_kOFMs0C_o#H_
+c;N3bRbdVR;b
+oRMDHCF_OkCM0sH_#o;_6
+RNb3RbVdo;
+bHRDMOC_F0kMC#s_Hno_;b
+NRV3bR
+d;oDbRH_MCOMFk0_Cs#_Ho(N;
+bbR3V;Rd
+RobDCHM_kOFMs0C_o#H_
+U;N3bRb.VR;b
+oR$8D_kOFMs0C_
+4;N3bRb(VR;b
+oR$8D_kOFMs0C_
+j;N3bRb(VR;b
+oR$P#M#O_0CN0_
+.;N3bRbdVR;b
+oR$P#M#O_0CN0_
+6;N3bRbdVR;b
+oR$P#M#O_0CN0_
+d;N3bRbcVR;b
+oR$P#M#O_0CN0_
+n;N3bRbdVR;b
+oR$P#M#O_0CN0_
+c;N3bRbcVR;b
+oR$P#M#O_0CN0_
+4;N3bRbcVR;b
+oR$P#M#O_0CN0_
+j;N3bRbcVR;b
+oR$E#M#O_0CN0_
+.;N3bRbdVR;b
+oR$E#M#O_0CN0_
+c;N3bRbcVR;b
+oR$E#M#O_0CN0_
+j;N3bRbdVR;b
+oR$E#M#O_0CN0_
+6;N3bRbdVR;b
+oR$E#M#O_0CN0_
+4;N3bRbcVR;b
+oR$E#M#O_0CN0_
+d;N3bRbcVR;b
+oR$E#M#O_0CN0_
+n;N3bRbdVR;b
+oRDOFk_lMOMFk0_Cs#_HojN;
+bbR3V;Rc
+RobOkFDlOM_F0kMC#s_H4o_;b
+NRV3bR
+d;oObRFlDkMF_OkCM0sH_#o;_.
+RNb3RbVdo;
+bFRODMkl_kOFMs0C_o#H_
+d;N3bRbdVR;b
+oRDOFk_lMOMFk0_Cs#_HocN;
+bbR3V;Rd
+RobOkFDlOM_F0kMC#s_H6o_;b
+NRV3bR
+d;oObRFlDkMF_OkCM0sH_#o;_n
+RNb3RbVdo;
+bFRODMkl_kOFMs0C_o#H_
+(;N3bRbdVR;b
+oRDOFk_lMOMFk0_Cs#_HoUN;
+bbR3V;Rd
+RobOkFDlOM_F0kMC#s_Hgo_;b
+NRV3bR
+.;oPbR#O$M_kOFMs0C_
+g;N3bRbUVR;b
+oR$P#MOO_F0kMCUs_;b
+NRV3bR
+c;oPbR#O$M_kOFMs0C_
+(;N3bRbcVR;b
+oR$P#MOO_F0kMCns_;b
+NRV3bR
+c;oPbR#O$M_kOFMs0C_
+6;N3bRbcVR;b
+oR$P#MOO_F0kMCcs_;b
+NRV3bR
+c;oPbR#O$M_kOFMs0C_
+d;N3bRbcVR;b
+oR$P#MOO_F0kMC.s_;b
+NRV3bR
+c;oPbR#O$M_kOFMs0C_
+4;N3bRbcVR;b
+oR$P#MOO_F0kMCjs_;b
+NRV3bR
+U;oEbR#O$M_kOFMs0C_
+g;N3bRb6VR;b
+oR$E#MOO_F0kMCUs_;b
+NRV3bR
+6;oEbR#O$M_kOFMs0C_
+(;N3bRbnVR;b
+oR$E#MOO_F0kMCns_;b
+NRV3bR
+n;oEbR#O$M_kOFMs0C_
+6;N3bRb6VR;b
+oR$E#MOO_F0kMCcs_;b
+NRV3bR
+n;oEbR#O$M_kOFMs0C_
+d;N3bRb6VR;b
+oR$E#MOO_F0kMC.s_;b
+NRV3bR
+6;oEbR#O$M_kOFMs0C_
+4;N3bRb6VR;b
+oR$E#MOO_F0kMCjs_;b
+NRV3bR
+6;o8bR_0#C_$P#MOO_F0kMC
+s;N3bRb4VR;b
+oR4kMjF_ODMkl_kOFMs0C_o#HD_0n4N;
+bbR3V;R4
+RobP$_#M
+O;N3bRb4VR;b
+oR#E_$;MO
+RNb3RbV4o;
+b_RECLMND#C_H
+o;N3bRbjVR;b
+oRCP_MDNLCH_#oN;
+bbR3V;Rj
+RobsCC#0H_bM;_O
+RNb3RbV(o;
+bMRknD_8$F_OkCM0s__jGN;
+bbR3V4R.;b
+oR#8_CE0_#O$M_kOFMs0C;b
+NRV3bR
+c;oObRDb       _HOM_;b
+NR#3H_0oNCO8_D FOR
+4;N3bRHO#_D    FOR
+4;N3bRb6VR(N;
+H#R3DsbFHHo8sHR"M0Fk"s;
+R4@@jU:4n::.4:Un4.(+:NPo_MOF0DsF_HkM0jRf:IjRFRs        P_oNO0FMsRFDMDC0HR#0P_oNO0FMs_FDk0MH
+FSODMkl_kOFMs0C_o#H_P6=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r6
+FSODMkl_kOFMs0C_o#H_Pj=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rj
+FSODMkl_kOFMs0C_o#H_P4=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r4
+FSODMkl_kOFMs0C_o#H_Pd=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rd
+FSODMkl_kOFMs0C_o#H_Pc=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rc
+FSODMkl_kOFMs0C_o#H_P.=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r.
+FSODMkl_kOFMs0C_o#H_Pg=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rg
+FSODMkl_kOFMs0C_o#H_PU=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rU
+FSODMkl_kOFMs0C_o#H_P(=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9r(
+FSODMkl_kOFMs0C_o#H_Pn=o8N_sCHPsM_kHO03FlDkMF_OkCM0sH_#o9rn
+HSDMOC_F0kMC#s_Hjo_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rj
+HSDMOC_F0kMC#s_H4o_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r4
+HSDMOC_F0kMC#s_H.o_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r.
+HSDMOC_F0kMC#s_HUo_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rU
+HSDMOC_F0kMC#s_Hdo_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rd
+HSDMOC_F0kMC#s_H6o_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r6
+HSDMOC_F0kMC#s_Hco_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rc
+HSDMOC_F0kMC#s_H(o_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9r(
+HSDMOC_F0kMC#s_Hno_=NPo_H8sP_Csk0MH3MDHCF_OkCM0sH_#o9rn
+FS0oCoD_kOFMs0C_o#H_Pj=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_Hjor90
+SFDooCF_OkCM0sH_#o=_4P_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4S9
+0oFoDOC_F0kMC#s_H.o_=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr
+.9So0Fo_DCOMFk0_Cs#_Hodo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9rd
+FS0oCoD_kOFMs0C_o#H_Pc=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_Hcor90
+SFDooCF_OkCM0sH_#o=_6P_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo6S9
+0oFoDOC_F0kMC#s_Hno_=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr
+n9So0Fo_DCOMFk0_Cs#_Ho(o=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o9r(
+FS0oCoD_kOFMs0C_o#H_PU=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_HUor90
+SFDooCF_OkCM0sH_#o=_gP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHogS9
+0oFoDOC_F0kMC#s_H4o_jo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ojr490
+SFDooCF_OkCM0sH_#o4_4=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr944
+FS0oCoD_kOFMs0C_o#H_=4.P_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4
+.9So0Fo_DCOMFk0_Cs#_Ho4Pd=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4ordS9
+0oFoDOC_F0kMC#s_H4o_co=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#ocr490
+SFDooCF_OkCM0sH_#o6_4=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr946
+FS0oCoD_kOFMs0C_o#H_=4nP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo4
+n9So0Fo_DCOMFk0_Cs#_Ho4P(=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H4or(S9
+0oFoDOC_F0kMC#s_H4o_Uo=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#oUr490
+SFDooCF_OkCM0sH_#og_4=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr94g
+FS0oCoD_kOFMs0C_o#H_=.jP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo.
+j9So0Fo_DCOMFk0_Cs#_Ho.P4=oON_FsM0FkD_M3H00oFoDOC_F0kMC#s_H.or4S9
+0oFoDOC_F0kMC#s_H.o_.o=PNF_OMF0sDM_kH003FDooCF_OkCM0sH_#o.r.90
+SFDooCF_OkCM0sH_#od_.=NPo_MOF0DsF_HkM0F30oCoD_kOFMs0C_o#Hr9.d
+FS0oCoD_kOFMs0C_o#H_=.cP_oNO0FMs_FDk0MH3o0Fo_DCOMFk0_Cs#rHo.
+c9SCP_MDNLCH_#oo=PNs_8HsPC_HkM0_3PCLMND#C_HSo
+kjM4_DOFk_lMOMFk0_Cs#DHo04n_=NPo_H8sP_Csk0MH3pBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#DHo04n_
+_SECLMND#C_HPo=o8N_sCHPsM_kHE03_NCML_DC#
+HoSPo=oON_FsM0FkD_M3H0os
+S=NPo_MOF0DsF_HkM0
+3sSPL=oON_FsM0FkD_M3H0L0
+SFDooCH_#oo=PNF_OMF0sDM_kH003FDooCH_#ok
+SM8n_DO$_F0kMCjs__7G= Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0C_Gj_
+DSO    H_bM=_OO_D      b_HMOo;
+bFRODMkl_kOFMs0C_o#H_
+6;N3bRb4VR;b
+oRDOFk_lMOMFk0_Cs#_HojN;
+bbR3V;R4
+RobOkFDlOM_F0kMC#s_H4o_;b
+NRV3bR
+4;oObRFlDkMF_OkCM0sH_#o;_d
+RNb3RbV.o;
+bFRODMkl_kOFMs0C_o#H_
+c;N3bRb.VR;b
+oRDOFk_lMOMFk0_Cs#_Ho.N;
+bbR3V;R.
+RobOkFDlOM_F0kMC#s_Hgo_;b
+NRV3bR
+.;oObRFlDkMF_OkCM0sH_#o;_U
+RNb3RbV.o;
+bFRODMkl_kOFMs0C_o#H_
+(;N3bRb.VR;b
+oRDOFk_lMOMFk0_Cs#_HonN;
+bbR3V;R4
+RobDCHM_kOFMs0C_o#H_
+j;N3bRb4VR;b
+oRMDHCF_OkCM0sH_#o;_4
+RNb3RbV4o;
+bHRDMOC_F0kMC#s_H.o_;b
+NRV3bR
+.;oDbRH_MCOMFk0_Cs#_HoUN;
+bbR3V;R.
+RobDCHM_kOFMs0C_o#H_
+d;N3bRb.VR;b
+oRMDHCF_OkCM0sH_#o;_6
+RNb3RbV.o;
+bHRDMOC_F0kMC#s_Hco_;b
+NRV3bR
+.;oDbRH_MCOMFk0_Cs#_Ho(N;
+bbR3V;R.
+RobDCHM_kOFMs0C_o#H_
+n;N3bRb.VR;b
+oRo0Fo_DCOMFk0_Cs#_HojN;
+bbR3V;Rd
+Rob0oFoDOC_F0kMC#s_H4o_;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o;_.
+RNb3RbVdo;
+bFR0oCoD_kOFMs0C_o#H_
+d;N3bRbdVR;b
+oRo0Fo_DCOMFk0_Cs#_HocN;
+bbR3V;Rd
+Rob0oFoDOC_F0kMC#s_H6o_;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o;_n
+RNb3RbVdo;
+bFR0oCoD_kOFMs0C_o#H_
+(;N3bRbdVR;b
+oRo0Fo_DCOMFk0_Cs#_HoUN;
+bbR3V;Rd
+Rob0oFoDOC_F0kMC#s_Hgo_;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#oj_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o4_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o._4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#od_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#oc_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o6_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#on_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#o(_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#oU_4;b
+NRV3bR
+d;o0bRFDooCF_OkCM0sH_#og_4;b
+NRV3bR
+.;o0bRFDooCF_OkCM0sH_#oj_.;b
+NRV3bR
+4;o0bRFDooCF_OkCM0sH_#o4_.;b
+NRV3bR
+4;o0bRFDooCF_OkCM0sH_#o._.;b
+NRV3bR
+4;o0bRFDooCF_OkCM0sH_#od_.;b
+NRV3bR
+4;o0bRFDooCF_OkCM0sH_#oc_.;b
+NRV3bR
+4;oPbR_NCML_DC#;Ho
+RNb3RbV4o;
+bMRk4Oj_FlDkMF_OkCM0sH_#onD0_
+4;N3bRb4VR;b
+oRCE_MDNLCH_#oN;
+bbR3V;R4
+RoboN;
+bbR3V;Rj
+RobsN;
+bbR3V;Rj
+RobLN;
+bbR3V;Rj
+Rob0oFoD#C_H
+o;N3bRb.VR;b
+oRnkM_$8D_kOFMs0C_Gj_;b
+NRV3bR;.g
+RobO_D b_HMON;
+bHR3#N_o0_C8OODF       ;R4
+RNb3_H#OODF    ;R4
+RNb3RbV.
+g;N3HR#FDbs8HoH"sRHkMF0
+";
diff --git a/bsp4/Designflow/syn/rev_1/vga.srr b/bsp4/Designflow/syn/rev_1/vga.srr
new file mode 100644 (file)
index 0000000..7ab84ac
--- /dev/null
@@ -0,0 +1,297 @@
+#Build: Synplify Pro C-2009.06, Build 063R, May 19 2009
+#install: /opt/synplify/fpga_c200906
+#OS: Linux 
+#Hostname: ti14
+
+#Implementation: rev_1
+
+#Tue Nov  3 17:21:38 2009
+
+$ Start of Compile
+#Tue Nov  3 17:21:38 2009
+
+Synopsys VHDL Compiler, version comp400rc, Build 020R, built May 20 2009
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+
+@N: CD720 :"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":123:18:123:21|Setting time resolution to ns
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":38:7:38:9|Top entity is set to vga.
+VHDL syntax check successful!
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav 
+Post processing for work.vga_control.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+Post processing for work.vga_driver.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav 
+Post processing for work.board_driver.behav
+Post processing for work.vga.behav
+@END
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Tue Nov  3 17:21:39 2009
+
+###########################################################]
+Synopsys Altera Technology Mapper, Version map450rc, Build 029R, Built May 22 2009 13:59:53
+Copyright (C) 1994-2009, Synopsys Inc.  All Rights Reserved
+Product Version C-2009.06
+@N: MF249 |Running in 32-bit mode.
+@N: MF257 |Gated clock conversion enabled 
+@N|Running in logic synthesis mode without enhanced optimization
+
+Automatic dissolve during optimization of view:work.vga(behav) of board_driver_unit(board_driver)
+Automatic dissolve at startup in view:work.vga(behav) of vga_control_unit(vga_control)
+
+Available hyper_sources - for debug and ip models
+       None Found
+
+Finished RTL optimizations (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd":267:4:267:5|Found counter in view:work.vga_driver(behav) inst vsync_counter[9:0]
+@N:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd":158:4:158:5|Found counter in view:work.vga_driver(behav) inst hsync_counter[9:0]
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 52MB peak: 55MB)
+
+Finished factoring (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 55MB)
+
+
+
+#################### START OF GENERATED CLOCK OPTIMIZATION REPORT ####################[
+
+======================================================================================
+                                Instance:Pin        Generated Clock Optimization Status
+======================================================================================
+
+
+##################### END OF GENERATED CLOCK OPTIMIZATION REPORT #####################]
+
+Finished gated-clock and generated-clock conversion (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 1 (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:00s; Memory used current: 53MB peak: 56MB)
+
+Finished generic timing optimizations - Pass 2 (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Starting Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished Early Timing Optimization (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished preparing to map (Time elapsed 0h:00m:01s; Memory used current: 53MB peak: 56MB)
+
+Finished technology mapping (Time elapsed 0h:00m:03s; Memory used current: 66MB peak: 67MB)
+
+Finished technology timing optimizations and critical path resynthesis (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 67MB)
+
+Finished restoring hierarchy (Time elapsed 0h:00m:04s; Memory used current: 66MB peak: 69MB)
+
+
+Writing Analyst data base /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.srm
+Finished Writing Netlist Databases (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing Verilog Netlist and constraint files
+Writing .vqm output for Quartus
+Writing Cross reference file for Quartus to /homes/burban/didelu/dide_16/bsp4/Designflow/syn/rev_1/vga.xrf
+Finished Writing Verilog Netlist and constraint files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Writing VHDL Simulation files
+Finished Writing VHDL Simulation files (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N: MF276 |Gated clock conversion enabled, but no gated clocks found in design 
+Finished Writing Gated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Starting Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+@N: MF333 |Generated clock conversion enabled, but no generated clocks found in design 
+Finished Writing Generated Clock Conversion Report (Time elapsed 0h:00m:04s; Memory used current: 65MB peak: 69MB)
+
+Found clock vga|clk_pin with period 39.72ns 
+
+
+##### START OF TIMING REPORT #####[
+# Timing Report written on Tue Nov  3 17:21:46 2009
+#
+
+
+Top view:               vga
+Requested Frequency:    25.2 MHz
+Wire load mode:         top
+Paths requested:        5
+Constraint File(s):    
+@N: MT320 |This timing report estimates place and route data. Please look at the place and route timing report for final timing..
+
+@N: MT322 |Clock constraints cover only FF-to-FF paths associated with the clock..
+
+
+
+Performance Summary 
+*******************
+
+
+Worst slack in design: 34.465
+
+                   Requested     Estimated     Requested     Estimated                Clock        Clock              
+Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group              
+----------------------------------------------------------------------------------------------------------------------
+vga|clk_pin        25.2 MHz      190.2 MHz     39.722        5.257         34.465     inferred     Inferred_clkgroup_0
+======================================================================================================================
+
+
+
+
+
+Clock Relationships
+*******************
+
+Clocks                    |    rise  to  rise    |    fall  to  fall   |    rise  to  fall   |    fall  to  rise 
+-----------------------------------------------------------------------------------------------------------------
+Starting     Ending       |  constraint  slack   |  constraint  slack  |  constraint  slack  |  constraint  slack
+-----------------------------------------------------------------------------------------------------------------
+vga|clk_pin  vga|clk_pin  |  39.722      34.465  |  No paths    -      |  No paths    -      |  No paths    -    
+=================================================================================================================
+ Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
+       'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
+
+
+
+Interface Information 
+*********************
+
+               No IO constraint found 
+
+
+
+====================================
+Detailed Report for Clock: vga|clk_pin
+====================================
+
+
+
+Starting Points with Worst Slack
+********************************
+
+                                           Starting                                                                 Arrival           
+Instance                                   Reference       Type                 Pin        Net                      Time        Slack 
+                                           Clock                                                                                      
+--------------------------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[0]           0.176       34.465
+dly_counter[1]                             vga|clk_pin     stratix_lcell_ff     regout     dly_counter[1]           0.176       34.584
+vga_driver_unit.vsync_counter[6]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_6          0.176       34.836
+vga_driver_unit.vsync_counter[7]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_7          0.176       34.865
+vga_control_unit.toggle_counter_sig[1]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_1     0.176       34.968
+vga_driver_unit.vsync_counter[3]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_3          0.176       34.992
+vga_driver_unit.vsync_counter[8]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_8          0.176       34.992
+vga_control_unit.toggle_counter_sig[5]     vga|clk_pin     stratix_lcell_ff     regout     toggle_counter_sig_5     0.176       35.095
+vga_driver_unit.vsync_counter[5]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_5          0.176       35.111
+vga_driver_unit.vsync_counter[4]           vga|clk_pin     stratix_lcell_ff     regout     vsync_counter_4          0.176       35.119
+======================================================================================================================================
+
+
+Ending Points with Worst Slack
+******************************
+
+                                   Starting                                                                     Required           
+Instance                           Reference       Type                 Pin       Net                           Time         Slack 
+                                   Clock                                                                                           
+-----------------------------------------------------------------------------------------------------------------------------------
+vga_driver_unit.vsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[4]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[5]     vga|clk_pin     stratix_lcell_ff     ena       vsync_state_next_2_sqmuxa     38.986       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datab     dly_counter_0                 35.641       34.465
+vga_driver_unit.vsync_state[6]     vga|clk_pin     stratix_lcell_ff     datac     dly_counter_1                 35.760       34.584
+vga_driver_unit.hsync_state[0]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[1]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[2]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+vga_driver_unit.hsync_state[3]     vga|clk_pin     stratix_lcell_ff     ena       hsync_state_3_0_0_0__g0_0     38.986       34.711
+===================================================================================================================================
+
+
+
+Worst Path Information
+***********************
+
+
+Path information for path number 1: 
+    Requested Period:                        39.722
+    - Setup time:                            0.736
+    + Clock delay at ending point:           0.000 (ideal)
+    = Required time:                         38.986
+
+    - Propagation time:                      4.521
+    - Clock delay at starting point:         0.000 (ideal)
+    = Slack (critical) :                     34.465
+
+    Number of logic level(s):                2
+    Starting point:                          dly_counter[0] / regout
+    Ending point:                            vga_driver_unit.vsync_state[2] / ena
+    The start point is clocked by            vga|clk_pin [rising] on pin clk
+    The end   point is clocked by            vga|clk_pin [rising] on pin clk
+
+Instance / Net                                                     Pin         Pin               Arrival     No. of    
+Name                                          Type                 Name        Dir     Delay     Time        Fan Out(s)
+-----------------------------------------------------------------------------------------------------------------------
+dly_counter[0]                                stratix_lcell_ff     regout      Out     0.176     0.176       -         
+dly_counter[0]                                Net                  -           -       1.000     -           9         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     datab       In      -         1.176       -         
+vga_driver_unit.vsync_state[6]                stratix_lcell_ff     combout     Out     0.332     1.508       -         
+un6_dly_counter_0_x                           Net                  -           -       2.160     -           58(49)    
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        dataa       In      -         3.668       -         
+vga_driver_unit.vsync_state_next_2_sqmuxa     stratix_lcell        combout     Out     0.459     4.127       -         
+vsync_state_next_2_sqmuxa                     Net                  -           -       0.393     -           5(2)      
+vga_driver_unit.vsync_state[2]                stratix_lcell_ff     ena         In      -         4.521       -         
+=======================================================================================================================
+Total path delay (propagation time + ICD at startpoint + setup - ICD at endpoint) of 5.257 is 1.703(32.4%) logic and 3.554(67.6%) route.
+Fanout format: logic fanout (physical fanout)
+Path delay compensated for clock skew. Clock skew is added to clock-to-out value, and is subtracted from setup time value
+*Arrival time includes intrinsic clock delay at start point and clock delay at startpoint
+
+
+
+##### END OF TIMING REPORT #####]
+
+##### START OF AREA REPORT #####[
+Design view:work.vga(behav)
+Selecting part EP1S25F672C6
+@N: FA174 |The following device usage report estimates place and route data. Please look at the place and route report for final resource usage..
+
+I/O ATOMs:       117
+
+Total LUTs:  181 of 25660 ( 0%)
+Logic resources:  183 ATOMs of 25660 ( 0%)
+
+Number of I/O registers
+                       Output DDRs   :0
+
+ATOM count by mode:
+  normal:       131
+  arithmetic:   52
+
+DSP Blocks:     0  (0 nine-bit DSP elements).
+DSP Utilization: 0.00% of available 10 blocks (80 nine-bit).
+ShiftTap:       0  (0 registers)
+MRAM:           0  (0% of 2)
+M4Ks:           0  (0% of 138)
+M512s:          0  (0% of 224)
+Total ESB:      0 bits 
+
+ATOMs using regout pin: 88
+  also using enable pin: 12
+  also using combout pin: 1
+ATOMs using combout pin: 93
+Number of Inputs on ATOMs: 759
+Number of Nets:   55530
+
+##### END OF AREA REPORT #####]
+
+Mapper successful!
+Process took 0h:00m:05s realtime, 0h:00m:04s cputime
+# Tue Nov  3 17:21:46 2009
+
+###########################################################]
diff --git a/bsp4/Designflow/syn/rev_1/vga.srs b/bsp4/Designflow/syn/rev_1/vga.srs
new file mode 100644 (file)
index 0000000..632aec3
--- /dev/null
@@ -0,0 +1,683 @@
+%%% protect protected_file
+@E
+@ 
+#
+#
+#
+# Created by Synplify VHDL Compiler version comp400rc, Build 020R from Synplicity, Inc.
+# Copyright 1994-2009 Synopsys, Inc. , All rights reserved.
+# Synthesis Netlist written on Tue Nov  3 17:21:39 2009
+#
+#
+#OPTIONS:"|-top|vga|-infer_seqShift|-primux|-fixsmult|-dspmac|-nram|-divnmod|-encrypt|-pro|-lite|-ll|2000|-ui|-fid2|-ram|-sharing|on|-autosm|-ignore_undefined_lib|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work|-lib|work"
+#CUR:"/opt/synplify/fpga_c200906/linux/c_vhdl":1242928055
+#CUR:"/opt/synplify/fpga_c200906/lib/vhd/location.map":1242864830
+#CUR:"/opt/synplify/fpga_c200906/lib/vhd/std.vhd":1242776237
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":1257265128
+#CUR:"/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd":1242776237
+#CUR:"/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd":1242776237
+#CUR:"/opt/synplify/fpga_c200906/lib/vhd/arith.vhd":1242776237
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":1257261048
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd":1255952276
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd":1255952276
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd":1257260979
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd":1255952276
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd":1255952276
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd":1257263612
+#CUR:"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd":1257264683
+f "/opt/synplify/fpga_c200906/lib/vhd/std.vhd"; # file 0
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd"; # file 1
+af .is_vhdl 1;
+f "/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd"; # file 2
+af .is_vhdl 1;
+f "/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd"; # file 3
+af .is_vhdl 1;
+f "/opt/synplify/fpga_c200906/lib/vhd/arith.vhd"; # file 4
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd"; # file 5
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd"; # file 6
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd"; # file 7
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd"; # file 8
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd"; # file 9
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd"; # file 10
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd"; # file 11
+af .is_vhdl 1;
+f "/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd"; # file 12
+af .is_vhdl 1;
+@E
+@ 
+ftell;
+@E@MR@dn:n::(d4n:UFRIsL        RF8Ns_H8sPRCsLNCEPN;
+PHR3#8PED;R4
+RNP3_H#PDE8R
+4;N3PRFosHhCNlRF"LN_s88PsHC;s"
+RNP#_$Mb#sCCCsPR
+4;
+@HR@dn:g::Ud4g:.CRs#RC0sCC#0N;
+HsR30FD_sMHoNRlC"#sCC;0"
+@FR@cn:j::Uc4j:nCR#P_CM#rCo4jd:9sR0k8C,H0oH_VDC09rj,o8HHD0_CrV0j89,H0oH_VDC09rj,o8HHD0_CrV0j89,H0oH_VDC09rj,o8HHD0_CrV0j09,s,kC0Csk,k0sCs,0k8C,H0oH_VDC09rj,o8HHD0_CrV0j09,s;kC
+RNH3Ds0_HFsolMNC#R"CMPC_o#C"b;
+Rj@@:44::.4:Rk0sCsR0k0CRs;kC
+@bR@4j::44::V.RNCD#RDVN#VCRNCD#;R
+b@j@4::ndcd:n:l6Rk8GRH0oH_VDC09rjRo8HHD0_CrV0j09RsRkCV#NDCCRs#;C0
+-y---------------------------------
+
+@ 
+ftell;
+@E@MR@dU:(::(d4(:nFRIsP        Ro8N_sCHPsCRLE;NP
+RNP3PH#ER8D4N;
+PHR3#E_P84DR;P
+NRs3FHNohl"CRP_oN8PsHC;s"
+RNP#_$Mb#sCCCsPR
+4;
+@HR@dU:U::(dgU:R       ODR     OD;H
+NR03sDs_FHNoMl"CRO"D   ;
+
+
+
+@HR@dU:g::(d4g:4CRs#RC0sCC#0N;
+HsR30FD_sMHoNRlC"#sCC;0"
+@FR@cU:j::(c.j:jFRODMkl_kOFMs0Crjg:9FRODMkl_kOFMs0C_o#Hrjg:9N;
+HsR30FD_sMHoNRlC"DOFk_lMOMFk0"Cs;
+
+
+
+@FR@cU:4::(c44:UHRDMOC_F0kMCUsr:Rj9DCHM_kOFMs0C_o#HrjU:9N;
+HsR30FD_sMHoNRlC"MDHCF_OkCM0s
+";
+@FR@cU:.::(c4.:c_RECLMNDECR_NCML_DC#;Ho
+RNH3Ds0_HFsolMNCER"_NCML"DC;
+
+
+
+@FR@cU:d::(c4d:c_RPCLMNDPCR_NCML_DC#;Ho
+RNH3Ds0_HFsolMNCPR"_NCML"DC;
+
+
+
+@FR@cU:c::(c4c:4#RE$RMOE$_#M
+O;N3HRs_0DFosHMCNlR#"E$"MO;
+
+
+
+@FR@cU:cc:4::cc4PUR#O$MR#P_$;MO
+RNH3Ds0_HFsolMNCPR"#O$M"
+;
+
+@FR@cU:n::(c4n:g_R8EM#$O0_#Nr0Cj9:nR$E#M#O_0CN0rnj:9N;
+HsR30FD_sMHoNRlC"E8_#O$M_N#00;C"
+@FR@cU:(::(c4(:g_R8PM#$O0_#Nr0Cj9:nR$P#M#O_0CN0rnj:9N;
+HsR30FD_sMHoNRlC"P8_#O$M_N#00;C"
+@FR@cU:U::(c.U:4_R8EM#$OF_OkCM0s:rgjE9R#O$M_kOFMs0Crjg:9N;
+HsR30FD_sMHoNRlC"E8_#O$M_kOFMs0C"
+;
+
+@FR@cU:g::(c.g:4_R8PM#$OF_OkCM0s:rgjP9R#O$M_kOFMs0Crjg:9N;
+HsR30FD_sMHoNRlC"P8_#O$M_kOFMs0C"
+;
+
+@FR@6U:j::(6.j:6_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sN;
+HsR30FD_sMHoNRlC"#8_CE0_#O$M_kOFMs0C"
+;
+
+@FR@6U:4::(6.4:6_R8#_C0PM#$OF_OkCM0s_R8#_C0PM#$OF_OkCM0sN;
+HsR30FD_sMHoNRlC"#8_CP0_#O$M_kOFMs0C"
+;
+
+@FR@6U:.::(6..:n_R8#_C0OkFDlOM_F0kMC8sR_0#C_DOFk_lMOMFk0;Cs
+RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs;
+
+
+
+@FR@6U:d::(6.d:c_R8#_C0DCHM_kOFMs0CR#8_CD0_H_MCOMFk0;Cs
+RNH3Ds0_HFsolMNC8R"_0#C_MDHCF_OkCM0s
+";b@R@Ug:d:d(:g4:4RPHMR4kM_#sCCk0RMs4_C0#CR#sCC
+0;b@R@4..:j4j:gj:.j6:.RPHMR4kM_$E#MOO_F0kMCjsr9MRk4#_E$_MOOMFk0rCsjE9R#O$M_kOFMs0Cr;j9
+@bR@:4..:jj4.g:j.j:6MRHPMRk4#_E$_MOOMFk0rCsg9:.R4kM_$E#MOO_F0kMCgsr:R.9EM#$OF_OkCM0s:rg.
+9;b@R@4..:c4d:Uc:.dc:.RPHMR4kM_$E#M#O_0CN0r6.:9MRk4#_E$_MO#00NC:r.6E9R#O$M_N#00.Cr:;69
+@bR@:4..:cUcc:.UR:(LRkV8C_#0F_ODMkl_kOFMs0CR#8_CO0_FlDkMF_OkCM0s#RE$_MO#00NC9r4;R
+b@.@4:U.c:.c:c(U:RPHMRDOFk_lMOMFk0_CsM0CG4OjRFlDkMF_OkCM0sC_MGj04R$E#M#O_0CN0r;49
+@bR@:4.d:4d4dg:4.d:6MRHPMRk4#_P$_MOOMFk0rCsg9:jR4kM_$P#MOO_F0kMCgsr:Rj9PM#$OF_OkCM0s:rgj
+9;b@R@4d.:64n:U6:dnc:.RPHMR4kM_$P#M#O_0CN0r6.:9MRk4#_P$_MO#00NC:r.6P9R#O$M_N#00.Cr:;69
+@bR@:4.d:n4cn:d4R:(LRkV8C_#0H_DMOC_F0kMC8sR_0#C_MDHCF_OkCM0s#RP$_MO#00NC9r4;R
+b@.@4:4dn:dc:n(4:RPHMRMDHCF_OkCM0sC_MGd04RMDHCF_OkCM0sC_MGd04R$P#M#O_0CN0r;49
+@bR@4j::44::0.RsRkC0CskRk0sCb;
+Rj@@:44::.4:RDVN#VCRNCD#RDVN#
+C;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MGc0__l#JkRGNEM#$O0_#N_0CM0CG_#c_JGlkNCRs#
+C0RRRREM#$O0_#Nr0C4
+9;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MGc0__l#JkRGNPM#$O0_#N_0CM0CG_#c_JGlkNCRs#
+C0RRRRPM#$O0_#Nr0C4
+9;b@R@44.:j(U::U4j:RddNPM8RDOFk_lMOMFk0_CsM0CG_#j_JGlkNFRODMkl_kOFMs0C_GMC0__j#kJlGRN
+RsRRC0#CR$E#M#O_0CN0r;49
+@bR@:4.4:dn(d:4n4:dR8NMPHRDMOC_F0kMCMs_C_G0jJ_#lNkGRMDHCF_OkCM0sC_MGj0__l#Jk
+GNRRRRsCC#0#RP$_MO#00NC9r4;R
+b@.@4:n.j:.c:j(n:RPFsR4kM_$E#M#O_0CN0_k4RME4_#O$M_N#004C_R$E#M#O_0CN0rRn9EM#$O0_#Nr0Cj
+9;b@R@4..:jcn::n.j:F(RskPRME4_#O$M_N#00.C_R4kM_$E#M#O_0CN0_E.R#O$M_N#00dCr9#RE$_MO#00NC9r4;R
+b@.@4:n.j:.c:j(n:RPFsR4kM_$E#M#O_0CN0_kdRME4_#O$M_N#00dC_R$E#M#O_0CN0rR49EM#$O0_#Nr0CdR9
+RERR#O$M_N#00.Cr9b;
+R4@@.j:.n::c.:jn(sRFPMRk4#_E$_MO#00NCR_ck_M4EM#$O0_#N_0Cc#RE$_MO#00NC9r6R$E#M#O_0CN0r;c9
+@bR@:4.d:4gc4:dgR:(FRsPk_M4PM#$O0_#N_0C4MRk4#_P$_MO#00NCR_4PM#$O0_#Nr0CnP9R#O$M_N#00jCr9b;
+R4@@.4:dg::cd:4g(sRFPMRk4#_P$_MO#00NCR_.k_M4PM#$O0_#N_0C.#RP$_MO#00NC9r4R$P#M#O_0CN0r
+d9RRRRPM#$O0_#Nr0C.
+9;b@R@4d.:4cg::gd4:F(RskPRMP4_#O$M_N#00dC_R4kM_$P#M#O_0CN0_PdR#O$M_N#00dCr9#RP$_MO#00NC9r4;R
+b@.@4:gd4:dc:4(g:RPFsR4kM_$P#M#O_0CN0_kcRMP4_#O$M_N#00cC_R$P#M#O_0CN0rR69PM#$O0_#Nr0Cc
+9;b@R@44.:j(U::U4j:RddNPM8RDOFk_lMOMFk0_CsM0CG_#4_JGlkNFRODMkl_kOFMs0C_GMC0__4#kJlGRN
+RsRRC0#CRDOFk_lMOMFk0_CsM0CG4
+j;b@R@44.:d(n::n4d:Rd4NPM8RMDHCF_OkCM0sC_MG40__l#JkRGNDCHM_kOFMs0C_GMC0__4#kJlGRN
+RsRRC0#CRMDHCF_OkCM0sC_MGd04;R
+b@.@4:n.j:.c:j(n:RPHMR4kM_$E#M#O_0CN0_k6RME4_#O$M_N#006C_R4kM_$E#M#O_0CN0_
+c;b@R@4..:jcn::n.j:H(RMkPRME4_#O$M_N#00nC_R4kM_$E#M#O_0CN0_knRME4_#O$M_N#00dC_;R
+b@.@4:gd4:dc:4(g:RPHMR4kM_$P#M#O_0CN0_k6RMP4_#O$M_N#006C_R4kM_$P#M#O_0CN0_
+c;b@R@4d.:4cg::gd4:H(RMkPRMP4_#O$M_N#00nC_R4kM_$P#M#O_0CN0_knRMP4_#O$M_N#00.C_;R
+b@.@4:(4U:4c:U6(:RV#8VRsCPM_CNCLD_o#HRCP_MDNLCH_#oMRk4#_E$_MO#00NCR_.ORD       k_M4sCC#0R
+RRMRk4#_E$_MO#00NC;_6
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlR_"PCLMND#C_H;o"
+@bR@:4..:cUgc:.Ug:4R8NMP1R]Y_hBw_1vF\k034kM_$E#M#O_0CN0RY]1hwB_1Fv_k30\k_M4EM#$O0_#N
+0CRRRRk_M4EM#$O0_#Nr0C6k9RME4_#O$M_N#00cCr9MRk4#_E$_MO#00NC9rdR4kM_$E#M#O_0CN0r;.9
+@bR@:4.d:jjcj:djR:6#V8VsECR_NCML_DC#RHoEM_CNCLD_o#HR4kM_$P#M#O_0CN0_OdRDk      RMs4_C0#C
+RRRR4kM_$P#M#O_0CN0_
+6;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"CE_MDNLCH_#o
+";b@R@4d.:ng4::4dn:R4gNPM8RYe1hwB_1Fv_k30\k_M4PM#$O0_#NR0Ceh1YB1_wvk_F0k\3MP4_#O$M_N#00RC
+RkRRMP4_#O$M_N#006Cr9MRk4#_P$_MO#00NC9rcR4kM_$P#M#O_0CN0rRd9k_M4PM#$O0_#Nr0C.
+9;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MGj0__l#JkRGNEM#$O0_#N_0CM0CG_#j_JGlkNCRs#
+C0RRRRk_M4EM#$O0_#N_0C4b;
+R4@@.(:g:gc:(R:6NPM8R$P#M#O_0CN0_GMC0__j#kJlGPNR#O$M_N#00MC_C_G0jJ_#lNkGR#sCCR0
+RkRRMP4_#O$M_N#004C_;R
+b@.@4:U.c:.g:c4U:gMRHPCR#0#_E$_MOOMFk0(CsR0#C_$E#MOO_F0kMCRs(]h1YB1_wvk_F0k\3ME4_#O$M_N#00
+C;b@R@4d.:ng4::4dn:R4gHRMP#_C0PM#$OF_OkCM0s#(RCP0_#O$M_kOFMs0C(1ReY_hBw_1vF\k034kM_$P#M#O_0CN0;R
+b@.@4:d.4::44.:4ddN.RMR8P]h1YB1_wvC_MG30\kjM4_$E#MOO_F0kMC]sR1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0sR
+RRMRk4#_E$_MOOMFk0rCsjE9R#O$M_kOFMs0CrR49k_M4EM#$OF_OkCM0s9r.
+RRRR$E#MOO_F0kMCdsr9#RE$_MOOMFk0rCsck9RME4_#O$M_kOFMs0CrR69EM#$OF_OkCM0s9rn
+RRRR4kM_$E#MOO_F0kMC(sr9MRk4#_E$_MOOMFk0rCsUk9RME4_#O$M_kOFMs0Cr;g9
+@bR@:4..:4U4.4:4dU:dMRN8]PR1BYh_vw1_GMC0k\3M_44EM#$OF_OkCM0s1R]Y_hBw_1vM0CG\M3k4E4_#O$M_kOFMs0C
+RRRR$E#MOO_F0kMCjsr9#RE$_MOOMFk0rCs4E9R#O$M_kOFMs0CrR.9k_M4EM#$OF_OkCM0s9rd
+RRRR4kM_$E#MOO_F0kMCcsr9MRk4#_E$_MOOMFk0rCs6k9RME4_#O$M_kOFMs0Cr
+n9RRRREM#$OF_OkCM0s9r(R4kM_$E#MOO_F0kMCUsr9MRk4#_E$_MOOMFk0rCsg
+9;b@R@4..:.4n:4.:.nc:dR8NMP1R]Y_hBw_1vM0CG\M3k4E._#O$M_kOFMs0CRY]1hwB_1Mv_C\G034kM.#_E$_MOOMFk0
+CsRRRREM#$OF_OkCM0s9rjR$E#MOO_F0kMC4sr9#RE$_MOOMFk0rCs.k9RME4_#O$M_kOFMs0Cr
+d9RRRRk_M4EM#$OF_OkCM0s9rcR4kM_$E#MOO_F0kMC6sr9MRk4#_E$_MOOMFk0rCsnR9
+RkRRME4_#O$M_kOFMs0CrR(9EM#$OF_OkCM0s9rUR$E#MOO_F0kMCgsr9b;
+R4@@.d:.44:4:4.d:Rd.NPM8RY]1hwB_1Mv_C\G034kMd#_E$_MOOMFk0RCs]h1YB1_wvC_MG30\kdM4_$E#MOO_F0kMCRs
+RERR#O$M_kOFMs0CrRj9EM#$OF_OkCM0s9r4R$E#MOO_F0kMC.sr9#RE$_MOOMFk0rCsdR9
+RERR#O$M_kOFMs0CrRc9k_M4EM#$OF_OkCM0s9r6R4kM_$E#MOO_F0kMCnsr9R
+RRMRk4#_E$_MOOMFk0rCs(E9R#O$M_kOFMs0CrRU9EM#$OF_OkCM0s9rg;R
+b@.@4:nd.::44d:.ndN.RMR8Peh1YB1_wvC_MG30\k.M4_$P#MOO_F0kMCesR1BYh_vw1_GMC0k\3M_4.PM#$OF_OkCM0sR
+RR#RP$_MOOMFk0rCsjk9RMP4_#O$M_kOFMs0CrR49k_M4PM#$OF_OkCM0s9r.
+RRRR4kM_$P#MOO_F0kMCdsr9MRk4#_P$_MOOMFk0rCsck9RMP4_#O$M_kOFMs0Cr
+69RRRRk_M4PM#$OF_OkCM0s9rnR4kM_$P#MOO_F0kMC(sr9MRk4#_P$_MOOMFk0rCsUR9
+RkRRMP4_#O$M_kOFMs0Cr;g9
+@bR@:4.d:d44d4:dd4:dMRN8ePR1BYh_vw1_GMC0k\3M_4dPM#$OF_OkCM0s1ReY_hBw_1vM0CG\M3k4Pd_#O$M_kOFMs0C
+RRRR$P#MOO_F0kMCjsr9MRk4#_P$_MOOMFk0rCs4k9RMP4_#O$M_kOFMs0Cr
+.9RRRRk_M4PM#$OF_OkCM0s9rdR4kM_$P#MOO_F0kMCcsr9#RP$_MOOMFk0rCs6R9
+RkRRMP4_#O$M_kOFMs0CrRn9k_M4PM#$OF_OkCM0s9r(R4kM_$P#MOO_F0kMCUsr9R
+RRMRk4#_P$_MOOMFk0rCsg
+9;b@R@4d.:d4g:4d:dgc:dR8NMP1ReY_hBw_1vM0CG\M3k4Pc_#O$M_kOFMs0CRYe1hwB_1Mv_C\G034kMc#_P$_MOOMFk0
+CsRRRRPM#$OF_OkCM0s9rjR4kM_$P#MOO_F0kMC4sr9MRk4#_P$_MOOMFk0rCs.R9
+RkRRMP4_#O$M_kOFMs0CrRd9k_M4PM#$OF_OkCM0s9rcR4kM_$P#MOO_F0kMC6sr9R
+RRMRk4#_P$_MOOMFk0rCsnk9RMP4_#O$M_kOFMs0CrR(9k_M4PM#$OF_OkCM0s9rU
+RRRR$P#MOO_F0kMCgsr9b;
+R4@@.c:dc4:4:cdc:Rd.NPM8RYe1hwB_1Mv_C\G034kM6#_P$_MOOMFk0RCseh1YB1_wvC_MG30\k6M4_$P#MOO_F0kMCRs
+RkRRMP4_#O$M_kOFMs0CrRj9k_M4PM#$OF_OkCM0s9r4R4kM_$P#MOO_F0kMC.sr9R
+RR#RP$_MOOMFk0rCsdk9RMP4_#O$M_kOFMs0CrRc9k_M4PM#$OF_OkCM0s9r6
+RRRR4kM_$P#MOO_F0kMCnsr9MRk4#_P$_MOOMFk0rCs(k9RMP4_#O$M_kOFMs0Cr
+U9RRRRPM#$OF_OkCM0s9rg;R
+b@.@4:d.4::44.:4ddH.RMEPR#O$M_N#00MC_C6G0R$E#M#O_0CN0_GMC0]6R1BYh_vw1_GMC0k\3M_4jEM#$OF_OkCM0sb;
+R4@@.4:.U4:4:U.4:RddHRMPEM#$O0_#N_0CM0CGg#RE$_MO#00NCC_MGR0g]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMC
+s;b@R@4..:.4n:4.:.nc:dRPHMR$E#M#O_0CN0_GMC0R4cEM#$O0_#N_0CM0CG4]cR1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0sb;
+R4@@.d:.44:4:4.d:Rd.HRMPEM#$O0_#N_0CM0CG4EUR#O$M_N#00MC_C4G0U1R]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0C;R
+b@.@4:nd.::44d:.ndH.RMPPR#O$M_N#00MC_C6G0R$P#M#O_0CN0_GMC0e6R1BYh_vw1_GMC0k\3M_4.PM#$OF_OkCM0sb;
+R4@@.d:d44:4:4dd:RddHRMPPM#$O0_#N_0CM0CGg#RP$_MO#00NCC_MGR0geh1YB1_wvC_MG30\kdM4_$P#MOO_F0kMC
+s;b@R@4d.:d4g:4d:dgc:dRPHMR$P#M#O_0CN0_GMC0R4cPM#$O0_#N_0CM0CG4ecR1BYh_vw1_GMC0k\3M_4cPM#$OF_OkCM0sb;
+R4@@.c:dc4:4:cdc:Rd.HRMPPM#$O0_#N_0CM0CG4PUR#O$M_N#00MC_C4G0U1ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C;R
+b@.@4::g(c(:g:N6RMR8PEM#$O0_#N_0CM0CG_#4_JGlkN#RE$_MO#00NCC_MG40__l#JkRGNsCC#0R
+RR#RE$_MO#00NC9r6RY]1hwB_1Mv_C\G034kMj#_E$_MOOMFk0;Cs
+@bR@:4.gc(:::g(6MRN8EPR#O$M_N#00MC_C_G0dJ_#lNkGR$E#M#O_0CN0_GMC0__d#kJlGsNRC0#C
+RRRR$E#M#O_0CN0rRc9]h1YB1_wvC_MG30\k4M4_$E#MOO_F0kMC
+s;b@R@4g.:(::cg6(:R8NMP#RE$_MO#00NCC_MG60__l#JkRGNEM#$O0_#N_0CM0CG_#6_JGlkNCRs#
+C0RRRREM#$O0_#Nr0Cd]9R1BYh_vw1_GMC0k\3M_4.EM#$OF_OkCM0sb;
+R4@@.(:g:gc:(R:6NPM8R$E#M#O_0CN0_GMC0__n#kJlGENR#O$M_N#00MC_C_G0nJ_#lNkGR#sCCR0
+RERR#O$M_N#00.Cr91R]Y_hBw_1vM0CG\M3k4Ed_#O$M_kOFMs0C;R
+b@.@4::g(c(:g:N6RMR8PPM#$O0_#N_0CM0CG_#4_JGlkN#RP$_MO#00NCC_MG40__l#JkRGNsCC#0R
+RR#RP$_MO#00NC9r6RYe1hwB_1Mv_C\G034kM.#_P$_MOOMFk0;Cs
+@bR@:4.gc(:::g(6MRN8PPR#O$M_N#00MC_C_G0dJ_#lNkGR$P#M#O_0CN0_GMC0__d#kJlGsNRC0#C
+RRRR$P#M#O_0CN0rRc9eh1YB1_wvC_MG30\kdM4_$P#MOO_F0kMC
+s;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MG60__l#JkRGNPM#$O0_#N_0CM0CG_#6_JGlkNCRs#
+C0RRRRPM#$O0_#Nr0Cde9R1BYh_vw1_GMC0k\3M_4cPM#$OF_OkCM0sb;
+R4@@.(:g:gc:(R:6NPM8R$P#M#O_0CN0_GMC0__n#kJlG3N\PM#$O0_#N_0CM0CG_#n_JGlkN#RP$_MO#00NCC_MGn0__l#Jk\GN3$P#M#O_0CN0_GMC0__n#kJlGRN
+RPRR#O$M_N#00.Cr91ReY_hBw_1vM0CG\M3k4P6_#O$M_kOFMs0C;R
+b@.@4::g(c(:g:N6RMR8PPM#$O0_#N_0CM0CG_#n_JGlkN#RP$_MO#00NCC_MGn0__l#JkRGNsCC#0R
+RR#RP$_MO#00NC9r.RYe1hwB_1Mv_C\G034kM6#_P$_MOOMFk0;Cs
+@bR@:4.4:44g4:444:cRRD0BzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_HBoRmvpzhm_Bz_haM0CG\M3k4Oj_FlDkMF_OkCM0sH_#oR
+RRFRODMkl_kOFMs0C_o#Hrjg:9sR0kVC,NCD#,DVN#0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCb;
+R4@@.d:4g::g4:dgcDjR0QRphB _mazh_GMC0k\3M_4jDCHM_kOFMs0C_o#HRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#
+HoRRRRDCHM_kOFMs0C_o#HrjU:9sR0k0C,s,kC0Csk,DVN#0C,s,kC0Csk,k0sCs,0k0C,s;kC
+@bR@:4.4:(.g(:4.n:dRRD0]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0RCs]h1YBm_Bz_haM0CG\M3kg#_E$_MOOMFk0
+CsRRRREM#$OF_OkCM0s:rgj09Rs,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk;R
+b@.@4:d.4::44.:4ddN.RMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_4EM#$O0_#N_0CM0CG_#4_JGlkN
+_4RRRREM#$O0_#Nr0C6E9R#O$M_N#00MC_C6G0;R
+b@.@4:U.4::44.:4UdNdRMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_.EM#$O0_#N_0CM0CG_#4_JGlkN
+_.RRRREM#$O0_#Nr0CcE9R#O$M_N#00MC_CgG0;R
+b@.@4:n..::44.:.ndNcRMR8PEM#$O0_#N_0CM0CG_#4_JGlkNR_dEM#$O0_#N_0CM0CG_#4_JGlkN
+_dRRRREM#$O0_#Nr0CdE9R#O$M_N#00MC_C4G0cb;
+R4@@.d:.44:4:4.d:Rd.NPM8R$E#M#O_0CN0_GMC0__4#kJlGcN_R$E#M#O_0CN0_GMC0__4#kJlGcN_
+RRRR$E#M#O_0CN0rR.9EM#$O0_#N_0CM0CG4
+U;b@R@4..:Ug4::4.U:RdnDe0R1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCesR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCRs
+RPRR#O$M_kOFMs0Crjg:9sR0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s;kC
+@bR@:4.d:.n4d4:.dn:.MRN8PPR#O$M_N#00MC_C_G04J_#lNkG_P4R#O$M_N#00MC_C_G04J_#lNkG_R4
+RPRR#O$M_N#006Cr9#RP$_MO#00NCC_MG;06
+@bR@:4.d:d44d4:dd4:dMRN8PPR#O$M_N#00MC_C_G04J_#lNkG_P.R#O$M_N#00MC_C_G04J_#lNkG_R.
+RPRR#O$M_N#00cCr9#RP$_MO#00NCC_MG;0g
+@bR@:4.d:dg4d4:ddg:cMRN8PPR#O$M_N#00MC_C_G04J_#lNkG_PdR#O$M_N#00MC_C_G04J_#lNkG_Rd
+RPRR#O$M_N#00dCr9#RP$_MO#00NCC_MGc04;R
+b@.@4:cdc::44d:ccdN.RMR8PPM#$O0_#N_0CM0CG_#4_JGlkNR_cPM#$O0_#N_0CM0CG_#4_JGlkN
+_cRRRRPM#$O0_#Nr0C.P9R#O$M_N#00MC_C4G0Ub;
+R4@@.4:44::g4:44cH4RMOPRFlDkMF_OkCM0sC_MGR0(OkFDlOM_F0kMCMs_C(G0RpBmz_vhBhmzaC_MG30\kjM4_DOFk_lMOMFk0_Cs#;Ho
+@bR@:4.4:dggd:4gj:cRPHMRMDHCF_OkCM0sC_MGj04RMDHCF_OkCM0sC_MGj04RhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#;Ho
+@bR@:4.4:(.g(:4.n:dRPHMR$E#MOO_F0kMCMs_C(G0R$E#MOO_F0kMCMs_C(G0RY]1hBB_mazh_GMC0k\3MEg_#O$M_kOFMs0C;R
+b@.@4:4.U:.g:Ud4:nMRHP#RP$_MOOMFk0_CsM0CG4PjR#O$M_kOFMs0C_GMC0R4jeh1YBm_Bz_haM0CG\M3kg#_P$_MOOMFk0;Cs
+@bR@:4.4:44g4:444:cR8NMPFRODMkl_kOFMs0C_GMC0__j#kJlG4N_RDOFk_lMOMFk0_CsM0CG_#j_JGlkN
+_4RRRRBzmpvBh_mazh_GMC0k\3M_4jOkFDlOM_F0kMC#s_HOoRFlDkMF_OkCM0sC_MG40__l#Jk;GN
+@bR@:4.4:4.d44:46.:c8RN8MRk.F_ODMkl_kOFMs0C_GMC0:rgjk9RMO._FlDkMF_OkCM0sC_MGg0r:
+j9RRRROkFDlOM_F0kMC#s_Hgor:Rj90Csk;R
+b@.@4:g4d:4g:dcg:jMRN8DPRH_MCOMFk0_CsM0CG_#j_JGlkNR_4DCHM_kOFMs0C_GMC0__j#kJlG4N_
+RRRRhpQ m_Bz_haM0CG\M3k4Dj_H_MCOMFk0_Cs#RHoDCHM_kOFMs0C_GMC0__4#kJlG
+N;b@R@44.:(dd:j(:4dU:cR8N8R.kM_$E#MOO_F0kMCMs_CrG0g9:jR.kM_$E#MOO_F0kMCMs_CrG0g9:j
+RRRR$E#MOO_F0kMCgsr:Rj90Csk;R
+b@.@4:U.c:.c:c(U:RkblG_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0ssR0kEC,#O$M_N#00nCr9R
+RRNRVD,#CEM#$O0_#Nr0C409Rs,kCEM#$O0_#Nr0CjV9RNCD#,0#C_$E#MOO_F0kMC;s(
+@bR@:4.d:n4cn:d4R:(bGlkR#8_CP0_#O$M_kOFMs0CR#8_CP0_#O$M_kOFMs0CRk0sC#,P$_MO#00NC9rn
+RRRRDVN#PC,#O$M_N#004Cr9sR0kPC,#O$M_N#00jCr9NRVD,#C#_C0PM#$OF_OkCM0s
+(;b@R@44.:4g4::444:Rc4NPM8RDOFk_lMOMFk0_CsM0CG_#4_JGlkNR_4OkFDlOM_F0kMCMs_C_G04J_#lNkG_R4
+RORRFlDkMF_OkCM0sC_MGR0(OkFDlOM_F0kMCMs_C_G04J_#lNkG;R
+b@.@4:g4d:4g:dcg:jMRN8DPRH_MCOMFk0_CsM0CG_#4_JGlkNR_4DCHM_kOFMs0C_GMC0__4#kJlG4N_
+RRRRMDHCF_OkCM0sC_MGj04RMDHCF_OkCM0sC_MG40__l#Jk;GN
+@bR@:4..:cUcc:.UR:(HRMPk4M4_$P#MOO_F0kMCksRM_44PM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sb;
+R4@@.n:d4::cd:n4(MRHP#RP$_MOOMFk0_CsM0CG4PdR#O$M_kOFMs0C_GMC0R4d8C_#0#_P$_MOOMFk0;Cs
+@bR@:4..:jncj:.nR:(FRsPk_M4EM#$O0_#N_0CM0CG_#4_JGlkNMRk4#_E$_MO#00NCC_MG40__l#Jk
+GNRRRREM#$O0_#N_0CM0CG_#4_JGlkNR_4EM#$O0_#N_0CM0CG_#4_JGlkNR_.EM#$O0_#N_0CM0CG_#4_JGlkN
+_dRRRREM#$O0_#N_0CM0CG_#4_JGlkN;_c
+@bR@:4.d:4gc4:dgR:(FRsPk_M4PM#$O0_#N_0CM0CG_#4_JGlkNMRk4#_P$_MO#00NCC_MG40__l#Jk
+GNRRRRPM#$O0_#N_0CM0CG_#4_JGlkNR_4PM#$O0_#N_0CM0CG_#4_JGlkNR_.PM#$O0_#N_0CM0CG_#4_JGlkN
+_dRRRRPM#$O0_#N_0CM0CG_#4_JGlkN;_c
+@bR@:4.4:ng(n:4g.:dR8NMP#RE$_MOOMFk0_CsM0CG_#j_JGlkN#RE$_MOOMFk0_CsM0CG_#j_JGlkNR
+RRCRs#RC08C_#0#_E$_MOOMFk0;Cs
+@bR@:4..:(U((:.U.:dR8NMP#RP$_MOOMFk0_CsM0CG_#j_JGlkN#RP$_MOOMFk0_CsM0CG_#j_JGlkNR
+RRCRs#RC08C_#0#_P$_MOOMFk0;Cs
+@bR@:4.4:ng(n:4g.:dR8NMP#RE$_MOOMFk0_CsM0CG_#4_JGlkN#RE$_MOOMFk0_CsM0CG_#4_JGlkNR
+RRCRs#RC0k4M4_$P#MOO_F0kMC
+s;b@R@4..:((U::U.(:Rd.NPM8R$P#MOO_F0kMCMs_C_G04J_#lNkGR$P#MOO_F0kMCMs_C_G04J_#lNkG
+RRRR#sCCP0R#O$M_kOFMs0C_GMC0;4d
+@bR@:4.gc(:::g(6MRN8EPR#O$M_N#00MC_C_G0.J_#lNkGR$E#M#O_0CN0_GMC0__.#kJlGsNRC0#C
+RRRR4kM_$E#M#O_0CN0_GMC0__4#kJlG
+N;b@R@4g.:(::cg6(:R8NMP#RP$_MO#00NCC_MG.0__l#JkRGNPM#$O0_#N_0CM0CG_#._JGlkNCRs#
+C0RRRRk_M4PM#$O0_#N_0CM0CG_#4_JGlkNb;
+R4@@.j:d4::nd:j4(sRFPMRk4#_P$_MO#00NCC_MG40__l#Jk_GN4MRk4#_P$_MO#00NCC_MG40__l#Jk_GN4R
+RRMRk4#_P$_MO#00NCC_MG40__l#JkRGNPM#$O0_#N_0CM0CG_#n_JGlkNP\3#O$M_N#00MC_C_G0nJ_#lNkG;R
+b@.@4:U4U:4n:U(U:RkblG#RE$_MO#00NCM_O#j0r:R69EM#$O0_#N_0CO0M#r6j:9NRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCM,k4C_s#
+C0RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCs,0kEC,#O$M_N#00MC_C_G0jJ_#lNkGRDVN#VC,NCD#,DVN#VC,NCD#,k0sCN,VD,#CEM#$O0_#N_0CM0CG_#4_JGlkNR
+RRNRVD,#C0Csk,DVN#VC,NCD#,DVN#VC,NCD#,$E#M#O_0CN0_GMC0__d#kJlGVNRNCD#,DVN#VC,NCD#,k0sCN,VD,#CV#NDC#,E$_MO#00NCC_MGc0__l#Jk
+GNRRRRV#NDCN,VD,#C0Csk,DVN#VC,NCD#,DVN#EC,#O$M_N#00MC_C_G06J_#lNkGRk0sCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CEM#$O0_#N_0CM0CG_#n_JGlkNb;
+R4@@.j:dj::cd:jj6MRHPMRk4#_E$_MO#00NCC_MG.0__l#JkRGNk_M4EM#$O0_#N_0CM0CG_#._JGlkNR
+RR#RE$_MO#00NCC_MG.0__l#Jk;GN
+@bR@:4.d:jjcj:djR:6HRMPk_M4PM#$O0_#N_0CM0CG_#._JGlkNMRk4#_P$_MO#00NCC_MG.0__l#Jk
+GNRRRRPM#$O0_#N_0CM0CG_#._JGlkNb;
+R4@@.j:d4::nd:j4(lRbkPGR#O$M_N#00OC_Mr#0.9:6R$P#M#O_0CN0_#OM0:r.6V9RNCD#,DVN#VC,NCD#,DVN#kC,Ms4_C0#C
+RRRRDVN#VC,NCD#,DVN#0C,s,kCPM#$O0_#N_0CM0CG_#j_JGlkNNRVD,#CV#NDCs,0kVC,NCD#,$P#M#O_0CN0_GMC0__4#kJlGRN
+RVRRNCD#,DVN#VC,NCD#,DVN#PC,#O$M_N#00MC_C_G0dJ_#lNkGRDVN#0C,s,kCV#NDCN,VD,#CPM#$O0_#N_0CM0CG_#c_JGlkNR
+RRsR0kVC,NCD#,DVN#VC,NCD#,$P#M#O_0CN0_GMC0__6#kJlGVNRNCD#,DVN#VC,NCD#,DVN#PC,#O$M_N#00MC_C_G0nJ_#lNkG;R
+b@.@4:.4(:4g:(d.:nMRN8EPR#O$M_kOFMs0C_GMC0__j#kJlG4N_R$E#MOO_F0kMCMs_C_G0jJ_#lNkG_R4
+R]RR1BYh_zBmhMa_C\G03gkM_$E#MOO_F0kMCEsR#O$M_kOFMs0C_GMC0__4#kJlG
+N;b@R@44.:(g.::.4(:RdnNPM8R$E#MOO_F0kMCMs_C_G04J_#lNkG_E4R#O$M_kOFMs0C_GMC0__4#kJlG4N_
+RRRR$E#MOO_F0kMCMs_C(G0R$E#MOO_F0kMCMs_C_G04J_#lNkG;R
+b@.@4:4.U:.g:Ud4:nMRN8PPR#O$M_kOFMs0C_GMC0__j#kJlG4N_R$P#MOO_F0kMCMs_C_G0jJ_#lNkG_R4
+ReRR1BYh_zBmhMa_C\G03gkM_$P#MOO_F0kMCPsR#O$M_kOFMs0C_GMC0__4#kJlG
+N;b@R@4..:Ug4::4.U:RdnNPM8R$P#MOO_F0kMCMs_C_G04J_#lNkG_P4R#O$M_kOFMs0C_GMC0__4#kJlG4N_
+RRRR$P#MOO_F0kMCMs_C4G0j#RP$_MOOMFk0_CsM0CG_#4_JGlkNb;
+R4@@.j:d4::nd:j4(MRN8kPRMs4_C0#C_k4RMs4_C0#C_s4RC0#CR4kM_$P#M#O_0CN0_GMC0__4#kJlG4N_;R
+b@.@4::gUnU:g:b(RlRkGBzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr:Rj9BzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr:
+j9RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#Ck_M4sCC#0R
+RRNRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCF,ODMkl_kOFMs0C_GMC0__j#kJlGRN
+RkRRMO._FlDkMF_OkCM0sC_MGg0r:,j9OkFDlOM_F0kMCMs_C_G0jJ_#lNkG_R4
+R0RRs,kCV#NDCN,VD,#C0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0kOC,FlDkMF_OkCM0sC_MG40__l#Jk_GN4b;
+R4@@.j:d4::nd:j4(MRHPMRk4C_s#_C0.MRk4C_s#_C0.MRk4C_s#_C04b;
+R4@@.(:g:gc:(R:68RVVOkFDlOM_F0kMC#s_Hgor:Rj9OkFDlOM_F0kMC#s_Hgor:Rj9BzmpvBh_mazh_M#$\F3ODMkl_kOFMs0C_o#H_gdr:
+j9RRRRO;D      
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRF"ODMkl_kOFMs0C_o#H"b;
+R4@@.U:4U::n4:UU(lRbkEGR#O$M_N#00dC_r6j:9#RE$_MO#00NCr_dj9:6R$E#M#O_0CN0r6j:9#,E$_MO#00NCC_MG.0__l#Jk
+GNRRRREM#$O0_#N_0CO0M#r6j:9M,k4#_E$_MO#00NCC_MG.0__l#Jk;GN
+@bR@:4.d:j4nj:d4R:(bGlkR$P#M#O_0CN0_.dr:R69PM#$O0_#N_0Cd:r.6P9R#O$M_N#00.Cr:,69PM#$O0_#N_0CM0CG_#._JGlkNR
+RR#RP$_MO#00NCM_O#.0r:,69k_M4PM#$O0_#N_0CM0CG_#._JGlkNb;
+R4@@.c:444:d:44c:R6.NR88k_M4DCHM_kOFMs0C_o#Hr4g:9MRk4H_DMOC_F0kMC#s_Hgor:R49DCHM_kOFMs0C_o#HrjU:9R
+RR_R8#_C0EM#$OF_OkCM0sb;
+R4@@.U:.d.:d:d.U:R6jNR88k_M4PM#$OF_OkCM0sr_444j:9MRk4#_P$_MOOMFk0_Cs4jr4:R49PM#$OF_OkCM0s:rgjR9
+R8RR_0#C_$E#MOO_F0kMC
+s;b@R@44.:6ng::g46:b(RlRkG]h1YBm_Bz_ha#\$M3$E#MOO_F0kMCds_rjg:91R]Y_hBBhmza$_#ME\3#O$M_kOFMs0C_gdr:
+j9RRRRV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#Ck_M4sCC#0R
+RRNRVD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDC#,E$_MOOMFk0_CsM0CG_#j_JGlkNR
+RRMRk.#_E$_MOOMFk0_CsM0CGrjg:9#,E$_MOOMFk0_CsM0CG_#j_JGlkNR_40Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sC#,E$_MOOMFk0_CsM0CG_#4_JGlkN;_4
+@bR@:4.4:U(cU:4(R:68RVVEM#$O0_#Nr0Cj9:nR$E#M#O_0CN0rnj:9#RE$_MO#00NCr_dj9:6,4kM_#sCCR0
+RORRD
+       ;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$E#M#O_0CN0"b;
+R4@@.6:4U::c4:6U6VR8V#RE$_MOOMFk0rCsg9:jR$E#MOO_F0kMCgsr:Rj9]h1YBm_Bz_ha#\$M3$E#MOO_F0kMCds_rjg:9R
+RRDRO  N;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CREM#$OF_OkCM0s
+";b@R@44.:Uc(::(4U:#6R8#VVC_RE#O$MR#E_$RMOEM#$O0_#Nr0CcO9RDk   RMs4_C0#CR4kM_$E#M#O_0CN0_
+n;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"#E_$"MO;R
+b@.@4:4dj:dn:j(4:RkblG#RP$_MO#00NCr_djP9R#O$M_N#00dC_rRj9V#NDCM,k4C_s#_C0.#RP$_MO#00NC9rj,$P#M#O_0CN0_GMC0__.#kJlGRN
+R0RRs,kCPM#$O0_#N_0CM0CG_#n_JGlkNb;
+R4@@..:4n::n4:.n(lRbkpGRQ_h Bhmza$_#MD\3H_MCOMFk0_Cs#_Hod:rUjp9RQ_h Bhmza$_#MD\3H_MCOMFk0_Cs#_Hod:rUjR9
+RVRRNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,4kM_#sCCV0RNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,MDHCF_OkCM0sC_MGj0__l#Jk
+GNRRRRk_M4DCHM_kOFMs0C_o#Hr4g:9H,DMOC_F0kMCMs_C_G0jJ_#lNkG_04Rs,kC0Csk,k0sCN,VD,#C0Csk,k0sCs,0k0C,s,kC0Csk,MDHCF_OkCM0sC_MG40__l#Jk_GN4b;
+R4@@.n:.U::n.:nU(lRbkeGR1BYh_zBmh#a_$3M\PM#$OF_OkCM0sr_dg9:jRYe1hBB_mazh_M#$\#3P$_MOOMFk0_Csd:rgjR9
+RVRRNCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#kC,Ms4_C0#C
+RRRRDVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,$P#MOO_F0kMCMs_C_G0jJ_#lNkG
+RRRR4kM_$P#MOO_F0kMC4s_r:4j4P9,#O$M_kOFMs0C_GMC0__j#kJlG4N_Rk0sCs,0k0C,s,kC0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0kPC,#O$M_kOFMs0C_GMC0__4#kJlG4N_;R
+b@.@4:jdj:dc:j6j:RV8VR$P#M#O_0CN0rnj:9#RP$_MO#00NC:rjnP9R#O$M_N#00dC_r,j9PM#$O0_#N_0CM0CG_#d_JGlkN#,P$_MO#00NCr_d.9:6,4kM_#sCCR0
+RORRD
+       ;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"$P#M#O_0CN0"b;
+R4@@..:46::c4:.66VR8VHRDMOC_F0kMC#s_HUor:Rj9DCHM_kOFMs0C_o#HrjU:9QRphB _mazh_M#$\H3DMOC_F0kMC#s_Hdo_rjU:9R
+RRDRO  N;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRDCHM_kOFMs0C_o#H"b;
+R4@@.n:.(::c.:n(6VR8V#RP$_MOOMFk0rCsg9:jR$P#MOO_F0kMCgsr:Rj9eh1YBm_Bz_ha#\$M3$P#MOO_F0kMCds_rjg:9R
+RRDRO  N;
+H$R#Ms_bCs#CP4CR;H
+NR03sDs_FHNoMl"CRPM#$OF_OkCM0s
+";b@R@4d.:jcj::jdj:#6R8#VVC_RP#O$MR#P_$RMOPM#$O0_#Nr0CcO9RDk   RMs4_C0#CR4kM_$P#M#O_0CN0_
+n;N#HR$bM_sCC#sRPC4N;
+HsR30FD_sMHoNRlC"#P_$"MO;-
+y--------------------------------- 
+@
+ftell;
+@E@MR@d(:(::(d4(:(FRIsP        RoON_FsM0FLDRCPEN;P
+NR#3HPDE8R
+4;N3PRHP#_ER8D4N;
+PFR3shHoNRlC"NPo_MOF0DsF"N;
+P$R#Ms_bCs#CP4CR;
+
+
+
+@HR@d(:U::(dgU:R       ODR     OD;H
+NR03sDs_FHNoMl"CRO"D   ;
+
+
+
+@HR@d(:g::(d4g:4CRs#RC0sCC#0N;
+HsR30FD_sMHoNRlC"#sCC;0"
+@HR@c(:j::(c.j:jFRODMkl_kOFMs0Crjg:9FRODMkl_kOFMs0Crjg:9N;
+HsR30FD_sMHoNRlC"DOFk_lMOMFk0"Cs;
+
+
+
+@FR@c(:4::(c.4:jFR0oCoD_kOFMs0Cr:.cj09RFDooCF_OkCM0sH_#ocr.:;j9
+RNH3Ds0_HFsolMNC0R"FDooCF_OkCM0s
+";
+@FR@c(:.::(c4.:.FR0oCoDRo0Fo_DC#;Ho
+RNH3Ds0_HFsolMNC0R"FDooC
+";
+@HR@c(:d::(c4d:UHRDMOC_F0kMCUsr:Rj9DCHM_kOFMs0CrjU:9N;
+HsR30FD_sMHoNRlC"MDHCF_OkCM0s
+";
+@HR@c(:c::(c4c:c_RPCLMNDPCR_NCML;DC
+RNH3Ds0_HFsolMNCPR"_NCML"DC;
+
+
+
+@HR@c(:6::(c46:c_RECLMNDECR_NCML;DC
+RNH3Ds0_HFsolMNCER"_NCML"DC;
+
+
+
+@FR@c(:n::(c(n:RssR;H
+NR03sDs_FHNoMl"CRs
+";
+@FR@c(:nj:4::cn4ojRR
+o;N3HRs_0DFosHMCNlR""o;
+
+
+
+@FR@c(:nd:4::cn4LdRR
+L;N3HRs_0DFosHMCNlR""L;R
+b@:@(d(g:::dg4H4RMkPRMs4_C0#CR4kM_#sCCs0RC0#C;R
+b@4@4:j4j:4c:j6j:RPHMRo0Fo_DCM0CGRo0Fo_DCM0CGRo0Fo_DC#;Ho
+@bR@4j::44::0.RsRkC0CskRk0sCb;
+Rj@@:44::.4:RDVN#VCRNCD#RDVN#
+C;b@R@4n4:4::cn64:RV8VsRRooNRVDR#CORD  k_M4sCC#0N;
+HsR30FD_sMHoNRlC";o"
+RNH#_$Mb#sCCCsPR
+4;b@R@4n4:4::cn64:RV8VsRRssNRVDR#CORD  k_M4sCC#0N;
+HsR30FD_sMHoNRlC";s"
+RNH#_$Mb#sCCCsPR
+4;b@R@4(4:nU:d::(nnDjR0)R7q1W_T)zq C_MG30\k_M6PM_CNCLDRq7)WT_1z q)_GMC0k\3MP6__NCML
+DCRRRRV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#VC,NCD#RDOFk_lMOMFk0rCsg9:j;R
+b@4@4::(n4(j:n.:dRRD07W)q_z1Tq_) M0CG\M3kg__PCLMND7CR)_qW1qTz)M _C\G03gkM_CP_MDNLCR
+RRFRODMkl_kOFMs0Crjg:9NRVD,#CV#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#
+C;b@R@4(4:(j:4::((dD.R0)R7q1W_T)zq C_MG30\kdM4_CP_MDNLC)R7q1W_T)zq C_MG30\kdM4_CP_MDNLCR
+RRHRDMOC_F0kMCUsr:Rj9V#NDCN,VD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#
+C;b@R@4(4:(U:d::((nDjR0)R7q1W_T)zq C_MG30\k(M4_CP_MDNLC)R7q1W_T)zq C_MG30\k(M4_CP_MDNLCR
+RRNRVD,#C0Csk,k0sCN,VD,#CV#NDCs,0kVC,NCD#,DVN#VC,NCD#RMDHCF_OkCM0s:rUj
+9;b@R@4(4:nU:d::(nnHjRMkPRMPn__NCMLRDCk_MnPM_CNCLDRq7)WT_1z q)_GMC0k\3MP6__NCML;DC
+@bR@:44(4n:jn:(:Rd.HRMPkjM4_CP_MDNLCMRk4Pj__NCMLRDC7W)q_z1Tq_) M0CG\M3kg__PCLMND
+C;b@R@4(4:(j:4::((dH.RMkPRM_4cPM_CNCLDR4kMc__PCLMND7CR)_qW1qTz)M _C\G034kMd__PCLMND
+C;b@R@4(4:(U:d::((nHjRMkPRM_4UPM_CNCLDR4kMU__PCLMND7CR)_qW1qTz)M _C\G034kM(__PCLMND
+C;b@R@444:4(.::.44:RdUDA0RpiQh M)_C\G034kM_o0Fo_DCOMFk0_Cs#RHoAhpQi_ )M0CG\M3k4F_0oCoD_kOFMs0C_o#H
+RRRRo0Fo_DCOMFk0_Cs#rHo.jc:9NRVD,#CV#NDCN,VD,#CV#NDCN,VD,#C0Csk,k0sCs,0k0C,s,kC0Csk,k0sCs,0kVC,NCD#,DVN#0C,s,kCV#NDCs,0kVC,NCD#,DVN#VC,NCD#,DVN#VC,NCD#,DVN#0C,s,kCV#NDCb;
+R4@@44:4.::(4:4.dHURMkPRM04_FDooCF_OkCM0sH_#oMRk4F_0oCoD_kOFMs0C_o#HRQAph)i _GMC0k\3M04_FDooCF_OkCM0sH_#ob;
+R4@@4j:4j::c4:jj6VR8VRsC0oFoD#C_H0oRFDooCH_#oFR0oCoD_GMC0DRO   MRk4C_s#RC0k_M40oFoDOC_F0kMC#s_H
+o;N3HRs_0DFosHMCNlRF"0oCoD_o#H"N;
+H$R#Ms_bCs#CP4CR;R
+b@4@4::(n4(j:(j:nR8NMP_RLM0CG_#j_JGlkN_RLM0CG_#j_JGlkN_RPCLMNDECR_NCMLRDCk_MnPM_CNCLD
+RRRR4kMj__PCLMNDkCRM_4cPM_CNCLDR4kMU__PCLMND
+C;b@R@444:4.n:g4:4n.:6R8N8R.kM_o0Fo_DCOMFk0_CsM0CGr:4gjk9RM0._FDooCF_OkCM0sC_MG40rg9:j
+RRRRo0Fo_DCOMFk0_Cs#rHo4jg:9sR0k
+C;b@R@4(4:6::c(66:RGlkRML_CRG0LC_MGV0RNCD#Ro0Fo_DC#RHoLC_MGj0__l#Jk;GN
+@bR@:444:4.c4:4.R:6lRkG0oFoDOC_F0kMCMs_CrG04jg:9FR0oCoD_kOFMs0C_GMC0gr4:Rj9V#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD,#CV#NDCN,VD
+#CRRRRk_M.0oFoDOC_F0kMCMs_CrG04jg:9pRAQ hi)C_MG30\k_M40oFoDOC_F0kMC#s_H
+o;b@R@4n4:4::cn64:RV8VsRRLL_RLM0CGR    ODR4kM_#sCC
+0;N3HRs_0DFosHMCNlR""L;H
+NRM#$_Cbs#PCsC;R4
+@bR@:444:jjcj:4jR:68sVVRo0Fo_DCOMFk0_Cs#rHo.jc:9FR0oCoD_kOFMs0C_o#Hr:.cjV9RNCD#,DVN#VC,NCD#,DVN#VC,NCD#,o0Fo_DCOMFk0_CsM0CGr:4gjR9
+RORRDk RMs4_C0#C;H
+NR03sDs_FHNoMl"CR0oFoDOC_F0kMC#s_H;o"
+RNH#_$Mb#sCCCsPR
+4;y--------------------------------
+--@
+
+ftell;
+@E@MR@d6:U::(dgU:RsIF  oRPNCRLE;NP
+RNP3PH#ER8D4N;
+PHR3#E_P84DR;P
+NR$3#Ml_VN"bRI FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oNb3N   P\E8"F
+Is\    R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNM_C0E3P8
+\"I    FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oNN3sOP\E8"F
+Is\    R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#OF/LN_s88PsHCCs_MP03E"8\
+sIF    "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NLFs88_sCHPss_NOE3P8
+\"I    FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oNO0FMs_FDC3M0P\E8"F
+Is\    R"F/El/C#LLksN8M/HD8CkH/884C_n#/Lb7c/Co#HMFVDIs/#Oo/PNF_OMF0sDs_NOE3P8
+\"I    FsR/\"ECFl#k/LsMLN/88HC/Dk8CH8_/4nLc#b/#7CHVoMD/FI#/sOP_oN8PsHCCs_MP03E"8\
+sIF    "R\/lEFCL#/kNsLMH/88kCD/88HCn_4/bL#cC/7#MHoVIDF/O#s/NPo_H8sP_CsN3sOP\E8";
+"
+RNP#_$Mb#sCCCsPR
+4;
+@HR@c6:.::(c4.:dDRO    H_bMDRO H_bMN;
+HsR30FD_sMHoNRlC"      OD_MbH"
+;
+
+@HR@c6:d::(c4d:6CRs#_C0bRHMsCC#0H_bMN;
+HsR30FD_sMHoNRlC"#sCCb0_H;M"
+@FR@c6:6::(c46:.jRs_MbHR_sjb;HM
+RNH3Ds0_HFsolMNCsR"jH_bM
+";
+@FR@c6:66:4::c6.sjR4H_bM4Rs_MbH;H
+NR03sDs_FHNoMl"CRsb4_H;M"
+@FR@c6:6d:.::c6.sUR.H_bM.Rs_MbH;H
+NR03sDs_FHNoMl"CRsb._H;M"
+@FR@c6:n::(c4n:.jRo_MbHR_ojb;HM
+RNH3Ds0_HFsolMNCoR"jH_bM
+";
+@FR@c6:n6:4::cn.ojR4H_bM4Ro_MbH;H
+NR03sDs_FHNoMl"CRob4_H;M"
+@FR@c6:nd:.::cn.oUR.H_bM.Ro_MbH;H
+NR03sDs_FHNoMl"CRob._H;M"
+@FR@c6:(::(c4(:.jRL_MbHR_Ljb;HM
+RNH3Ds0_HFsolMNCLR"jH_bM
+";
+@FR@c6:(6:4::c(.LjR4H_bM4RL_MbH;H
+NR03sDs_FHNoMl"CRLb4_H;M"
+@FR@c6:U::(c4U:6#RE$_MObRHMEM#$OH_bMN;
+HsR30FD_sMHoNRlC"$E#MbO_H;M"
+@FR@c6:g::(c4g:6#RP$_MObRHMPM#$OH_bMN;
+HsR30FD_sMHoNRlC"$P#MbO_H;M"
+@FR@66:4::(644:gCR#P_CM#_CobrHM4jd:9CR#P_CM#_CobrHM4jd:9N;
+HsR30FD_sMHoNRlC"P#CC#M_Cbo_H;M"
+@FR@66:d::(64d:d_R8EM#$O_R8EM#$ON;
+HsR30FD_sMHoNRlC"E8_#O$M"
+;
+
+@FR@66:dn:4::6d.8.R_$P#M8OR_$P#M
+O;N3HRs_0DFosHMCNlR_"8PM#$O
+";
+@FR@66:c::(6.c:._R8OkFDlOM_F0kMCgsr:Rj98F_ODMkl_kOFMs0Crjg:9N;
+HsR30FD_sMHoNRlC"O8_FlDkMF_OkCM0s
+";
+@FR@66:6::(6.6:j_R8DCHM_kOFMs0CrjU:9_R8DCHM_kOFMs0CrjU:9N;
+HsR30FD_sMHoNRlC"D8_H_MCOMFk0"Cs;
+
+
+
+@FR@66:n::(6.n:n_R8#_C0OkFDlOM_F0kMC8sR_0#C_DOFk_lMOMFk0;Cs
+RNH3Ds0_HFsolMNC8R"_0#C_DOFk_lMOMFk0"Cs;
+
+
+
+@FR@66:ng:.::6nc8nR_0#C_MDHCF_OkCM0s_R8#_C0DCHM_kOFMs0C;H
+NR03sDs_FHNoMl"CR8C_#0H_DMOC_F0kMC;s"
+@FR@66:(::(6.(:4_R8EM#$OF_OkCM0s:rgj89R_$E#MOO_F0kMCgsr:;j9
+RNH3Ds0_HFsolMNC8R"_$E#MOO_F0kMC;s"
+@FR@66:U::(6.U:4_R8PM#$OF_OkCM0s:rgj89R_$P#MOO_F0kMCgsr:;j9
+RNH3Ds0_HFsolMNC8R"_$P#MOO_F0kMC;s"
+@FR@66:g::(6.g:6_R8#_C0EM#$OF_OkCM0s_R8#_C0EM#$OF_OkCM0sN;
+HsR30FD_sMHoNRlC"#8_CE0_#O$M_kOFMs0C"
+;
+
+@FR@66:gU:.::6gc8nR_0#C_$P#MOO_F0kMC8sR_0#C_$P#MOO_F0kMC
+s;N3HRs_0DFosHMCNlR_"8#_C0PM#$OF_OkCM0s
+";
+@FR@n6:j::(n4j:n_R8EM_CNCLDRE8__NCML;DC
+RNH3Ds0_HFsolMNC8R"_CE_MDNLC
+";
+@FR@n6:4::(n44:n_R8PM_CNCLDRP8__NCML;DC
+RNH3Ds0_HFsolMNC8R"_CP_MDNLC
+";
+@FR@n6:.::(ng.:Rs8_Rs8_;H
+NR03sDs_FHNoMl"CR8"_s;
+
+
+
+@FR@n6:..:4::n.48cR_8oR_
+o;N3HRs_0DFosHMCNlR_"8o
+";
+@FR@n6:.(:4::n.48gR_8LR_
+L;N3HRs_0DFosHMCNlR_"8L
+";
+@FR@n6:d::(n4d:g_R8EM#$O0_#Nr0Cj9:nRE8_#O$M_N#00jCr:;n9
+RNH3Ds0_HFsolMNC8R"_$E#M#O_0CN0"
+;
+
+@FR@n6:c::(n4c:g_R8PM#$O0_#Nr0Cj9:nRP8_#O$M_N#00jCr:;n9
+RNH3Ds0_HFsolMNC8R"_$P#M#O_0CN0"
+;
+
+@FR@n6:6::(n46:(_R8#00NCD_O    _R8#00NCD_O     N;
+HsR30FD_sMHoNRlC"#8_0CN0_      OD"
+;
+
+@FR@n6:n::(n4n:c_R80oFoD8CR_o0Fo;DC
+RNH3Ds0_HFsolMNC8R"_o0Fo"DC;
+
+
+
+@FR@n6:(::(n.(:._R80oFoDOC_F0kMC.src9:jR08_FDooCF_OkCM0scr.:;j9
+RNH3Ds0_HFsolMNC8R"_o0Fo_DCOMFk0"Cs;R
+s@:@g4:66j6:46n:4RsIF  FRLN_s88PsHCLsRCPENRNLFs88_sCHPsM_kHS0
+sCC#0N=#VsC_C0#C
+CS#P_CM#rCo4jd:9C=#P_CM#_CobrHM4jd:9s;
+Rg@@:44n:4j:n44:cFRIsP Ro8N_sCHPsCRLERNPP_oN8PsHCks_M
+H0S    OD=     OD_MbH
+CSs#=C0#CNV_#sCCS0
+OkFDlOM_F0kMCgsr:=j98F_ODMkl_kOFMs0Crjg:9D
+SH_MCOMFk0rCsU9:j=D8_H_MCOMFk0rCsU9:j
+_SECLMND8C=_CE_MDNLCP
+S_NCML=DC8__PCLMNDSC
+EM#$O#=E$_MO#
+HoS$P#MPO=#O$M_o#H
+_S8EM#$O0_#Nr0Cj9:n=E8_#O$M_N#00jCr:
+n9SP8_#O$M_N#00jCr:=n98#_P$_MO#00NC:rjnS9
+8#_E$_MOOMFk0rCsg9:j=E8_#O$M_kOFMs0Crjg:98
+S_$P#MOO_F0kMCgsr:=j98#_P$_MOOMFk0rCsg9:j
+_S8#_C0EM#$OF_OkCM0s_=8#_C0EM#$OF_OkCM0s8
+S_0#C_$P#MOO_F0kMC8s=_0#C_$P#MOO_F0kMCSs
+8C_#0F_ODMkl_kOFMs0C=#8_CO0_FlDkMF_OkCM0s8
+S_0#C_MDHCF_OkCM0s_=8#_C0DCHM_kOFMs0C;R
+s@:@g4:Un.U:4n(:4RsIF  oRPNF_OMF0sDCRLERNPP_oNO0FMs_FDk0MH
+DSO    D=O     H_bMs
+SC0#C=V#NCC_s#
+C0SDOFk_lMOMFk0rCsg9:j=O8_FlDkMF_OkCM0s:rgjS9
+0oFoDOC_F0kMC.src9:j=08_FDooCF_OkCM0scr.:
+j9So0Fo=DC8F_0oCoD
+HSDMOC_F0kMCUsr:=j98H_DMOC_F0kMCUsr:
+j9SCP_MDNLC_=8PM_CNCLD
+_SECLMND8C=_CE_MDNLCs
+S=#s_HSo
+o_=o#
+HoSLL=_o#H;R
+b@:@6c(.:::c.4LdRk8VR_N#00OC_D8        R_N#00OC_DO     RDb     _H
+M;b@R@6d:c:c(:d6:4RPHMR4kM_#sCCb0_HkMRMs4_C0#C_MbHR#sCCb0_H
+M;b@R@gn:4gU:.:g4n:RdnLRkV8#_E$RMO8#_E$RMOEM#$OH_#ob;
+Rg@@:g4n::.U4:ngdLnRkEVR#O$M_MbHR$E#MbO_HEMR#O$M_o#H;R
+b@:@g4:(j.4U:(dj:nkRLV_R8PM#$O_R8PM#$O#RP$_MO#;Ho
+@bR@4g:(.j:U(:4jn:dRVLkR$P#MbO_HPMR#O$M_MbHR$P#M#O_H
+o;b@R@gg:4nc:.:n4g:R.ULRkV8R_s8R_ssH_#ob;
+Rg@@:n4g::.c4:gn.LURksVRjH_bMjRs_MbHR#s_H
+o;b@R@gg:4nc:.:n4g:R.ULRkVsb4_HsMR4H_bM_Rs#;Ho
+@bR@4g:g.n:cg:4nU:.RVLkR_s.bRHMsb._HsMR_o#H;R
+b@:@g4:g(.4c:g.(:UkRLV_R8o_R8o_Ro#;Ho
+@bR@4g:g.(:cg:4(U:.RVLkR_ojbRHMobj_HoMR_o#H;R
+b@:@g4:g(.4c:g.(:UkRLV4Ro_MbHR_o4bRHMoH_#ob;
+Rg@@:(4g::.c4:g(.LURkoVR.H_bM.Ro_MbHR#o_H
+o;b@R@gg:4Uc:.:U4g:R.ULRkVLbj_HLMRjH_bM_RL#;Ho
+@bR@4g:g.U:cg:4UU:.RVLkR_L4bRHMLb4_HLMR_o#H;R
+b@:@g4:gU.4c:g.U:UkRLV_R8L_R8L_RL#;Ho
+@bR@4j::44::0.RsRkC0CskRk0sCb;
+Rj@@:44::.4:RDVN#VCRNCD#RDVN#
+C;b@R@g.:4.j:4:.4.:RdjD70R Ypq_1)  Ma_C\G036kM_$8D_kOFMs0CRp7 q)Y_ a1 _GMC0k\3M86_DO$_F0kMCRs
+R8RRDO$_F0kMC4sr:Rj90Csk,k0sCb;
+Rg@@:.4.::4j4:..dFjRs7PR Ypq_1)  Ma_C\G03nkM_$8D_kOFMs0CRp7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMCRs
+RkRRMs4_C0#C_MbHRp7 q)Y_ a1 _GMC0k\3M86_DO$_F0kMC
+s;b@R@g.:4dn:.:d4.:Rc.NR88k_M48_D$OMFk0rCs.9:4R4kM_$8D_kOFMs0Cr4.:9DR8$F_OkCM0s:r4jR9
+R7RR Ypq_1)  Ma_C\G036kM_$8D_kOFMs0C;R
+b@:@g4:c.cc:4.R:6lRkG#CNV_#sCC#0RN_VCsCC#0sR0kVCRNCD#Rp7 q)Y_ a1 _GMC0k\3M8n_DO$_F0kMC
+s;b@R@g.:4j::c4:.j6kRlGDR8$F_OkCM0sC_MG40r:Rj98_D$OMFk0_CsM0CGrj4:9NRVD,#CV#NDCR
+RRMRk4D_8$F_OkCM0s:r.4s9RC0#C_MbH;R
+b@:@g4:4dc4:4dR:68RVV8_D$OMFk0rCs49:jR$8D_kOFMs0Crj4:9DR8$F_OkCM0sC_MG40r:
+j9RRRRO_D      b;HM
+RNH#_$Mb#sCCCsPR
+4;N3HRs_0DFosHMCNlRD"8$F_OkCM0s
+";C
+;
+
diff --git a/bsp4/Designflow/syn/rev_1/vga.sxr b/bsp4/Designflow/syn/rev_1/vga.sxr
new file mode 100644 (file)
index 0000000..df8957c
--- /dev/null
@@ -0,0 +1,380 @@
+
+BeginView vga NoName
+Inst: dly_counter[1]   dly_counter_1_ stratix_lcell_ff 
+Inst: dly_counter[0]   dly_counter_0_ stratix_lcell_ff 
+Inst: d_toggle_counter_out[24]   d_toggle_counter_out_24_ stratix_io 
+Inst: d_toggle_counter_out[23]   d_toggle_counter_out_23_ stratix_io 
+Inst: d_toggle_counter_out[22]   d_toggle_counter_out_22_ stratix_io 
+Inst: d_toggle_counter_out[21]   d_toggle_counter_out_21_ stratix_io 
+Inst: d_toggle_counter_out[20]   d_toggle_counter_out_20_ stratix_io 
+Inst: d_toggle_counter_out[19]   d_toggle_counter_out_19_ stratix_io 
+Inst: d_toggle_counter_out[18]   d_toggle_counter_out_18_ stratix_io 
+Inst: d_toggle_counter_out[17]   d_toggle_counter_out_17_ stratix_io 
+Inst: d_toggle_counter_out[16]   d_toggle_counter_out_16_ stratix_io 
+Inst: d_toggle_counter_out[15]   d_toggle_counter_out_15_ stratix_io 
+Inst: d_toggle_counter_out[14]   d_toggle_counter_out_14_ stratix_io 
+Inst: d_toggle_counter_out[13]   d_toggle_counter_out_13_ stratix_io 
+Inst: d_toggle_counter_out[12]   d_toggle_counter_out_12_ stratix_io 
+Inst: d_toggle_counter_out[11]   d_toggle_counter_out_11_ stratix_io 
+Inst: d_toggle_counter_out[10]   d_toggle_counter_out_10_ stratix_io 
+Inst: d_toggle_counter_out[9]   d_toggle_counter_out_9_ stratix_io 
+Inst: d_toggle_counter_out[8]   d_toggle_counter_out_8_ stratix_io 
+Inst: d_toggle_counter_out[7]   d_toggle_counter_out_7_ stratix_io 
+Inst: d_toggle_counter_out[6]   d_toggle_counter_out_6_ stratix_io 
+Inst: d_toggle_counter_out[5]   d_toggle_counter_out_5_ stratix_io 
+Inst: d_toggle_counter_out[4]   d_toggle_counter_out_4_ stratix_io 
+Inst: d_toggle_counter_out[3]   d_toggle_counter_out_3_ stratix_io 
+Inst: d_toggle_counter_out[2]   d_toggle_counter_out_2_ stratix_io 
+Inst: d_toggle_counter_out[1]   d_toggle_counter_out_1_ stratix_io 
+Inst: d_toggle_counter_out[0]   d_toggle_counter_out_0_ stratix_io 
+Inst: d_vsync_state_out[0]   d_vsync_state_out_0_ stratix_io 
+Inst: d_vsync_state_out[1]   d_vsync_state_out_1_ stratix_io 
+Inst: d_vsync_state_out[2]   d_vsync_state_out_2_ stratix_io 
+Inst: d_vsync_state_out[3]   d_vsync_state_out_3_ stratix_io 
+Inst: d_vsync_state_out[4]   d_vsync_state_out_4_ stratix_io 
+Inst: d_vsync_state_out[5]   d_vsync_state_out_5_ stratix_io 
+Inst: d_vsync_state_out[6]   d_vsync_state_out_6_ stratix_io 
+Inst: d_hsync_state_out[0]   d_hsync_state_out_0_ stratix_io 
+Inst: d_hsync_state_out[1]   d_hsync_state_out_1_ stratix_io 
+Inst: d_hsync_state_out[2]   d_hsync_state_out_2_ stratix_io 
+Inst: d_hsync_state_out[3]   d_hsync_state_out_3_ stratix_io 
+Inst: d_hsync_state_out[4]   d_hsync_state_out_4_ stratix_io 
+Inst: d_hsync_state_out[5]   d_hsync_state_out_5_ stratix_io 
+Inst: d_hsync_state_out[6]   d_hsync_state_out_6_ stratix_io 
+Inst: d_vsync_counter_out[9]   d_vsync_counter_out_9_ stratix_io 
+Inst: d_vsync_counter_out[8]   d_vsync_counter_out_8_ stratix_io 
+Inst: d_vsync_counter_out[7]   d_vsync_counter_out_7_ stratix_io 
+Inst: d_vsync_counter_out[6]   d_vsync_counter_out_6_ stratix_io 
+Inst: d_vsync_counter_out[5]   d_vsync_counter_out_5_ stratix_io 
+Inst: d_vsync_counter_out[4]   d_vsync_counter_out_4_ stratix_io 
+Inst: d_vsync_counter_out[3]   d_vsync_counter_out_3_ stratix_io 
+Inst: d_vsync_counter_out[2]   d_vsync_counter_out_2_ stratix_io 
+Inst: d_vsync_counter_out[1]   d_vsync_counter_out_1_ stratix_io 
+Inst: d_vsync_counter_out[0]   d_vsync_counter_out_0_ stratix_io 
+Inst: d_hsync_counter_out[9]   d_hsync_counter_out_9_ stratix_io 
+Inst: d_hsync_counter_out[8]   d_hsync_counter_out_8_ stratix_io 
+Inst: d_hsync_counter_out[7]   d_hsync_counter_out_7_ stratix_io 
+Inst: d_hsync_counter_out[6]   d_hsync_counter_out_6_ stratix_io 
+Inst: d_hsync_counter_out[5]   d_hsync_counter_out_5_ stratix_io 
+Inst: d_hsync_counter_out[4]   d_hsync_counter_out_4_ stratix_io 
+Inst: d_hsync_counter_out[3]   d_hsync_counter_out_3_ stratix_io 
+Inst: d_hsync_counter_out[2]   d_hsync_counter_out_2_ stratix_io 
+Inst: d_hsync_counter_out[1]   d_hsync_counter_out_1_ stratix_io 
+Inst: d_hsync_counter_out[0]   d_hsync_counter_out_0_ stratix_io 
+Inst: d_line_counter_out[8]   d_line_counter_out_8_ stratix_io 
+Inst: d_line_counter_out[7]   d_line_counter_out_7_ stratix_io 
+Inst: d_line_counter_out[6]   d_line_counter_out_6_ stratix_io 
+Inst: d_line_counter_out[5]   d_line_counter_out_5_ stratix_io 
+Inst: d_line_counter_out[4]   d_line_counter_out_4_ stratix_io 
+Inst: d_line_counter_out[3]   d_line_counter_out_3_ stratix_io 
+Inst: d_line_counter_out[2]   d_line_counter_out_2_ stratix_io 
+Inst: d_line_counter_out[1]   d_line_counter_out_1_ stratix_io 
+Inst: d_line_counter_out[0]   d_line_counter_out_0_ stratix_io 
+Inst: d_column_counter_out[9]   d_column_counter_out_9_ stratix_io 
+Inst: d_column_counter_out[8]   d_column_counter_out_8_ stratix_io 
+Inst: d_column_counter_out[7]   d_column_counter_out_7_ stratix_io 
+Inst: d_column_counter_out[6]   d_column_counter_out_6_ stratix_io 
+Inst: d_column_counter_out[5]   d_column_counter_out_5_ stratix_io 
+Inst: d_column_counter_out[4]   d_column_counter_out_4_ stratix_io 
+Inst: d_column_counter_out[3]   d_column_counter_out_3_ stratix_io 
+Inst: d_column_counter_out[2]   d_column_counter_out_2_ stratix_io 
+Inst: d_column_counter_out[1]   d_column_counter_out_1_ stratix_io 
+Inst: d_column_counter_out[0]   d_column_counter_out_0_ stratix_io 
+Inst: seven_seg_pin_tri[13]   seven_seg_pin_tri_13_ stratix_io 
+Inst: seven_seg_pin_out[12]   seven_seg_pin_out_12_ stratix_io 
+Inst: seven_seg_pin_out[11]   seven_seg_pin_out_11_ stratix_io 
+Inst: seven_seg_pin_out[10]   seven_seg_pin_out_10_ stratix_io 
+Inst: seven_seg_pin_out[9]   seven_seg_pin_out_9_ stratix_io 
+Inst: seven_seg_pin_out[8]   seven_seg_pin_out_8_ stratix_io 
+Inst: seven_seg_pin_out[7]   seven_seg_pin_out_7_ stratix_io 
+Inst: seven_seg_pin_tri[6]   seven_seg_pin_tri_6_ stratix_io 
+Inst: seven_seg_pin_tri[5]   seven_seg_pin_tri_5_ stratix_io 
+Inst: seven_seg_pin_tri[4]   seven_seg_pin_tri_4_ stratix_io 
+Inst: seven_seg_pin_tri[3]   seven_seg_pin_tri_3_ stratix_io 
+Inst: seven_seg_pin_out[2]   seven_seg_pin_out_2_ stratix_io 
+Inst: seven_seg_pin_out[1]   seven_seg_pin_out_1_ stratix_io 
+Inst: seven_seg_pin_tri[0]   seven_seg_pin_tri_0_ stratix_io 
+Net:  vga_driver_unit.COLUMN_COUNT_next\.un10_column_counter_siglt6_1   vga_driver_unit_COLUMN_COUNT_next_un10_column_counter_siglt6_1 
+Net:  DELAY_RESET_next\.un6_dly_counter_0_x   DELAY_RESET_next_un6_dly_counter_0_x 
+Net:  vga_driver_unit.h_sync   vga_driver_unit_h_sync 
+Net:  vga_driver_unit.v_sync   vga_driver_unit_v_sync 
+Net:  vga_driver_unit.column_counter_sig[0]   vga_driver_unit_column_counter_sig[0] 
+Net:  vga_driver_unit.column_counter_sig[1]   vga_driver_unit_column_counter_sig[1] 
+Net:  vga_driver_unit.column_counter_sig[2]   vga_driver_unit_column_counter_sig[2] 
+Net:  vga_driver_unit.column_counter_sig[3]   vga_driver_unit_column_counter_sig[3] 
+Net:  vga_driver_unit.column_counter_sig[4]   vga_driver_unit_column_counter_sig[4] 
+Net:  vga_driver_unit.column_counter_sig[5]   vga_driver_unit_column_counter_sig[5] 
+Net:  vga_driver_unit.column_counter_sig[6]   vga_driver_unit_column_counter_sig[6] 
+Net:  vga_driver_unit.column_counter_sig[7]   vga_driver_unit_column_counter_sig[7] 
+Net:  vga_driver_unit.column_counter_sig[8]   vga_driver_unit_column_counter_sig[8] 
+Net:  vga_driver_unit.column_counter_sig[9]   vga_driver_unit_column_counter_sig[9] 
+Net:  vga_driver_unit.line_counter_sig[0]   vga_driver_unit_line_counter_sig[0] 
+Net:  vga_driver_unit.line_counter_sig[1]   vga_driver_unit_line_counter_sig[1] 
+Net:  vga_driver_unit.line_counter_sig[2]   vga_driver_unit_line_counter_sig[2] 
+Net:  vga_driver_unit.line_counter_sig[3]   vga_driver_unit_line_counter_sig[3] 
+Net:  vga_driver_unit.line_counter_sig[4]   vga_driver_unit_line_counter_sig[4] 
+Net:  vga_driver_unit.line_counter_sig[5]   vga_driver_unit_line_counter_sig[5] 
+Net:  vga_driver_unit.line_counter_sig[6]   vga_driver_unit_line_counter_sig[6] 
+Net:  vga_driver_unit.line_counter_sig[7]   vga_driver_unit_line_counter_sig[7] 
+Net:  vga_driver_unit.line_counter_sig[8]   vga_driver_unit_line_counter_sig[8] 
+Net:  vga_driver_unit.hsync_counter[0]   vga_driver_unit_hsync_counter[0] 
+Net:  vga_driver_unit.hsync_counter[1]   vga_driver_unit_hsync_counter[1] 
+Net:  vga_driver_unit.hsync_counter[2]   vga_driver_unit_hsync_counter[2] 
+Net:  vga_driver_unit.hsync_counter[3]   vga_driver_unit_hsync_counter[3] 
+Net:  vga_driver_unit.hsync_counter[4]   vga_driver_unit_hsync_counter[4] 
+Net:  vga_driver_unit.hsync_counter[5]   vga_driver_unit_hsync_counter[5] 
+Net:  vga_driver_unit.hsync_counter[6]   vga_driver_unit_hsync_counter[6] 
+Net:  vga_driver_unit.hsync_counter[7]   vga_driver_unit_hsync_counter[7] 
+Net:  vga_driver_unit.hsync_counter[8]   vga_driver_unit_hsync_counter[8] 
+Net:  vga_driver_unit.hsync_counter[9]   vga_driver_unit_hsync_counter[9] 
+Net:  vga_driver_unit.vsync_counter[0]   vga_driver_unit_vsync_counter[0] 
+Net:  vga_driver_unit.vsync_counter[1]   vga_driver_unit_vsync_counter[1] 
+Net:  vga_driver_unit.vsync_counter[2]   vga_driver_unit_vsync_counter[2] 
+Net:  vga_driver_unit.vsync_counter[3]   vga_driver_unit_vsync_counter[3] 
+Net:  vga_driver_unit.vsync_counter[4]   vga_driver_unit_vsync_counter[4] 
+Net:  vga_driver_unit.vsync_counter[5]   vga_driver_unit_vsync_counter[5] 
+Net:  vga_driver_unit.vsync_counter[6]   vga_driver_unit_vsync_counter[6] 
+Net:  vga_driver_unit.vsync_counter[7]   vga_driver_unit_vsync_counter[7] 
+Net:  vga_driver_unit.vsync_counter[8]   vga_driver_unit_vsync_counter[8] 
+Net:  vga_driver_unit.vsync_counter[9]   vga_driver_unit_vsync_counter[9] 
+Net:  vga_driver_unit.d_set_hsync_counter   vga_driver_unit_d_set_hsync_counter 
+Net:  vga_driver_unit.d_set_vsync_counter   vga_driver_unit_d_set_vsync_counter 
+Net:  vga_driver_unit.h_enable_sig   vga_driver_unit_h_enable_sig 
+Net:  vga_driver_unit.v_enable_sig   vga_driver_unit_v_enable_sig 
+Net:  vga_control_unit.r   vga_control_unit_r 
+Net:  vga_control_unit.g   vga_control_unit_g 
+Net:  vga_control_unit.b   vga_control_unit_b 
+Net:  vga_driver_unit.hsync_state[6]   vga_driver_unit_hsync_state[6] 
+Net:  vga_driver_unit.hsync_state[5]   vga_driver_unit_hsync_state[5] 
+Net:  vga_driver_unit.hsync_state[4]   vga_driver_unit_hsync_state[4] 
+Net:  vga_driver_unit.hsync_state[3]   vga_driver_unit_hsync_state[3] 
+Net:  vga_driver_unit.hsync_state[2]   vga_driver_unit_hsync_state[2] 
+Net:  vga_driver_unit.hsync_state[1]   vga_driver_unit_hsync_state[1] 
+Net:  vga_driver_unit.hsync_state[0]   vga_driver_unit_hsync_state[0] 
+Net:  vga_driver_unit.vsync_state[6]   vga_driver_unit_vsync_state[6] 
+Net:  vga_driver_unit.vsync_state[5]   vga_driver_unit_vsync_state[5] 
+Net:  vga_driver_unit.vsync_state[4]   vga_driver_unit_vsync_state[4] 
+Net:  vga_driver_unit.vsync_state[3]   vga_driver_unit_vsync_state[3] 
+Net:  vga_driver_unit.vsync_state[2]   vga_driver_unit_vsync_state[2] 
+Net:  vga_driver_unit.vsync_state[1]   vga_driver_unit_vsync_state[1] 
+Net:  vga_driver_unit.vsync_state[0]   vga_driver_unit_vsync_state[0] 
+Net:  vga_control_unit.toggle_sig   vga_control_unit_toggle_sig 
+Net:  vga_control_unit.toggle_counter_sig[0]   vga_control_unit_toggle_counter_sig[0] 
+Net:  vga_control_unit.toggle_counter_sig[1]   vga_control_unit_toggle_counter_sig[1] 
+Net:  vga_control_unit.toggle_counter_sig[2]   vga_control_unit_toggle_counter_sig[2] 
+Net:  vga_control_unit.toggle_counter_sig[3]   vga_control_unit_toggle_counter_sig[3] 
+Net:  vga_control_unit.toggle_counter_sig[4]   vga_control_unit_toggle_counter_sig[4] 
+Net:  vga_control_unit.toggle_counter_sig[5]   vga_control_unit_toggle_counter_sig[5] 
+Net:  vga_control_unit.toggle_counter_sig[6]   vga_control_unit_toggle_counter_sig[6] 
+Net:  vga_control_unit.toggle_counter_sig[7]   vga_control_unit_toggle_counter_sig[7] 
+Net:  vga_control_unit.toggle_counter_sig[8]   vga_control_unit_toggle_counter_sig[8] 
+Net:  vga_control_unit.toggle_counter_sig[9]   vga_control_unit_toggle_counter_sig[9] 
+Net:  vga_control_unit.toggle_counter_sig[10]   vga_control_unit_toggle_counter_sig[10] 
+Net:  vga_control_unit.toggle_counter_sig[11]   vga_control_unit_toggle_counter_sig[11] 
+Net:  vga_control_unit.toggle_counter_sig[12]   vga_control_unit_toggle_counter_sig[12] 
+Net:  vga_control_unit.toggle_counter_sig[13]   vga_control_unit_toggle_counter_sig[13] 
+Net:  vga_control_unit.toggle_counter_sig[14]   vga_control_unit_toggle_counter_sig[14] 
+Net:  vga_control_unit.toggle_counter_sig[15]   vga_control_unit_toggle_counter_sig[15] 
+Net:  vga_control_unit.toggle_counter_sig[16]   vga_control_unit_toggle_counter_sig[16] 
+Net:  vga_control_unit.toggle_counter_sig[17]   vga_control_unit_toggle_counter_sig[17] 
+Net:  vga_control_unit.toggle_counter_sig[18]   vga_control_unit_toggle_counter_sig[18] 
+Net:  vga_control_unit.toggle_counter_sig[19]   vga_control_unit_toggle_counter_sig[19] 
+Net:  vga_control_unit.toggle_counter_sig[20]   vga_control_unit_toggle_counter_sig[20] 
+Net:  vga_control_unit.toggle_counter_sig[21]   vga_control_unit_toggle_counter_sig[21] 
+Net:  vga_control_unit.toggle_counter_sig[22]   vga_control_unit_toggle_counter_sig[22] 
+Net:  vga_control_unit.toggle_counter_sig[23]   vga_control_unit_toggle_counter_sig[23] 
+Net:  vga_control_unit.toggle_counter_sig[24]   vga_control_unit_toggle_counter_sig[24] 
+Net:  clk_pin_c   G_33 
+EndView vga NoName
+
+BeginView vga_driver NoName
+Inst: hsync_counter[0]   hsync_counter_0_ stratix_lcell_ff 
+Inst: hsync_counter[1]   hsync_counter_1_ stratix_lcell_ff 
+Inst: hsync_counter[2]   hsync_counter_2_ stratix_lcell_ff 
+Inst: hsync_counter[3]   hsync_counter_3_ stratix_lcell_ff 
+Inst: hsync_counter[4]   hsync_counter_4_ stratix_lcell_ff 
+Inst: hsync_counter[5]   hsync_counter_5_ stratix_lcell_ff 
+Inst: hsync_counter[6]   hsync_counter_6_ stratix_lcell_ff 
+Inst: hsync_counter[7]   hsync_counter_7_ stratix_lcell_ff 
+Inst: hsync_counter[8]   hsync_counter_8_ stratix_lcell_ff 
+Inst: hsync_counter[9]   hsync_counter_9_ stratix_lcell_ff 
+Inst: vsync_counter[0]   vsync_counter_0_ stratix_lcell_ff 
+Inst: vsync_counter[1]   vsync_counter_1_ stratix_lcell_ff 
+Inst: vsync_counter[2]   vsync_counter_2_ stratix_lcell_ff 
+Inst: vsync_counter[3]   vsync_counter_3_ stratix_lcell_ff 
+Inst: vsync_counter[4]   vsync_counter_4_ stratix_lcell_ff 
+Inst: vsync_counter[5]   vsync_counter_5_ stratix_lcell_ff 
+Inst: vsync_counter[6]   vsync_counter_6_ stratix_lcell_ff 
+Inst: vsync_counter[7]   vsync_counter_7_ stratix_lcell_ff 
+Inst: vsync_counter[8]   vsync_counter_8_ stratix_lcell_ff 
+Inst: vsync_counter[9]   vsync_counter_9_ stratix_lcell_ff 
+Inst: column_counter_sig[9]   column_counter_sig_9_ stratix_lcell_ff 
+Inst: column_counter_sig[8]   column_counter_sig_8_ stratix_lcell_ff 
+Inst: column_counter_sig[7]   column_counter_sig_7_ stratix_lcell_ff 
+Inst: column_counter_sig[6]   column_counter_sig_6_ stratix_lcell_ff 
+Inst: column_counter_sig[5]   column_counter_sig_5_ stratix_lcell_ff 
+Inst: column_counter_sig[4]   column_counter_sig_4_ stratix_lcell_ff 
+Inst: column_counter_sig[3]   column_counter_sig_3_ stratix_lcell_ff 
+Inst: column_counter_sig[2]   column_counter_sig_2_ stratix_lcell_ff 
+Inst: column_counter_sig[1]   column_counter_sig_1_ stratix_lcell_ff 
+Inst: column_counter_sig[0]   column_counter_sig_0_ stratix_lcell_ff 
+Inst: hsync_state[6]   hsync_state_6_ stratix_lcell_ff 
+Inst: vsync_state[0]   vsync_state_0_ stratix_lcell_ff 
+Inst: vsync_state[1]   vsync_state_1_ stratix_lcell_ff 
+Inst: vsync_state[6]   vsync_state_6_ stratix_lcell_ff 
+Inst: line_counter_sig[8]   line_counter_sig_8_ stratix_lcell_ff 
+Inst: line_counter_sig[7]   line_counter_sig_7_ stratix_lcell_ff 
+Inst: line_counter_sig[6]   line_counter_sig_6_ stratix_lcell_ff 
+Inst: line_counter_sig[5]   line_counter_sig_5_ stratix_lcell_ff 
+Inst: line_counter_sig[4]   line_counter_sig_4_ stratix_lcell_ff 
+Inst: line_counter_sig[3]   line_counter_sig_3_ stratix_lcell_ff 
+Inst: line_counter_sig[2]   line_counter_sig_2_ stratix_lcell_ff 
+Inst: line_counter_sig[1]   line_counter_sig_1_ stratix_lcell_ff 
+Inst: line_counter_sig[0]   line_counter_sig_0_ stratix_lcell_ff 
+Inst: v_enable_sig   v_enable_sig_Z stratix_lcell_ff 
+Inst: h_enable_sig   h_enable_sig_Z stratix_lcell_ff 
+Inst: h_sync   h_sync_Z stratix_lcell_ff 
+Inst: v_sync   v_sync_Z stratix_lcell_ff 
+Inst: vsync_state[5]   vsync_state_5_ stratix_lcell_ff 
+Inst: vsync_state[4]   vsync_state_4_ stratix_lcell_ff 
+Inst: vsync_state[3]   vsync_state_3_ stratix_lcell_ff 
+Inst: vsync_state[2]   vsync_state_2_ stratix_lcell_ff 
+Inst: hsync_state[5]   hsync_state_5_ stratix_lcell_ff 
+Inst: hsync_state[4]   hsync_state_4_ stratix_lcell_ff 
+Inst: hsync_state[3]   hsync_state_3_ stratix_lcell_ff 
+Inst: hsync_state[2]   hsync_state_2_ stratix_lcell_ff 
+Inst: hsync_state[1]   hsync_state_1_ stratix_lcell_ff 
+Inst: hsync_state[0]   hsync_state_0_ stratix_lcell_ff 
+Inst: vsync_state_next_2_sqmuxa   vsync_state_next_2_sqmuxa_cZ stratix_lcell 
+Inst: hsync_state_3_0_0_0__g0_0   hsync_state_3_0_0_0__g0_0_cZ stratix_lcell 
+Inst: un1_hsync_state_next_1_sqmuxa_0   un1_hsync_state_next_1_sqmuxa_0_cZ stratix_lcell 
+Inst: un1_vsync_state_next_1_sqmuxa_0   un1_vsync_state_next_1_sqmuxa_0_cZ stratix_lcell 
+Inst: vsync_state_3_iv_0_0__g0_0_a3_0   vsync_state_3_iv_0_0__g0_0_a3_0_cZ stratix_lcell 
+Inst: LINE_COUNT_next\.un10_line_counter_siglto8   LINE_COUNT_next_un10_line_counter_siglto8 stratix_lcell 
+Inst: vsync_state_next_1_sqmuxa_1   vsync_state_next_1_sqmuxa_1_cZ stratix_lcell 
+Inst: vsync_state_next_1_sqmuxa_2   vsync_state_next_1_sqmuxa_2_cZ stratix_lcell 
+Inst: vsync_state_next_1_sqmuxa_3   vsync_state_next_1_sqmuxa_3_cZ stratix_lcell 
+Inst: hsync_state_next_1_sqmuxa_2   hsync_state_next_1_sqmuxa_2_cZ stratix_lcell 
+Inst: hsync_state_next_1_sqmuxa_1   hsync_state_next_1_sqmuxa_1_cZ stratix_lcell 
+Inst: COLUMN_COUNT_next\.un10_column_counter_siglto9   COLUMN_COUNT_next_un10_column_counter_siglto9 stratix_lcell 
+Inst: HSYNC_FSM_next\.un12_hsync_counter   HSYNC_FSM_next_un12_hsync_counter stratix_lcell 
+Inst: HSYNC_FSM_next\.un13_hsync_counter   HSYNC_FSM_next_un13_hsync_counter stratix_lcell 
+Inst: HSYNC_COUNT_next\.un9_hsync_counterlt9   HSYNC_COUNT_next_un9_hsync_counterlt9 stratix_lcell 
+Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9   VSYNC_COUNT_next_un9_vsync_counterlt9 stratix_lcell 
+Inst: LINE_COUNT_next\.un10_line_counter_siglto5   LINE_COUNT_next_un10_line_counter_siglto5 stratix_lcell 
+Inst: VSYNC_FSM_next\.un13_vsync_counter_4   VSYNC_FSM_next_un13_vsync_counter_4 stratix_lcell 
+Inst: VSYNC_FSM_next\.un15_vsync_counter_4   VSYNC_FSM_next_un15_vsync_counter_4 stratix_lcell 
+Inst: COLUMN_COUNT_next\.un10_column_counter_siglt6   COLUMN_COUNT_next_un10_column_counter_siglt6 stratix_lcell 
+Inst: hsync_counter_next_1_sqmuxa   hsync_counter_next_1_sqmuxa_cZ stratix_lcell 
+Inst: column_counter_next_0_sqmuxa_1_1   column_counter_next_0_sqmuxa_1_1_cZ stratix_lcell 
+Inst: h_sync_1_0_0_0_g1   h_sync_1_0_0_0_g1_cZ stratix_lcell 
+Inst: line_counter_next_0_sqmuxa_1_1   line_counter_next_0_sqmuxa_1_1_cZ stratix_lcell 
+Inst: v_sync_1_0_0_0_g1   v_sync_1_0_0_0_g1_cZ stratix_lcell 
+Inst: h_enable_sig_1_0_0_0_g0_i_o4   h_enable_sig_1_0_0_0_g0_i_o4_cZ stratix_lcell 
+Inst: vsync_counter_next_1_sqmuxa   vsync_counter_next_1_sqmuxa_cZ stratix_lcell 
+Inst: VSYNC_FSM_next\.un14_vsync_counter_8   VSYNC_FSM_next_un14_vsync_counter_8 stratix_lcell 
+Inst: v_enable_sig_1_0_0_0_g0_i_o4   v_enable_sig_1_0_0_0_g0_i_o4_cZ stratix_lcell 
+Inst: HSYNC_FSM_next\.un11_hsync_counter_3   HSYNC_FSM_next_un11_hsync_counter_3 stratix_lcell 
+Inst: HSYNC_FSM_next\.un11_hsync_counter_2   HSYNC_FSM_next_un11_hsync_counter_2 stratix_lcell 
+Inst: HSYNC_FSM_next\.un12_hsync_counter_4   HSYNC_FSM_next_un12_hsync_counter_4 stratix_lcell 
+Inst: HSYNC_FSM_next\.un12_hsync_counter_3   HSYNC_FSM_next_un12_hsync_counter_3 stratix_lcell 
+Inst: HSYNC_COUNT_next\.un9_hsync_counterlt9_3   HSYNC_COUNT_next_un9_hsync_counterlt9_3 stratix_lcell 
+Inst: HSYNC_FSM_next\.un13_hsync_counter_2   HSYNC_FSM_next_un13_hsync_counter_2 stratix_lcell 
+Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9_6   VSYNC_COUNT_next_un9_vsync_counterlt9_6 stratix_lcell 
+Inst: VSYNC_COUNT_next\.un9_vsync_counterlt9_5   VSYNC_COUNT_next_un9_vsync_counterlt9_5 stratix_lcell 
+Inst: VSYNC_FSM_next\.un13_vsync_counter_3   VSYNC_FSM_next_un13_vsync_counter_3 stratix_lcell 
+Inst: HSYNC_FSM_next\.un10_hsync_counter_4   HSYNC_FSM_next_un10_hsync_counter_4 stratix_lcell 
+Inst: HSYNC_FSM_next\.un10_hsync_counter_3   HSYNC_FSM_next_un10_hsync_counter_3 stratix_lcell 
+Inst: VSYNC_FSM_next\.un15_vsync_counter_3   VSYNC_FSM_next_un15_vsync_counter_3 stratix_lcell 
+Inst: COLUMN_COUNT_next\.un10_column_counter_siglt6_2   COLUMN_COUNT_next_un10_column_counter_siglt6_2 stratix_lcell 
+Inst: LINE_COUNT_next\.un10_line_counter_siglt4_2   LINE_COUNT_next_un10_line_counter_siglt4_2 stratix_lcell 
+Inst: HSYNC_FSM_next\.un10_hsync_counter_1   HSYNC_FSM_next_un10_hsync_counter_1 stratix_lcell 
+Inst: HSYNC_FSM_next\.un13_hsync_counter_7   HSYNC_FSM_next_un13_hsync_counter_7 stratix_lcell 
+Inst: VSYNC_FSM_next\.un12_vsync_counter_6   VSYNC_FSM_next_un12_vsync_counter_6 stratix_lcell 
+Inst: VSYNC_FSM_next\.un12_vsync_counter_7   VSYNC_FSM_next_un12_vsync_counter_7 stratix_lcell 
+Inst: un1_hsync_state_3_0   un1_hsync_state_3_0_cZ stratix_lcell 
+Inst: COLUMN_COUNT_next\.un10_column_counter_siglt6_1   COLUMN_COUNT_next_un10_column_counter_siglt6_1 stratix_lcell 
+Inst: un1_vsync_state_2_0   un1_vsync_state_2_0_cZ stratix_lcell 
+Inst: d_set_hsync_counter   d_set_hsync_counter_cZ stratix_lcell 
+Inst: d_set_vsync_counter   d_set_vsync_counter_cZ stratix_lcell 
+Inst: un1_line_counter_sig[9]   un1_line_counter_sig_9_ stratix_lcell 
+Inst: un1_line_counter_sig[8]   un1_line_counter_sig_8_ stratix_lcell 
+Inst: un1_line_counter_sig[7]   un1_line_counter_sig_7_ stratix_lcell 
+Inst: un1_line_counter_sig[6]   un1_line_counter_sig_6_ stratix_lcell 
+Inst: un1_line_counter_sig[5]   un1_line_counter_sig_5_ stratix_lcell 
+Inst: un1_line_counter_sig[4]   un1_line_counter_sig_4_ stratix_lcell 
+Inst: un1_line_counter_sig[3]   un1_line_counter_sig_3_ stratix_lcell 
+Inst: un1_line_counter_sig[2]   un1_line_counter_sig_2_ stratix_lcell 
+Inst: un1_line_counter_sig_a[1]   un1_line_counter_sig_a_1_ stratix_lcell 
+Inst: un1_line_counter_sig[1]   un1_line_counter_sig_1_ stratix_lcell 
+Inst: un2_column_counter_next[9]   un2_column_counter_next_9_ stratix_lcell 
+Inst: un2_column_counter_next[8]   un2_column_counter_next_8_ stratix_lcell 
+Inst: un2_column_counter_next[7]   un2_column_counter_next_7_ stratix_lcell 
+Inst: un2_column_counter_next[6]   un2_column_counter_next_6_ stratix_lcell 
+Inst: un2_column_counter_next[5]   un2_column_counter_next_5_ stratix_lcell 
+Inst: un2_column_counter_next[4]   un2_column_counter_next_4_ stratix_lcell 
+Inst: un2_column_counter_next[3]   un2_column_counter_next_3_ stratix_lcell 
+Inst: un2_column_counter_next[2]   un2_column_counter_next_2_ stratix_lcell 
+Inst: un2_column_counter_next[1]   un2_column_counter_next_1_ stratix_lcell 
+Inst: un2_column_counter_next[0]   un2_column_counter_next_0_ stratix_lcell 
+Inst: line_counter_next_0_sqmuxa_1_1_i   line_counter_next_0_sqmuxa_1_1_i_cZ inv 
+Inst: column_counter_next_0_sqmuxa_1_1_i   column_counter_next_0_sqmuxa_1_1_i_cZ inv 
+Inst: un9_vsync_counterlt9_i   un9_vsync_counterlt9_i_cZ inv 
+Inst: G_16_i_i   G_16_i_i_cZ inv 
+Inst: un9_hsync_counterlt9_i   un9_hsync_counterlt9_i_cZ inv 
+Inst: G_2_i_i   G_2_i_i_cZ inv 
+EndView vga_driver NoName
+
+BeginView vga_control NoName
+Inst: toggle_counter_sig[24]   toggle_counter_sig_24_ stratix_lcell_ff 
+Inst: toggle_counter_sig[23]   toggle_counter_sig_23_ stratix_lcell_ff 
+Inst: toggle_counter_sig[22]   toggle_counter_sig_22_ stratix_lcell_ff 
+Inst: toggle_counter_sig[21]   toggle_counter_sig_21_ stratix_lcell_ff 
+Inst: toggle_counter_sig[20]   toggle_counter_sig_20_ stratix_lcell_ff 
+Inst: toggle_counter_sig[19]   toggle_counter_sig_19_ stratix_lcell_ff 
+Inst: toggle_counter_sig[18]   toggle_counter_sig_18_ stratix_lcell_ff 
+Inst: toggle_counter_sig[17]   toggle_counter_sig_17_ stratix_lcell_ff 
+Inst: toggle_counter_sig[16]   toggle_counter_sig_16_ stratix_lcell_ff 
+Inst: toggle_counter_sig[15]   toggle_counter_sig_15_ stratix_lcell_ff 
+Inst: toggle_counter_sig[14]   toggle_counter_sig_14_ stratix_lcell_ff 
+Inst: toggle_counter_sig[13]   toggle_counter_sig_13_ stratix_lcell_ff 
+Inst: toggle_counter_sig[12]   toggle_counter_sig_12_ stratix_lcell_ff 
+Inst: toggle_counter_sig[11]   toggle_counter_sig_11_ stratix_lcell_ff 
+Inst: toggle_counter_sig[10]   toggle_counter_sig_10_ stratix_lcell_ff 
+Inst: toggle_counter_sig[9]   toggle_counter_sig_9_ stratix_lcell_ff 
+Inst: toggle_counter_sig[8]   toggle_counter_sig_8_ stratix_lcell_ff 
+Inst: toggle_counter_sig[7]   toggle_counter_sig_7_ stratix_lcell_ff 
+Inst: toggle_counter_sig[6]   toggle_counter_sig_6_ stratix_lcell_ff 
+Inst: toggle_counter_sig[5]   toggle_counter_sig_5_ stratix_lcell_ff 
+Inst: toggle_counter_sig[4]   toggle_counter_sig_4_ stratix_lcell_ff 
+Inst: toggle_counter_sig[3]   toggle_counter_sig_3_ stratix_lcell_ff 
+Inst: toggle_counter_sig[2]   toggle_counter_sig_2_ stratix_lcell_ff 
+Inst: toggle_counter_sig[1]   toggle_counter_sig_1_ stratix_lcell_ff 
+Inst: toggle_counter_sig[0]   toggle_counter_sig_0_ stratix_lcell_ff 
+Inst: toggle_sig   toggle_sig_Z stratix_lcell_ff 
+Inst: b   b_Z stratix_lcell_ff 
+Inst: r   r_Z stratix_lcell_ff 
+Inst: g   g_Z stratix_lcell_ff 
+Inst: toggle_sig_0_0_0_g1   toggle_sig_0_0_0_g1_cZ stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto19   BLINKER_next_un1_toggle_counter_siglto19 stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto10   BLINKER_next_un1_toggle_counter_siglto10 stratix_lcell 
+Inst: b_next_0_g0_5   b_next_0_g0_5_cZ stratix_lcell 
+Inst: DRAW_SQUARE_next\.un17_v_enablelto7   DRAW_SQUARE_next_un17_v_enablelto7 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un5_v_enablelto7   DRAW_SQUARE_next_un5_v_enablelto7 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un17_v_enablelto5   DRAW_SQUARE_next_un17_v_enablelto5 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un13_v_enablelto8   DRAW_SQUARE_next_un13_v_enablelto8 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un13_v_enablelto8_a   DRAW_SQUARE_next_un13_v_enablelto8_a stratix_lcell 
+Inst: DRAW_SQUARE_next\.un9_v_enablelto9   DRAW_SQUARE_next_un9_v_enablelto9 stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto19_5   BLINKER_next_un1_toggle_counter_siglto19_5 stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto7   BLINKER_next_un1_toggle_counter_siglto7 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un9_v_enablelto6   DRAW_SQUARE_next_un9_v_enablelto6 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un5_v_enablelto3   DRAW_SQUARE_next_un5_v_enablelto3 stratix_lcell 
+Inst: toggle_sig_0_0_0_g1_2   toggle_sig_0_0_0_g1_2_cZ stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto19_4   BLINKER_next_un1_toggle_counter_siglto19_4 stratix_lcell 
+Inst: b_next_0_g0_3   b_next_0_g0_3_cZ stratix_lcell 
+Inst: BLINKER_next\.un1_toggle_counter_siglto7_4   BLINKER_next_un1_toggle_counter_siglto7_4 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un17_v_enablelt2   DRAW_SQUARE_next_un17_v_enablelt2 stratix_lcell 
+Inst: DRAW_SQUARE_next\.un5_v_enablelto5_0   DRAW_SQUARE_next_un5_v_enablelto5_0 stratix_lcell 
+Inst: un2_toggle_counter_next[0]   un2_toggle_counter_next_0_ stratix_lcell 
+Inst: toggle_sig_0_0_0_g1_i   toggle_sig_0_0_0_g1_i_cZ inv 
+EndView vga_control NoName
diff --git a/bsp4/Designflow/syn/rev_1/vga.szr b/bsp4/Designflow/syn/rev_1/vga.szr
new file mode 100644 (file)
index 0000000..500231f
Binary files /dev/null and b/bsp4/Designflow/syn/rev_1/vga.szr differ
diff --git a/bsp4/Designflow/syn/rev_1/vga.tcl b/bsp4/Designflow/syn/rev_1/vga.tcl
new file mode 100644 (file)
index 0000000..65e3b45
--- /dev/null
@@ -0,0 +1,41 @@
+# Run with quartus_sh -t <x_cons.tcl>
+
+# Global assignments 
+set_global_assignment -name TOP_LEVEL_ENTITY "|vga"
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE NORMAL
+set_global_assignment -name FAMILY "STRATIX"
+set_global_assignment -name DEVICE "EP1S25F672C6"
+set_global_assignment -section_id vga -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "SYNPLIFY"
+set_global_assignment -section_id eda_design_synthesis -name EDA_USE_LMF synplcty.lmf
+set_global_assignment -name TAO_FILE "myresults.tao"
+set_global_assignment -name SOURCES_PER_DESTINATION_INCLUDE_COUNT "1000" 
+set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON
+set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS "OFF"
+set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF"
+set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF"
+# set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
+#set_global_assignment -name EDA_RESYNTHESIS_TOOL "AMPLIFY"
+set_global_assignment -name ENABLE_CLOCK_LATENCY "ON"
+
+# Clock assignments 
+
+create_base_clock clk_pin_setting -fmax 25.175mhz -duty_cycle 50.00 -target clk_pin 
+
+
+# False path constraints 
+
+# Multicycle constraints 
+
+# Path delay constraints 
+if {[file exists ___quartus_options.tcl]} {
+       source ___quartus_options.tcl
+}
+
+
+# Incremental Compilation
+    # this will synchronize any existing partitions declared in Synpilfy
+    # with partitions existing in Quartus. If partitions exist,
+    # incremental compilation will be enabled
+    variable compile_point_list
+    set compile_point_list [list]
+    source "/opt/synplify/fpga_c200906/lib/altera/qic.tcl"
diff --git a/bsp4/Designflow/syn/rev_1/vga.tlg b/bsp4/Designflow/syn/rev_1/vga.tlg
new file mode 100644 (file)
index 0000000..4b3c358
--- /dev/null
@@ -0,0 +1,12 @@
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd":38:7:38:9|Synthesizing work.vga.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd":37:7:37:17|Synthesizing work.vga_control.behav 
+Post processing for work.vga_control.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd":37:7:37:16|Synthesizing work.vga_driver.behav 
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":60:24:60:25|Using onehot encoding for type hsync_state_type (reset_state="1000000")
+@N: CD231 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd":62:24:62:25|Using onehot encoding for type vsync_state_type (reset_state="1000000")
+Post processing for work.vga_driver.behav
+@N: CD630 :"/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd":36:7:36:18|Synthesizing work.board_driver.behav 
+Post processing for work.board_driver.behav
+Post processing for work.vga.behav
diff --git a/bsp4/Designflow/syn/rev_1/vga.vhm b/bsp4/Designflow/syn/rev_1/vga.vhm
new file mode 100644 (file)
index 0000000..fa73829
--- /dev/null
@@ -0,0 +1,6914 @@
+--
+-- Written by Synplicity
+-- Product Version "C-2009.06"
+-- Program "Synplify Pro", Mapper "map450rc, Build 029R"
+-- Tue Nov  3 17:21:45 2009
+--
+
+--
+-- Written by Synplify Pro version Build 029R
+-- Tue Nov  3 17:21:45 2009
+--
+
+--
+library ieee, stratix;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+library synplify;
+use synplify.components.all;
+use stratix.stratix_components.all;
+
+entity vga_control is
+port(
+  column_counter_sig_5 :  in std_logic;
+  column_counter_sig_0 :  in std_logic;
+  column_counter_sig_1 :  in std_logic;
+  column_counter_sig_3 :  in std_logic;
+  column_counter_sig_4 :  in std_logic;
+  column_counter_sig_2 :  in std_logic;
+  column_counter_sig_9 :  in std_logic;
+  column_counter_sig_8 :  in std_logic;
+  column_counter_sig_7 :  in std_logic;
+  column_counter_sig_6 :  in std_logic;
+  line_counter_sig_0 :  in std_logic;
+  line_counter_sig_1 :  in std_logic;
+  line_counter_sig_2 :  in std_logic;
+  line_counter_sig_8 :  in std_logic;
+  line_counter_sig_3 :  in std_logic;
+  line_counter_sig_5 :  in std_logic;
+  line_counter_sig_4 :  in std_logic;
+  line_counter_sig_7 :  in std_logic;
+  line_counter_sig_6 :  in std_logic;
+  toggle_counter_sig_0 :  out std_logic;
+  toggle_counter_sig_1 :  out std_logic;
+  toggle_counter_sig_2 :  out std_logic;
+  toggle_counter_sig_3 :  out std_logic;
+  toggle_counter_sig_4 :  out std_logic;
+  toggle_counter_sig_5 :  out std_logic;
+  toggle_counter_sig_6 :  out std_logic;
+  toggle_counter_sig_7 :  out std_logic;
+  toggle_counter_sig_8 :  out std_logic;
+  toggle_counter_sig_9 :  out std_logic;
+  toggle_counter_sig_10 :  out std_logic;
+  toggle_counter_sig_11 :  out std_logic;
+  toggle_counter_sig_12 :  out std_logic;
+  toggle_counter_sig_13 :  out std_logic;
+  toggle_counter_sig_14 :  out std_logic;
+  toggle_counter_sig_15 :  out std_logic;
+  toggle_counter_sig_16 :  out std_logic;
+  toggle_counter_sig_17 :  out std_logic;
+  toggle_counter_sig_18 :  out std_logic;
+  toggle_counter_sig_19 :  out std_logic;
+  toggle_counter_sig_20 :  out std_logic;
+  toggle_counter_sig_21 :  out std_logic;
+  toggle_counter_sig_22 :  out std_logic;
+  toggle_counter_sig_23 :  out std_logic;
+  toggle_counter_sig_24 :  out std_logic;
+  v_enable_sig :  in std_logic;
+  un10_column_counter_siglt6_1 :  in std_logic;
+  h_enable_sig :  in std_logic;
+  g :  out std_logic;
+  r :  out std_logic;
+  b :  out std_logic;
+  toggle_sig :  out std_logic;
+  un6_dly_counter_0_x :  in std_logic;
+  clk_pin_c :  in std_logic);
+end vga_control;
+
+architecture beh of vga_control is
+  signal devclrn : std_logic := '1';
+  signal devpor : std_logic := '1';
+  signal devoe : std_logic := '0';
+  signal TOGGLE_COUNTER_SIG_COUT : std_logic_vector(17 downto 1);
+  signal UN2_TOGGLE_COUNTER_NEXT_COUT : std_logic_vector(0 to 0);
+  signal GND : std_logic ;
+  signal TOGGLE_SIG_0_0_0_G1 : std_logic ;
+  signal TOGGLE_SIG_84 : std_logic ;
+  signal UN13_V_ENABLELTO8 : std_logic ;
+  signal UN5_V_ENABLELTO7 : std_logic ;
+  signal UN17_V_ENABLELTO7 : std_logic ;
+  signal B_NEXT_0_G0_5 : std_logic ;
+  signal TOGGLE_SIG_0_0_0_G1_2 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO19 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO19_5 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO10 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO7 : std_logic ;
+  signal B_NEXT_0_G0_3 : std_logic ;
+  signal UN9_V_ENABLELTO9 : std_logic ;
+  signal UN17_V_ENABLELTO5 : std_logic ;
+  signal UN5_V_ENABLELTO5_0 : std_logic ;
+  signal UN5_V_ENABLELTO3 : std_logic ;
+  signal UN17_V_ENABLELT2 : std_logic ;
+  signal UN13_V_ENABLELTO8_A : std_logic ;
+  signal UN9_V_ENABLELTO6 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO19_4 : std_logic ;
+  signal UN1_TOGGLE_COUNTER_SIGLTO7_4 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_59 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_60 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_61 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_62 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_63 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_64 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_65 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_66 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_67 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_68 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_69 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_70 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_71 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_72 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_73 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_74 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_75 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_76 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_77 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_78 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_79 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_80 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_81 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_82 : std_logic ;
+  signal TOGGLE_COUNTER_SIG_83 : std_logic ;
+  signal VCC : std_logic ;
+  signal TOGGLE_SIG_0_0_0_G1_I : std_logic ;
+begin
+\TOGGLE_COUNTER_SIG_24_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => TOGGLE_COUNTER_SIG_83,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_23_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => TOGGLE_COUNTER_SIG_82,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_22_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => TOGGLE_COUNTER_SIG_81,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_21_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => TOGGLE_COUNTER_SIG_80,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_20_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => TOGGLE_COUNTER_SIG_79,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_19_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c6c")
+port map (
+regout => TOGGLE_COUNTER_SIG_78,
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_77,
+datab => TOGGLE_COUNTER_SIG_78,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(17),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_18_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a5a")
+port map (
+regout => TOGGLE_COUNTER_SIG_77,
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_77,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(16),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_17_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_76,
+cout => TOGGLE_COUNTER_SIG_COUT(17),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_75,
+datab => TOGGLE_COUNTER_SIG_76,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(15),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_16_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_75,
+cout => TOGGLE_COUNTER_SIG_COUT(16),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_75,
+datab => TOGGLE_COUNTER_SIG_76,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(14),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_15_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_74,
+cout => TOGGLE_COUNTER_SIG_COUT(15),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_73,
+datab => TOGGLE_COUNTER_SIG_74,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(13),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_14_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_73,
+cout => TOGGLE_COUNTER_SIG_COUT(14),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_73,
+datab => TOGGLE_COUNTER_SIG_74,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(12),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_13_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_72,
+cout => TOGGLE_COUNTER_SIG_COUT(13),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_71,
+datab => TOGGLE_COUNTER_SIG_72,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(11),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_12_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_71,
+cout => TOGGLE_COUNTER_SIG_COUT(12),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_71,
+datab => TOGGLE_COUNTER_SIG_72,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(10),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_11_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_70,
+cout => TOGGLE_COUNTER_SIG_COUT(11),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_69,
+datab => TOGGLE_COUNTER_SIG_70,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(9),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_10_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_69,
+cout => TOGGLE_COUNTER_SIG_COUT(10),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_69,
+datab => TOGGLE_COUNTER_SIG_70,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(8),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_9_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_68,
+cout => TOGGLE_COUNTER_SIG_COUT(9),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_67,
+datab => TOGGLE_COUNTER_SIG_68,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(7),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_8_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_67,
+cout => TOGGLE_COUNTER_SIG_COUT(8),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_67,
+datab => TOGGLE_COUNTER_SIG_68,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(6),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_7_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_66,
+cout => TOGGLE_COUNTER_SIG_COUT(7),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_65,
+datab => TOGGLE_COUNTER_SIG_66,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(5),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_6_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_65,
+cout => TOGGLE_COUNTER_SIG_COUT(6),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_65,
+datab => TOGGLE_COUNTER_SIG_66,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(4),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_5_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_64,
+cout => TOGGLE_COUNTER_SIG_COUT(5),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_63,
+datab => TOGGLE_COUNTER_SIG_64,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(3),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_4_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_63,
+cout => TOGGLE_COUNTER_SIG_COUT(4),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_63,
+datab => TOGGLE_COUNTER_SIG_64,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(2),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_3_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+regout => TOGGLE_COUNTER_SIG_62,
+cout => TOGGLE_COUNTER_SIG_COUT(3),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_61,
+datab => TOGGLE_COUNTER_SIG_62,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => TOGGLE_COUNTER_SIG_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_2_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+regout => TOGGLE_COUNTER_SIG_61,
+cout => TOGGLE_COUNTER_SIG_COUT(2),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_61,
+datab => TOGGLE_COUNTER_SIG_62,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+cin => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "6688")
+port map (
+regout => TOGGLE_COUNTER_SIG_60,
+cout => TOGGLE_COUNTER_SIG_COUT(1),
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_59,
+datab => TOGGLE_COUNTER_SIG_60,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\TOGGLE_COUNTER_SIG_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "5555")
+port map (
+regout => TOGGLE_COUNTER_SIG_59,
+clk => clk_pin_c,
+dataa => TOGGLE_COUNTER_SIG_59,
+aclr => un6_dly_counter_0_x,
+sclr => TOGGLE_SIG_0_0_0_G1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+TOGGLE_SIG_Z147: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "9999")
+port map (
+regout => TOGGLE_SIG_84,
+clk => clk_pin_c,
+dataa => TOGGLE_SIG_84,
+datab => TOGGLE_SIG_0_0_0_G1,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+B_Z148: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0100")
+port map (
+regout => b,
+clk => clk_pin_c,
+dataa => UN13_V_ENABLELTO8,
+datab => UN5_V_ENABLELTO7,
+datac => UN17_V_ENABLELTO7,
+datad => B_NEXT_0_G0_5,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+R_Z149: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => r,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+G_Z150: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => g,
+clk => clk_pin_c,
+datad => GND,
+aclr => un6_dly_counter_0_x,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+TOGGLE_SIG_0_0_0_G1_Z151: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0100")
+port map (
+combout => TOGGLE_SIG_0_0_0_G1,
+dataa => TOGGLE_COUNTER_SIG_79,
+datab => TOGGLE_COUNTER_SIG_80,
+datac => TOGGLE_SIG_0_0_0_G1_2,
+datad => UN1_TOGGLE_COUNTER_SIGLTO19,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "f1f0")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO19,
+dataa => TOGGLE_COUNTER_SIG_70,
+datab => TOGGLE_COUNTER_SIG_71,
+datac => UN1_TOGGLE_COUNTER_SIGLTO19_5,
+datad => UN1_TOGGLE_COUNTER_SIGLTO10,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO10: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "3f1f")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO10,
+dataa => TOGGLE_COUNTER_SIG_67,
+datab => TOGGLE_COUNTER_SIG_68,
+datac => TOGGLE_COUNTER_SIG_69,
+datad => UN1_TOGGLE_COUNTER_SIGLTO7,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+B_NEXT_0_G0_5_Z154: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => B_NEXT_0_G0_5,
+dataa => h_enable_sig,
+datab => TOGGLE_SIG_84,
+datac => B_NEXT_0_G0_3,
+datad => UN9_V_ENABLELTO9,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN17_V_ENABLELTO7: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+combout => UN17_V_ENABLELTO7,
+dataa => line_counter_sig_6,
+datab => line_counter_sig_7,
+datac => UN17_V_ENABLELTO5,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN5_V_ENABLELTO7: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8880")
+port map (
+combout => UN5_V_ENABLELTO7,
+dataa => column_counter_sig_6,
+datab => column_counter_sig_7,
+datac => UN5_V_ENABLELTO5_0,
+datad => UN5_V_ENABLELTO3,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN17_V_ENABLELTO5: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "feee")
+port map (
+combout => UN17_V_ENABLELTO5,
+dataa => line_counter_sig_4,
+datab => line_counter_sig_5,
+datac => line_counter_sig_3,
+datad => UN17_V_ENABLELT2,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN13_V_ENABLELTO8: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "1101")
+port map (
+combout => UN13_V_ENABLELTO8,
+dataa => line_counter_sig_8,
+datab => line_counter_sig_7,
+datac => line_counter_sig_6,
+datad => UN13_V_ENABLELTO8_A,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN13_V_ENABLELTO8_A: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "01ff")
+port map (
+combout => UN13_V_ENABLELTO8_A,
+dataa => line_counter_sig_2,
+datab => line_counter_sig_4,
+datac => line_counter_sig_3,
+datad => line_counter_sig_5,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN9_V_ENABLELTO9: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0100")
+port map (
+combout => UN9_V_ENABLELTO9,
+dataa => column_counter_sig_7,
+datab => column_counter_sig_8,
+datac => column_counter_sig_9,
+datad => UN9_V_ENABLELTO6,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19_5: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff7f")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO19_5,
+dataa => TOGGLE_COUNTER_SIG_72,
+datab => TOGGLE_COUNTER_SIG_73,
+datac => TOGGLE_COUNTER_SIG_74,
+datad => UN1_TOGGLE_COUNTER_SIGLTO19_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO7: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0100")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO7,
+dataa => TOGGLE_COUNTER_SIG_61,
+datab => TOGGLE_COUNTER_SIG_62,
+datac => TOGGLE_COUNTER_SIG_63,
+datad => UN1_TOGGLE_COUNTER_SIGLTO7_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN9_V_ENABLELTO6: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff01")
+port map (
+combout => UN9_V_ENABLELTO6,
+dataa => column_counter_sig_2,
+datab => column_counter_sig_4,
+datac => column_counter_sig_3,
+datad => un10_column_counter_siglt6_1,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN5_V_ENABLELTO3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "fe00")
+port map (
+combout => UN5_V_ENABLELTO3,
+dataa => column_counter_sig_1,
+datab => column_counter_sig_2,
+datac => column_counter_sig_0,
+datad => column_counter_sig_3,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+TOGGLE_SIG_0_0_0_G1_2_Z165: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "fefe")
+port map (
+combout => TOGGLE_SIG_0_0_0_G1_2,
+dataa => TOGGLE_COUNTER_SIG_81,
+datab => TOGGLE_COUNTER_SIG_82,
+datac => TOGGLE_COUNTER_SIG_83,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7fff")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO19_4,
+dataa => TOGGLE_COUNTER_SIG_75,
+datab => TOGGLE_COUNTER_SIG_76,
+datac => TOGGLE_COUNTER_SIG_77,
+datad => TOGGLE_COUNTER_SIG_78,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+B_NEXT_0_G0_3_Z167: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0004")
+port map (
+combout => B_NEXT_0_G0_3,
+dataa => line_counter_sig_8,
+datab => v_enable_sig,
+datac => column_counter_sig_8,
+datad => column_counter_sig_9,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO7_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0001")
+port map (
+combout => UN1_TOGGLE_COUNTER_SIGLTO7_4,
+dataa => TOGGLE_COUNTER_SIG_60,
+datab => TOGGLE_COUNTER_SIG_64,
+datac => TOGGLE_COUNTER_SIG_65,
+datad => TOGGLE_COUNTER_SIG_66,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN17_V_ENABLELT2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "fefe")
+port map (
+combout => UN17_V_ENABLELT2,
+dataa => line_counter_sig_1,
+datab => line_counter_sig_2,
+datac => line_counter_sig_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+DRAW_SQUARE_NEXT_UN5_V_ENABLELTO5_0: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+combout => UN5_V_ENABLELTO5_0,
+dataa => column_counter_sig_5,
+datab => column_counter_sig_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\UN2_TOGGLE_COUNTER_NEXT_0_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "5588")
+port map (
+cout => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
+dataa => TOGGLE_COUNTER_SIG_59,
+datab => TOGGLE_COUNTER_SIG_60,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+GND <= '0';
+VCC <= '1';
+TOGGLE_SIG_0_0_0_G1_I <= not TOGGLE_SIG_0_0_0_G1;
+toggle_counter_sig_0 <= TOGGLE_COUNTER_SIG_59;
+toggle_counter_sig_1 <= TOGGLE_COUNTER_SIG_60;
+toggle_counter_sig_2 <= TOGGLE_COUNTER_SIG_61;
+toggle_counter_sig_3 <= TOGGLE_COUNTER_SIG_62;
+toggle_counter_sig_4 <= TOGGLE_COUNTER_SIG_63;
+toggle_counter_sig_5 <= TOGGLE_COUNTER_SIG_64;
+toggle_counter_sig_6 <= TOGGLE_COUNTER_SIG_65;
+toggle_counter_sig_7 <= TOGGLE_COUNTER_SIG_66;
+toggle_counter_sig_8 <= TOGGLE_COUNTER_SIG_67;
+toggle_counter_sig_9 <= TOGGLE_COUNTER_SIG_68;
+toggle_counter_sig_10 <= TOGGLE_COUNTER_SIG_69;
+toggle_counter_sig_11 <= TOGGLE_COUNTER_SIG_70;
+toggle_counter_sig_12 <= TOGGLE_COUNTER_SIG_71;
+toggle_counter_sig_13 <= TOGGLE_COUNTER_SIG_72;
+toggle_counter_sig_14 <= TOGGLE_COUNTER_SIG_73;
+toggle_counter_sig_15 <= TOGGLE_COUNTER_SIG_74;
+toggle_counter_sig_16 <= TOGGLE_COUNTER_SIG_75;
+toggle_counter_sig_17 <= TOGGLE_COUNTER_SIG_76;
+toggle_counter_sig_18 <= TOGGLE_COUNTER_SIG_77;
+toggle_counter_sig_19 <= TOGGLE_COUNTER_SIG_78;
+toggle_counter_sig_20 <= TOGGLE_COUNTER_SIG_79;
+toggle_counter_sig_21 <= TOGGLE_COUNTER_SIG_80;
+toggle_counter_sig_22 <= TOGGLE_COUNTER_SIG_81;
+toggle_counter_sig_23 <= TOGGLE_COUNTER_SIG_82;
+toggle_counter_sig_24 <= TOGGLE_COUNTER_SIG_83;
+toggle_sig <= TOGGLE_SIG_84;
+end beh;
+
+--
+library ieee, stratix;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+library synplify;
+use synplify.components.all;
+use stratix.stratix_components.all;
+
+entity vga_driver is
+port(
+line_counter_sig_0 :  out std_logic;
+line_counter_sig_1 :  out std_logic;
+line_counter_sig_2 :  out std_logic;
+line_counter_sig_3 :  out std_logic;
+line_counter_sig_4 :  out std_logic;
+line_counter_sig_5 :  out std_logic;
+line_counter_sig_6 :  out std_logic;
+line_counter_sig_7 :  out std_logic;
+line_counter_sig_8 :  out std_logic;
+dly_counter_1 :  in std_logic;
+dly_counter_0 :  in std_logic;
+vsync_state_2 :  out std_logic;
+vsync_state_5 :  out std_logic;
+vsync_state_3 :  out std_logic;
+vsync_state_6 :  out std_logic;
+vsync_state_4 :  out std_logic;
+vsync_state_1 :  out std_logic;
+vsync_state_0 :  out std_logic;
+hsync_state_2 :  out std_logic;
+hsync_state_4 :  out std_logic;
+hsync_state_0 :  out std_logic;
+hsync_state_5 :  out std_logic;
+hsync_state_1 :  out std_logic;
+hsync_state_3 :  out std_logic;
+hsync_state_6 :  out std_logic;
+column_counter_sig_0 :  out std_logic;
+column_counter_sig_1 :  out std_logic;
+column_counter_sig_2 :  out std_logic;
+column_counter_sig_3 :  out std_logic;
+column_counter_sig_4 :  out std_logic;
+column_counter_sig_5 :  out std_logic;
+column_counter_sig_6 :  out std_logic;
+column_counter_sig_7 :  out std_logic;
+column_counter_sig_8 :  out std_logic;
+column_counter_sig_9 :  out std_logic;
+vsync_counter_9 :  out std_logic;
+vsync_counter_8 :  out std_logic;
+vsync_counter_7 :  out std_logic;
+vsync_counter_6 :  out std_logic;
+vsync_counter_5 :  out std_logic;
+vsync_counter_4 :  out std_logic;
+vsync_counter_3 :  out std_logic;
+vsync_counter_2 :  out std_logic;
+vsync_counter_1 :  out std_logic;
+vsync_counter_0 :  out std_logic;
+hsync_counter_9 :  out std_logic;
+hsync_counter_8 :  out std_logic;
+hsync_counter_7 :  out std_logic;
+hsync_counter_6 :  out std_logic;
+hsync_counter_5 :  out std_logic;
+hsync_counter_4 :  out std_logic;
+hsync_counter_3 :  out std_logic;
+hsync_counter_2 :  out std_logic;
+hsync_counter_1 :  out std_logic;
+hsync_counter_0 :  out std_logic;
+d_set_vsync_counter :  out std_logic;
+un10_column_counter_siglt6_1 :  out std_logic;
+v_sync :  out std_logic;
+h_sync :  out std_logic;
+h_enable_sig :  out std_logic;
+v_enable_sig :  out std_logic;
+reset_pin_c :  in std_logic;
+un6_dly_counter_0_x :  out std_logic;
+d_set_hsync_counter :  out std_logic;
+clk_pin_c :  in std_logic);
+end vga_driver;
+
+architecture beh of vga_driver is
+signal devclrn : std_logic := '1';
+signal devpor : std_logic := '1';
+signal devoe : std_logic := '0';
+signal HSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
+signal VSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
+signal UN2_COLUMN_COUNTER_NEXT_COMBOUT : std_logic_vector(9 downto 1);
+signal UN1_LINE_COUNTER_SIG_COMBOUT : std_logic_vector(9 downto 1);
+signal UN1_LINE_COUNTER_SIG_COUT : std_logic_vector(7 downto 1);
+signal UN1_LINE_COUNTER_SIG_A_COUT : std_logic_vector(1 to 1);
+signal UN2_COLUMN_COUNTER_NEXT_COUT : std_logic_vector(7 downto 0);
+signal HSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
+signal G_2_I : std_logic ;
+signal UN9_HSYNC_COUNTERLT9 : std_logic ;
+signal VSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
+signal G_16_I : std_logic ;
+signal UN9_VSYNC_COUNTERLT9 : std_logic ;
+signal UN10_COLUMN_COUNTER_SIGLTO9 : std_logic ;
+signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
+signal \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\ : std_logic ;
+signal UN6_DLY_COUNTER_0_X_57 : std_logic ;
+signal VSYNC_STATE_NEXT_2_SQMUXA : std_logic ;
+signal UN12_VSYNC_COUNTER_7 : std_logic ;
+signal UN13_VSYNC_COUNTER_4 : std_logic ;
+signal UN10_LINE_COUNTER_SIGLTO8 : std_logic ;
+signal LINE_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
+signal V_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
+signal H_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
+signal H_SYNC_1_0_0_0_G1 : std_logic ;
+signal V_SYNC_1_0_0_0_G1 : std_logic ;
+signal UN14_VSYNC_COUNTER_8 : std_logic ;
+signal \HSYNC_STATE_3_0_0_0__G0_0\ : std_logic ;
+signal UN10_HSYNC_COUNTER_3 : std_logic ;
+signal UN10_HSYNC_COUNTER_1 : std_logic ;
+signal UN10_HSYNC_COUNTER_4 : std_logic ;
+signal UN12_HSYNC_COUNTER : std_logic ;
+signal UN11_HSYNC_COUNTER_2 : std_logic ;
+signal UN11_HSYNC_COUNTER_3 : std_logic ;
+signal UN13_HSYNC_COUNTER : std_logic ;
+signal VSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
+signal VSYNC_STATE_NEXT_1_SQMUXA_3 : std_logic ;
+signal UN1_VSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
+signal HSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
+signal HSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
+signal UN1_HSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
+signal UN12_VSYNC_COUNTER_6 : std_logic ;
+signal UN15_VSYNC_COUNTER_4 : std_logic ;
+signal VSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
+signal UN10_LINE_COUNTER_SIGLTO5 : std_logic ;
+signal UN10_COLUMN_COUNTER_SIGLT6 : std_logic ;
+signal UN12_HSYNC_COUNTER_3 : std_logic ;
+signal UN12_HSYNC_COUNTER_4 : std_logic ;
+signal UN13_HSYNC_COUNTER_2 : std_logic ;
+signal UN13_HSYNC_COUNTER_7 : std_logic ;
+signal UN9_HSYNC_COUNTERLT9_3 : std_logic ;
+signal UN9_VSYNC_COUNTERLT9_5 : std_logic ;
+signal UN9_VSYNC_COUNTERLT9_6 : std_logic ;
+signal UN10_LINE_COUNTER_SIGLT4_2 : std_logic ;
+signal UN13_VSYNC_COUNTER_3 : std_logic ;
+signal UN15_VSYNC_COUNTER_3 : std_logic ;
+signal UN10_COLUMN_COUNTER_SIGLT6_2 : std_logic ;
+signal D_SET_HSYNC_COUNTER_58 : std_logic ;
+signal H_SYNC_56 : std_logic ;
+signal UN1_HSYNC_STATE_3_0 : std_logic ;
+signal V_SYNC_55 : std_logic ;
+signal UN1_VSYNC_STATE_2_0 : std_logic ;
+signal UN10_COLUMN_COUNTER_SIGLT6_54 : std_logic ;
+signal D_SET_VSYNC_COUNTER_53 : std_logic ;
+signal VCC : std_logic ;
+signal LINE_COUNTER_SIG_0_0 : std_logic ;
+signal LINE_COUNTER_SIG_1_0 : std_logic ;
+signal LINE_COUNTER_SIG_2_0 : std_logic ;
+signal LINE_COUNTER_SIG_3_0 : std_logic ;
+signal LINE_COUNTER_SIG_4_0 : std_logic ;
+signal LINE_COUNTER_SIG_5_0 : std_logic ;
+signal LINE_COUNTER_SIG_6_0 : std_logic ;
+signal LINE_COUNTER_SIG_7_0 : std_logic ;
+signal LINE_COUNTER_SIG_8_0 : std_logic ;
+signal VSYNC_STATE_9 : std_logic ;
+signal VSYNC_STATE_10 : std_logic ;
+signal VSYNC_STATE_11 : std_logic ;
+signal VSYNC_STATE_12 : std_logic ;
+signal VSYNC_STATE_13 : std_logic ;
+signal VSYNC_STATE_14 : std_logic ;
+signal VSYNC_STATE_15 : std_logic ;
+signal HSYNC_STATE_16 : std_logic ;
+signal HSYNC_STATE_17 : std_logic ;
+signal HSYNC_STATE_18 : std_logic ;
+signal HSYNC_STATE_19 : std_logic ;
+signal HSYNC_STATE_20 : std_logic ;
+signal HSYNC_STATE_21 : std_logic ;
+signal HSYNC_STATE_22 : std_logic ;
+signal COLUMN_COUNTER_SIG_23 : std_logic ;
+signal COLUMN_COUNTER_SIG_24 : std_logic ;
+signal COLUMN_COUNTER_SIG_25 : std_logic ;
+signal COLUMN_COUNTER_SIG_26 : std_logic ;
+signal COLUMN_COUNTER_SIG_27 : std_logic ;
+signal COLUMN_COUNTER_SIG_28 : std_logic ;
+signal COLUMN_COUNTER_SIG_29 : std_logic ;
+signal COLUMN_COUNTER_SIG_30 : std_logic ;
+signal COLUMN_COUNTER_SIG_31 : std_logic ;
+signal COLUMN_COUNTER_SIG_32 : std_logic ;
+signal VSYNC_COUNTER_33 : std_logic ;
+signal VSYNC_COUNTER_34 : std_logic ;
+signal VSYNC_COUNTER_35 : std_logic ;
+signal VSYNC_COUNTER_36 : std_logic ;
+signal VSYNC_COUNTER_37 : std_logic ;
+signal VSYNC_COUNTER_38 : std_logic ;
+signal VSYNC_COUNTER_39 : std_logic ;
+signal VSYNC_COUNTER_40 : std_logic ;
+signal VSYNC_COUNTER_41 : std_logic ;
+signal VSYNC_COUNTER_42 : std_logic ;
+signal HSYNC_COUNTER_43 : std_logic ;
+signal HSYNC_COUNTER_44 : std_logic ;
+signal HSYNC_COUNTER_45 : std_logic ;
+signal HSYNC_COUNTER_46 : std_logic ;
+signal HSYNC_COUNTER_47 : std_logic ;
+signal HSYNC_COUNTER_48 : std_logic ;
+signal HSYNC_COUNTER_49 : std_logic ;
+signal HSYNC_COUNTER_50 : std_logic ;
+signal HSYNC_COUNTER_51 : std_logic ;
+signal HSYNC_COUNTER_52 : std_logic ;
+signal GND : std_logic ;
+signal LINE_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
+signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
+signal G_16_I_I : std_logic ;
+signal UN9_VSYNC_COUNTERLT9_I : std_logic ;
+signal G_2_I_I : std_logic ;
+signal UN9_HSYNC_COUNTERLT9_I : std_logic ;
+begin
+\HSYNC_COUNTER_0_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "55aa")
+port map (
+regout => HSYNC_COUNTER_52,
+cout => HSYNC_COUNTER_COUT(0),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_52,
+datab => VCC,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_51,
+cout => HSYNC_COUNTER_COUT(1),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_51,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(0),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_2_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_50,
+cout => HSYNC_COUNTER_COUT(2),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_50,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_3_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_49,
+cout => HSYNC_COUNTER_COUT(3),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_49,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(2),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_4_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_48,
+cout => HSYNC_COUNTER_COUT(4),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_48,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(3),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_5_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_47,
+cout => HSYNC_COUNTER_COUT(5),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_47,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(4),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_6_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_46,
+cout => HSYNC_COUNTER_COUT(6),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_46,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(5),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_7_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_45,
+cout => HSYNC_COUNTER_COUT(7),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_45,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(6),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_8_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => HSYNC_COUNTER_44,
+cout => HSYNC_COUNTER_COUT(8),
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_44,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(7),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\HSYNC_COUNTER_9_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a5a")
+port map (
+regout => HSYNC_COUNTER_43,
+clk => clk_pin_c,
+dataa => HSYNC_COUNTER_43,
+datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_2_I_I,
+sload => UN9_HSYNC_COUNTERLT9_I,
+cin => HSYNC_COUNTER_COUT(8),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_0_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "6688")
+port map (
+regout => VSYNC_COUNTER_42,
+cout => VSYNC_COUNTER_COUT(0),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_42,
+datab => D_SET_HSYNC_COUNTER_58,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_41,
+cout => VSYNC_COUNTER_COUT(1),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_41,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(0),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_2_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_40,
+cout => VSYNC_COUNTER_COUT(2),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_40,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_3_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_39,
+cout => VSYNC_COUNTER_COUT(3),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_39,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(2),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_4_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_38,
+cout => VSYNC_COUNTER_COUT(4),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_38,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(3),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_5_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_37,
+cout => VSYNC_COUNTER_COUT(5),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_37,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(4),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_6_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_36,
+cout => VSYNC_COUNTER_COUT(6),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_36,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(5),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_7_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_35,
+cout => VSYNC_COUNTER_COUT(7),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_35,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(6),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_8_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "reg_and_comb",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5aa0")
+port map (
+regout => VSYNC_COUNTER_34,
+cout => VSYNC_COUNTER_COUT(8),
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_34,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(7),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\VSYNC_COUNTER_9_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a5a")
+port map (
+regout => VSYNC_COUNTER_33,
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_33,
+datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
+sclr => G_16_I_I,
+sload => UN9_VSYNC_COUNTERLT9_I,
+cin => VSYNC_COUNTER_COUT(8),
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datad => VCC,
+       aclr => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_9_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_32,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_8_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+regout => COLUMN_COUNTER_SIG_31,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_7_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+regout => COLUMN_COUNTER_SIG_30,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_6_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_29,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_5_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_28,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_4_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_27,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_3_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_26,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_2_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_25,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_1_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => COLUMN_COUNTER_SIG_24,
+clk => clk_pin_c,
+dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\COLUMN_COUNTER_SIG_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "7777")
+port map (
+regout => COLUMN_COUNTER_SIG_23,
+clk => clk_pin_c,
+dataa => COLUMN_COUNTER_SIG_23,
+datab => UN10_COLUMN_COUNTER_SIGLTO9,
+sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_6_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff00")
+port map (
+regout => HSYNC_STATE_22,
+clk => clk_pin_c,
+datad => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       dataa => VCC,
+       datab => VCC,
+       datac => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0cae")
+port map (
+regout => VSYNC_STATE_15,
+clk => clk_pin_c,
+dataa => VSYNC_STATE_15,
+datab => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
+datac => UN6_DLY_COUNTER_0_X_57,
+datad => VSYNC_STATE_NEXT_2_SQMUXA,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_1_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+regout => VSYNC_STATE_14,
+clk => clk_pin_c,
+dataa => VSYNC_STATE_13,
+datab => UN12_VSYNC_COUNTER_7,
+datac => UN13_VSYNC_COUNTER_4,
+datad => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_6_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_and_comb",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7f7f")
+port map (
+combout => UN6_DLY_COUNTER_0_X_57,
+regout => VSYNC_STATE_12,
+clk => clk_pin_c,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_8_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(9),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_7_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(8),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_6_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(7),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+regout => LINE_COUNTER_SIG_5_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(6),
+datac => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_4_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(5),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_3_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(4),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_2_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(3),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "dddd")
+port map (
+regout => LINE_COUNTER_SIG_1_0,
+clk => clk_pin_c,
+dataa => UN10_LINE_COUNTER_SIGLTO8,
+datab => UN1_LINE_COUNTER_SIG_COMBOUT(2),
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\LINE_COUNTER_SIG_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "bbbb")
+port map (
+regout => LINE_COUNTER_SIG_0_0,
+clk => clk_pin_c,
+dataa => UN1_LINE_COUNTER_SIG_COMBOUT(1),
+datab => UN10_LINE_COUNTER_SIGLTO8,
+sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+V_ENABLE_SIG_Z285: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+regout => v_enable_sig,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_21,
+datab => HSYNC_STATE_20,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+H_ENABLE_SIG_Z286: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+regout => h_enable_sig,
+clk => clk_pin_c,
+dataa => VSYNC_STATE_11,
+datab => VSYNC_STATE_14,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+H_SYNC_Z287: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff7f")
+port map (
+regout => H_SYNC_56,
+clk => clk_pin_c,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => H_SYNC_1_0_0_0_G1,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+V_SYNC_Z288: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff7f")
+port map (
+regout => V_SYNC_55,
+clk => clk_pin_c,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => V_SYNC_1_0_0_0_G1,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_5_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+regout => VSYNC_STATE_10,
+clk => clk_pin_c,
+dataa => VSYNC_STATE_12,
+datab => VSYNC_STATE_15,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => VSYNC_STATE_NEXT_2_SQMUXA,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_4_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "2000")
+port map (
+regout => VSYNC_STATE_13,
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_42,
+datab => VSYNC_COUNTER_33,
+datac => VSYNC_STATE_10,
+datad => UN14_VSYNC_COUNTER_8,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => VSYNC_STATE_NEXT_2_SQMUXA,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_3_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "aaaa")
+port map (
+regout => VSYNC_STATE_11,
+clk => clk_pin_c,
+dataa => VSYNC_STATE_14,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => VSYNC_STATE_NEXT_2_SQMUXA,
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_2_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+regout => VSYNC_STATE_9,
+clk => clk_pin_c,
+dataa => VSYNC_COUNTER_42,
+datab => VSYNC_COUNTER_33,
+datac => VSYNC_STATE_11,
+datad => UN14_VSYNC_COUNTER_8,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => VSYNC_STATE_NEXT_2_SQMUXA,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_5_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+regout => HSYNC_STATE_19,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_22,
+datab => HSYNC_STATE_18,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_4_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+regout => HSYNC_STATE_17,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_19,
+datab => UN10_HSYNC_COUNTER_3,
+datac => UN10_HSYNC_COUNTER_1,
+datad => UN10_HSYNC_COUNTER_4,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_3_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "aaaa")
+port map (
+regout => HSYNC_STATE_21,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_20,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_2_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "8888")
+port map (
+regout => HSYNC_STATE_16,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_21,
+datab => UN12_HSYNC_COUNTER,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_1_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+regout => HSYNC_STATE_20,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_17,
+datab => UN11_HSYNC_COUNTER_2,
+datac => UN10_HSYNC_COUNTER_1,
+datad => UN11_HSYNC_COUNTER_3,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "on",
+     sum_lutc_input => "datac",
+    lut_mask => "8888")
+port map (
+regout => HSYNC_STATE_18,
+clk => clk_pin_c,
+dataa => HSYNC_STATE_16,
+datab => UN13_HSYNC_COUNTER,
+sclr => UN6_DLY_COUNTER_0_X_57,
+ena => \HSYNC_STATE_3_0_0_0__G0_0\,
+       devpor => devpor,
+       devclrn => devclrn,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sload => GND,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_STATE_NEXT_2_SQMUXA_Z299: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "aaab")
+port map (
+combout => VSYNC_STATE_NEXT_2_SQMUXA,
+dataa => UN6_DLY_COUNTER_0_X_57,
+datab => VSYNC_STATE_NEXT_1_SQMUXA_1,
+datac => VSYNC_STATE_NEXT_1_SQMUXA_3,
+datad => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\HSYNC_STATE_3_0_0_0__G0_0_Z300\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "f0f1")
+port map (
+combout => \HSYNC_STATE_3_0_0_0__G0_0\,
+dataa => HSYNC_STATE_NEXT_1_SQMUXA_1,
+datab => HSYNC_STATE_NEXT_1_SQMUXA_2,
+datac => UN6_DLY_COUNTER_0_X_57,
+datad => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+UN1_HSYNC_STATE_NEXT_1_SQMUXA_0_Z301: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0ace")
+port map (
+combout => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
+dataa => HSYNC_STATE_16,
+datab => HSYNC_STATE_21,
+datac => UN13_HSYNC_COUNTER,
+datad => UN12_HSYNC_COUNTER,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+UN1_VSYNC_STATE_NEXT_1_SQMUXA_0_Z302: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff2a")
+port map (
+combout => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
+dataa => VSYNC_STATE_9,
+datab => UN12_VSYNC_COUNTER_6,
+datac => UN15_VSYNC_COUNTER_4,
+datad => VSYNC_STATE_NEXT_1_SQMUXA_2,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\VSYNC_STATE_3_IV_0_0__G0_0_A3_0_Z303\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+combout => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
+dataa => VSYNC_STATE_9,
+datab => UN12_VSYNC_COUNTER_6,
+datac => UN15_VSYNC_COUNTER_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO8: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ff7f")
+port map (
+combout => UN10_LINE_COUNTER_SIGLTO8,
+dataa => LINE_COUNTER_SIG_7_0,
+datab => LINE_COUNTER_SIG_8_0,
+datac => LINE_COUNTER_SIG_6_0,
+datad => UN10_LINE_COUNTER_SIGLTO5,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+G_2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0f1f")
+port map (
+combout => G_2_I,
+dataa => HSYNC_STATE_18,
+datab => HSYNC_STATE_22,
+datac => UN9_HSYNC_COUNTERLT9,
+datad => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_STATE_NEXT_1_SQMUXA_1_Z306: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "d0f0")
+port map (
+combout => VSYNC_STATE_NEXT_1_SQMUXA_1,
+dataa => VSYNC_COUNTER_42,
+datab => VSYNC_COUNTER_33,
+datac => VSYNC_STATE_10,
+datad => UN14_VSYNC_COUNTER_8,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_STATE_NEXT_1_SQMUXA_2_Z307: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "2a2a")
+port map (
+combout => VSYNC_STATE_NEXT_1_SQMUXA_2,
+dataa => VSYNC_STATE_13,
+datab => UN12_VSYNC_COUNTER_7,
+datac => UN13_VSYNC_COUNTER_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_STATE_NEXT_1_SQMUXA_3_Z308: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "70f0")
+port map (
+combout => VSYNC_STATE_NEXT_1_SQMUXA_3,
+dataa => VSYNC_COUNTER_42,
+datab => VSYNC_COUNTER_33,
+datac => VSYNC_STATE_11,
+datad => UN14_VSYNC_COUNTER_8,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+G_16: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0f1f")
+port map (
+combout => G_16_I,
+dataa => VSYNC_STATE_15,
+datab => VSYNC_STATE_12,
+datac => UN9_VSYNC_COUNTERLT9,
+datad => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_STATE_NEXT_1_SQMUXA_2_Z310: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "2aaa")
+port map (
+combout => HSYNC_STATE_NEXT_1_SQMUXA_2,
+dataa => HSYNC_STATE_17,
+datab => UN11_HSYNC_COUNTER_2,
+datac => UN10_HSYNC_COUNTER_1,
+datad => UN11_HSYNC_COUNTER_3,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_STATE_NEXT_1_SQMUXA_1_Z311: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "2aaa")
+port map (
+combout => HSYNC_STATE_NEXT_1_SQMUXA_1,
+dataa => HSYNC_STATE_19,
+datab => UN10_HSYNC_COUNTER_3,
+datac => UN10_HSYNC_COUNTER_1,
+datad => UN10_HSYNC_COUNTER_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLTO9: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "1f0f")
+port map (
+combout => UN10_COLUMN_COUNTER_SIGLTO9,
+dataa => COLUMN_COUNTER_SIG_30,
+datab => COLUMN_COUNTER_SIG_31,
+datac => COLUMN_COUNTER_SIG_32,
+datad => UN10_COLUMN_COUNTER_SIGLT6,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+combout => UN12_HSYNC_COUNTER,
+dataa => HSYNC_COUNTER_52,
+datab => HSYNC_COUNTER_51,
+datac => UN12_HSYNC_COUNTER_3,
+datad => UN12_HSYNC_COUNTER_4,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "1000")
+port map (
+combout => UN13_HSYNC_COUNTER,
+dataa => HSYNC_COUNTER_46,
+datab => HSYNC_COUNTER_45,
+datac => UN13_HSYNC_COUNTER_2,
+datad => UN13_HSYNC_COUNTER_7,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "f7ff")
+port map (
+combout => UN9_HSYNC_COUNTERLT9,
+dataa => HSYNC_COUNTER_44,
+datab => HSYNC_COUNTER_43,
+datac => UN9_HSYNC_COUNTERLT9_3,
+datad => UN13_HSYNC_COUNTER_7,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "fff7")
+port map (
+combout => UN9_VSYNC_COUNTERLT9,
+dataa => VSYNC_COUNTER_38,
+datab => VSYNC_COUNTER_37,
+datac => UN9_VSYNC_COUNTERLT9_5,
+datad => UN9_VSYNC_COUNTERLT9_6,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO5: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0f07")
+port map (
+combout => UN10_LINE_COUNTER_SIGLTO5,
+dataa => LINE_COUNTER_SIG_1_0,
+datab => LINE_COUNTER_SIG_2_0,
+datac => LINE_COUNTER_SIG_5_0,
+datad => UN10_LINE_COUNTER_SIGLT4_2,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8080")
+port map (
+combout => UN13_VSYNC_COUNTER_4,
+dataa => VSYNC_COUNTER_42,
+datab => VSYNC_COUNTER_37,
+datac => UN13_VSYNC_COUNTER_3,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "1010")
+port map (
+combout => UN15_VSYNC_COUNTER_4,
+dataa => VSYNC_COUNTER_41,
+datab => VSYNC_COUNTER_38,
+datac => UN15_VSYNC_COUNTER_3,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "fff7")
+port map (
+combout => UN10_COLUMN_COUNTER_SIGLT6,
+dataa => COLUMN_COUNTER_SIG_23,
+datab => COLUMN_COUNTER_SIG_24,
+datac => UN10_COLUMN_COUNTER_SIGLT6_54,
+datad => UN10_COLUMN_COUNTER_SIGLT6_2,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_COUNTER_NEXT_1_SQMUXA_Z321: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => HSYNC_COUNTER_NEXT_1_SQMUXA,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => D_SET_HSYNC_COUNTER_58,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_Z322: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => HSYNC_STATE_20,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+H_SYNC_1_0_0_0_G1_Z323: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ccd8")
+port map (
+combout => H_SYNC_1_0_0_0_G1,
+dataa => HSYNC_STATE_16,
+datab => H_SYNC_56,
+datac => HSYNC_STATE_17,
+datad => UN1_HSYNC_STATE_3_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+LINE_COUNTER_NEXT_0_SQMUXA_1_1_Z324: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => VSYNC_STATE_14,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+V_SYNC_1_0_0_0_G1_Z325: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "ccd8")
+port map (
+combout => V_SYNC_1_0_0_0_G1,
+dataa => VSYNC_STATE_9,
+datab => V_SYNC_55,
+datac => VSYNC_STATE_13,
+datad => UN1_VSYNC_STATE_2_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+H_ENABLE_SIG_1_0_0_0_G0_I_O4_Z326: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "f1f1")
+port map (
+combout => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
+dataa => VSYNC_STATE_13,
+datab => VSYNC_STATE_10,
+datac => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_COUNTER_NEXT_1_SQMUXA_Z327: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => VSYNC_COUNTER_NEXT_1_SQMUXA,
+dataa => reset_pin_c,
+datab => dly_counter_0,
+datac => dly_counter_1,
+datad => D_SET_VSYNC_COUNTER_53,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN14_VSYNC_COUNTER_8: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8888")
+port map (
+combout => UN14_VSYNC_COUNTER_8,
+dataa => UN12_VSYNC_COUNTER_6,
+datab => UN12_VSYNC_COUNTER_7,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+V_ENABLE_SIG_1_0_0_0_G0_I_O4_Z329: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "f1f1")
+port map (
+combout => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
+dataa => HSYNC_STATE_17,
+datab => HSYNC_STATE_19,
+datac => UN6_DLY_COUNTER_0_X_57,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0008")
+port map (
+combout => UN11_HSYNC_COUNTER_3,
+dataa => HSYNC_COUNTER_52,
+datab => HSYNC_COUNTER_51,
+datac => HSYNC_COUNTER_49,
+datad => HSYNC_COUNTER_48,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0808")
+port map (
+combout => UN11_HSYNC_COUNTER_2,
+dataa => HSYNC_COUNTER_50,
+datab => HSYNC_COUNTER_45,
+datac => HSYNC_COUNTER_46,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0010")
+port map (
+combout => UN12_HSYNC_COUNTER_4,
+dataa => HSYNC_COUNTER_46,
+datab => HSYNC_COUNTER_45,
+datac => HSYNC_COUNTER_50,
+datad => HSYNC_COUNTER_48,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0020")
+port map (
+combout => UN12_HSYNC_COUNTER_3,
+dataa => HSYNC_COUNTER_43,
+datab => HSYNC_COUNTER_47,
+datac => HSYNC_COUNTER_44,
+datad => HSYNC_COUNTER_49,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7fff")
+port map (
+combout => UN9_HSYNC_COUNTERLT9_3,
+dataa => HSYNC_COUNTER_46,
+datab => HSYNC_COUNTER_45,
+datac => HSYNC_COUNTER_48,
+datad => HSYNC_COUNTER_47,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0080")
+port map (
+combout => UN13_HSYNC_COUNTER_2,
+dataa => HSYNC_COUNTER_44,
+datab => HSYNC_COUNTER_43,
+datac => HSYNC_COUNTER_48,
+datad => HSYNC_COUNTER_47,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_6: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7fff")
+port map (
+combout => UN9_VSYNC_COUNTERLT9_6,
+dataa => VSYNC_COUNTER_40,
+datab => VSYNC_COUNTER_39,
+datac => VSYNC_COUNTER_42,
+datad => VSYNC_COUNTER_41,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_5: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7fff")
+port map (
+combout => UN9_VSYNC_COUNTERLT9_5,
+dataa => VSYNC_COUNTER_34,
+datab => VSYNC_COUNTER_33,
+datac => VSYNC_COUNTER_36,
+datad => VSYNC_COUNTER_35,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0001")
+port map (
+combout => UN13_VSYNC_COUNTER_3,
+dataa => VSYNC_COUNTER_36,
+datab => VSYNC_COUNTER_35,
+datac => VSYNC_COUNTER_34,
+datad => VSYNC_COUNTER_33,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_4: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+combout => UN10_HSYNC_COUNTER_4,
+dataa => HSYNC_COUNTER_48,
+datab => HSYNC_COUNTER_46,
+datac => HSYNC_COUNTER_51,
+datad => HSYNC_COUNTER_49,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0101")
+port map (
+combout => UN10_HSYNC_COUNTER_3,
+dataa => HSYNC_COUNTER_52,
+datab => HSYNC_COUNTER_45,
+datac => HSYNC_COUNTER_50,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_3: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0020")
+port map (
+combout => UN15_VSYNC_COUNTER_3,
+dataa => VSYNC_COUNTER_33,
+datab => VSYNC_COUNTER_40,
+datac => VSYNC_COUNTER_39,
+datad => VSYNC_COUNTER_42,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7f7f")
+port map (
+combout => UN10_COLUMN_COUNTER_SIGLT6_2,
+dataa => COLUMN_COUNTER_SIG_25,
+datab => COLUMN_COUNTER_SIG_26,
+datac => COLUMN_COUNTER_SIG_27,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLT4_2: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7f7f")
+port map (
+combout => UN10_LINE_COUNTER_SIGLT4_2,
+dataa => LINE_COUNTER_SIG_0_0,
+datab => LINE_COUNTER_SIG_4_0,
+datac => LINE_COUNTER_SIG_3_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_1: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0101")
+port map (
+combout => UN10_HSYNC_COUNTER_1,
+dataa => HSYNC_COUNTER_47,
+datab => HSYNC_COUNTER_44,
+datac => HSYNC_COUNTER_43,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_7: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "8000")
+port map (
+combout => UN13_HSYNC_COUNTER_7,
+dataa => HSYNC_COUNTER_50,
+datab => HSYNC_COUNTER_49,
+datac => HSYNC_COUNTER_52,
+datad => HSYNC_COUNTER_51,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_6: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0001")
+port map (
+combout => UN12_VSYNC_COUNTER_6,
+dataa => VSYNC_COUNTER_35,
+datab => VSYNC_COUNTER_34,
+datac => VSYNC_COUNTER_37,
+datad => VSYNC_COUNTER_36,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_7: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0001")
+port map (
+combout => UN12_VSYNC_COUNTER_7,
+dataa => VSYNC_COUNTER_39,
+datab => VSYNC_COUNTER_38,
+datac => VSYNC_COUNTER_41,
+datad => VSYNC_COUNTER_40,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+UN1_HSYNC_STATE_3_0_Z348: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+combout => UN1_HSYNC_STATE_3_0,
+dataa => HSYNC_STATE_21,
+datab => HSYNC_STATE_20,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_1: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "7777")
+port map (
+combout => UN10_COLUMN_COUNTER_SIGLT6_54,
+dataa => COLUMN_COUNTER_SIG_29,
+datab => COLUMN_COUNTER_SIG_28,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+UN1_VSYNC_STATE_2_0_Z350: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+combout => UN1_VSYNC_STATE_2_0,
+dataa => VSYNC_STATE_11,
+datab => VSYNC_STATE_14,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+D_SET_HSYNC_COUNTER_Z351: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+combout => D_SET_HSYNC_COUNTER_58,
+dataa => HSYNC_STATE_22,
+datab => HSYNC_STATE_18,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+D_SET_VSYNC_COUNTER_Z352: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "eeee")
+port map (
+combout => D_SET_VSYNC_COUNTER_53,
+dataa => VSYNC_STATE_12,
+datab => VSYNC_STATE_15,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_9_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c6c")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(9),
+dataa => LINE_COUNTER_SIG_7_0,
+datab => LINE_COUNTER_SIG_8_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(7),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a5a")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(8),
+dataa => LINE_COUNTER_SIG_7_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(6),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(7),
+cout => UN1_LINE_COUNTER_SIG_COUT(7),
+dataa => LINE_COUNTER_SIG_5_0,
+datab => LINE_COUNTER_SIG_6_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(5),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(6),
+cout => UN1_LINE_COUNTER_SIG_COUT(6),
+dataa => LINE_COUNTER_SIG_5_0,
+datab => LINE_COUNTER_SIG_6_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(4),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(5),
+cout => UN1_LINE_COUNTER_SIG_COUT(5),
+dataa => LINE_COUNTER_SIG_3_0,
+datab => LINE_COUNTER_SIG_4_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(3),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(4),
+cout => UN1_LINE_COUNTER_SIG_COUT(4),
+dataa => LINE_COUNTER_SIG_3_0,
+datab => LINE_COUNTER_SIG_4_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(2),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(3),
+cout => UN1_LINE_COUNTER_SIG_COUT(3),
+dataa => LINE_COUNTER_SIG_1_0,
+datab => LINE_COUNTER_SIG_2_0,
+cin => UN1_LINE_COUNTER_SIG_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(2),
+cout => UN1_LINE_COUNTER_SIG_COUT(2),
+dataa => LINE_COUNTER_SIG_1_0,
+datab => LINE_COUNTER_SIG_2_0,
+cin => UN1_LINE_COUNTER_SIG_A_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_A_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "0088")
+port map (
+cout => UN1_LINE_COUNTER_SIG_A_COUT(1),
+dataa => D_SET_HSYNC_COUNTER_58,
+datab => LINE_COUNTER_SIG_0_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\UN1_LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "6688")
+port map (
+combout => UN1_LINE_COUNTER_SIG_COMBOUT(1),
+cout => UN1_LINE_COUNTER_SIG_COUT(1),
+dataa => D_SET_HSYNC_COUNTER_58,
+datab => LINE_COUNTER_SIG_0_0,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_9_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c6c")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
+dataa => COLUMN_COUNTER_SIG_31,
+datab => COLUMN_COUNTER_SIG_32,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(7),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_8_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a5a")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
+dataa => COLUMN_COUNTER_SIG_31,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(6),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datab => VCC,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_7_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(7),
+dataa => COLUMN_COUNTER_SIG_29,
+datab => COLUMN_COUNTER_SIG_30,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(5),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_6_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(6),
+dataa => COLUMN_COUNTER_SIG_29,
+datab => COLUMN_COUNTER_SIG_30,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(4),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_5_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(5),
+dataa => COLUMN_COUNTER_SIG_27,
+datab => COLUMN_COUNTER_SIG_28,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(3),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_4_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(4),
+dataa => COLUMN_COUNTER_SIG_27,
+datab => COLUMN_COUNTER_SIG_28,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(2),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_3_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "6c80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(3),
+dataa => COLUMN_COUNTER_SIG_25,
+datab => COLUMN_COUNTER_SIG_26,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_2_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "cin",
+     cin_used => "true",
+    lut_mask => "5a80")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(2),
+dataa => COLUMN_COUNTER_SIG_25,
+datab => COLUMN_COUNTER_SIG_26,
+cin => UN2_COLUMN_COUNTER_NEXT_COUT(0),
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_1_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "6688")
+port map (
+combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(1),
+dataa => COLUMN_COUNTER_SIG_23,
+datab => COLUMN_COUNTER_SIG_24,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\UN2_COLUMN_COUNTER_NEXT_0_\: stratix_lcell generic map (
+    operation_mode => "arithmetic",
+    output_mode => "comb_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "5588")
+port map (
+cout => UN2_COLUMN_COUNTER_NEXT_COUT(0),
+dataa => COLUMN_COUNTER_SIG_23,
+datab => COLUMN_COUNTER_SIG_24,
+       devpor => devpor,
+       devclrn => devclrn,
+       clk => GND,
+       datac => VCC,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+VCC <= '1';
+GND <= '0';
+LINE_COUNTER_NEXT_0_SQMUXA_1_1_I <= not LINE_COUNTER_NEXT_0_SQMUXA_1_1;
+COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I <= not COLUMN_COUNTER_NEXT_0_SQMUXA_1_1;
+G_16_I_I <= not G_16_I;
+UN9_VSYNC_COUNTERLT9_I <= not UN9_VSYNC_COUNTERLT9;
+G_2_I_I <= not G_2_I;
+UN9_HSYNC_COUNTERLT9_I <= not UN9_HSYNC_COUNTERLT9;
+line_counter_sig_0 <= LINE_COUNTER_SIG_0_0;
+line_counter_sig_1 <= LINE_COUNTER_SIG_1_0;
+line_counter_sig_2 <= LINE_COUNTER_SIG_2_0;
+line_counter_sig_3 <= LINE_COUNTER_SIG_3_0;
+line_counter_sig_4 <= LINE_COUNTER_SIG_4_0;
+line_counter_sig_5 <= LINE_COUNTER_SIG_5_0;
+line_counter_sig_6 <= LINE_COUNTER_SIG_6_0;
+line_counter_sig_7 <= LINE_COUNTER_SIG_7_0;
+line_counter_sig_8 <= LINE_COUNTER_SIG_8_0;
+vsync_state_2 <= VSYNC_STATE_9;
+vsync_state_5 <= VSYNC_STATE_10;
+vsync_state_3 <= VSYNC_STATE_11;
+vsync_state_6 <= VSYNC_STATE_12;
+vsync_state_4 <= VSYNC_STATE_13;
+vsync_state_1 <= VSYNC_STATE_14;
+vsync_state_0 <= VSYNC_STATE_15;
+hsync_state_2 <= HSYNC_STATE_16;
+hsync_state_4 <= HSYNC_STATE_17;
+hsync_state_0 <= HSYNC_STATE_18;
+hsync_state_5 <= HSYNC_STATE_19;
+hsync_state_1 <= HSYNC_STATE_20;
+hsync_state_3 <= HSYNC_STATE_21;
+hsync_state_6 <= HSYNC_STATE_22;
+column_counter_sig_0 <= COLUMN_COUNTER_SIG_23;
+column_counter_sig_1 <= COLUMN_COUNTER_SIG_24;
+column_counter_sig_2 <= COLUMN_COUNTER_SIG_25;
+column_counter_sig_3 <= COLUMN_COUNTER_SIG_26;
+column_counter_sig_4 <= COLUMN_COUNTER_SIG_27;
+column_counter_sig_5 <= COLUMN_COUNTER_SIG_28;
+column_counter_sig_6 <= COLUMN_COUNTER_SIG_29;
+column_counter_sig_7 <= COLUMN_COUNTER_SIG_30;
+column_counter_sig_8 <= COLUMN_COUNTER_SIG_31;
+column_counter_sig_9 <= COLUMN_COUNTER_SIG_32;
+vsync_counter_9 <= VSYNC_COUNTER_33;
+vsync_counter_8 <= VSYNC_COUNTER_34;
+vsync_counter_7 <= VSYNC_COUNTER_35;
+vsync_counter_6 <= VSYNC_COUNTER_36;
+vsync_counter_5 <= VSYNC_COUNTER_37;
+vsync_counter_4 <= VSYNC_COUNTER_38;
+vsync_counter_3 <= VSYNC_COUNTER_39;
+vsync_counter_2 <= VSYNC_COUNTER_40;
+vsync_counter_1 <= VSYNC_COUNTER_41;
+vsync_counter_0 <= VSYNC_COUNTER_42;
+hsync_counter_9 <= HSYNC_COUNTER_43;
+hsync_counter_8 <= HSYNC_COUNTER_44;
+hsync_counter_7 <= HSYNC_COUNTER_45;
+hsync_counter_6 <= HSYNC_COUNTER_46;
+hsync_counter_5 <= HSYNC_COUNTER_47;
+hsync_counter_4 <= HSYNC_COUNTER_48;
+hsync_counter_3 <= HSYNC_COUNTER_49;
+hsync_counter_2 <= HSYNC_COUNTER_50;
+hsync_counter_1 <= HSYNC_COUNTER_51;
+hsync_counter_0 <= HSYNC_COUNTER_52;
+d_set_vsync_counter <= D_SET_VSYNC_COUNTER_53;
+un10_column_counter_siglt6_1 <= UN10_COLUMN_COUNTER_SIGLT6_54;
+v_sync <= V_SYNC_55;
+h_sync <= H_SYNC_56;
+un6_dly_counter_0_x <= UN6_DLY_COUNTER_0_X_57;
+d_set_hsync_counter <= D_SET_HSYNC_COUNTER_58;
+end beh;
+
+--
+library ieee, stratix;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+library synplify;
+use synplify.components.all;
+use stratix.stratix_components.all;
+
+entity vga is
+port(
+clk_pin :  in std_logic;
+reset_pin :  in std_logic;
+r0_pin :  out std_logic;
+r1_pin :  out std_logic;
+r2_pin :  out std_logic;
+g0_pin :  out std_logic;
+g1_pin :  out std_logic;
+g2_pin :  out std_logic;
+b0_pin :  out std_logic;
+b1_pin :  out std_logic;
+hsync_pin :  out std_logic;
+vsync_pin :  out std_logic;
+seven_seg_pin : out std_logic_vector(13 downto 0);
+d_hsync :  out std_logic;
+d_vsync :  out std_logic;
+d_column_counter : out std_logic_vector(9 downto 0);
+d_line_counter : out std_logic_vector(8 downto 0);
+d_set_column_counter :  out std_logic;
+d_set_line_counter :  out std_logic;
+d_hsync_counter : out std_logic_vector(9 downto 0);
+d_vsync_counter : out std_logic_vector(9 downto 0);
+d_set_hsync_counter :  out std_logic;
+d_set_vsync_counter :  out std_logic;
+d_h_enable :  out std_logic;
+d_v_enable :  out std_logic;
+d_r :  out std_logic;
+d_g :  out std_logic;
+d_b :  out std_logic;
+d_hsync_state : out std_logic_vector(0 to 6);
+d_vsync_state : out std_logic_vector(0 to 6);
+d_state_clk :  out std_logic;
+d_toggle :  out std_logic;
+d_toggle_counter : out std_logic_vector(24 downto 0));
+end vga;
+
+architecture beh of vga is
+signal devclrn : std_logic := '1';
+signal devpor : std_logic := '1';
+signal devoe : std_logic := '0';
+signal DLY_COUNTER : std_logic_vector(1 downto 0);
+signal \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\ : std_logic_vector(9 downto 0);
+signal \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\ : std_logic_vector(8 downto 0);
+signal \VGA_DRIVER_UNIT.HSYNC_COUNTER\ : std_logic_vector(9 downto 0);
+signal \VGA_DRIVER_UNIT.VSYNC_COUNTER\ : std_logic_vector(9 downto 0);
+signal \VGA_DRIVER_UNIT.HSYNC_STATE\ : std_logic_vector(6 downto 0);
+signal \VGA_DRIVER_UNIT.VSYNC_STATE\ : std_logic_vector(6 downto 0);
+signal \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\ : std_logic_vector(24 downto 0);
+signal SEVEN_SEG_PINZ : std_logic_vector(13 downto 0);
+signal D_COLUMN_COUNTERZ : std_logic_vector(9 downto 0);
+signal D_LINE_COUNTERZ : std_logic_vector(8 downto 0);
+signal D_HSYNC_COUNTERZ : std_logic_vector(9 downto 0);
+signal D_VSYNC_COUNTERZ : std_logic_vector(9 downto 0);
+signal D_HSYNC_STATEZ : std_logic_vector(6 downto 0);
+signal D_VSYNC_STATEZ : std_logic_vector(6 downto 0);
+signal D_TOGGLE_COUNTERZ : std_logic_vector(24 downto 0);
+signal VCC : std_logic ;
+signal GND : std_logic ;
+signal \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\ : std_logic ;
+signal \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\ : std_logic ;
+signal \VGA_DRIVER_UNIT.H_SYNC\ : std_logic ;
+signal \VGA_DRIVER_UNIT.V_SYNC\ : std_logic ;
+signal \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\ : std_logic ;
+signal \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\ : std_logic ;
+signal \VGA_DRIVER_UNIT.H_ENABLE_SIG\ : std_logic ;
+signal \VGA_DRIVER_UNIT.V_ENABLE_SIG\ : std_logic ;
+signal \VGA_CONTROL_UNIT.R\ : std_logic ;
+signal \VGA_CONTROL_UNIT.G\ : std_logic ;
+signal \VGA_CONTROL_UNIT.B\ : std_logic ;
+signal G_33 : std_logic ;
+signal \VGA_CONTROL_UNIT.TOGGLE_SIG\ : std_logic ;
+signal CLK_PIN_C : std_logic ;
+signal RESET_PIN_C : std_logic ;
+signal CLK_PIN_INTERNAL : std_logic ;
+signal RESET_PIN_INTERNAL : std_logic ;
+signal N_1 : std_logic ;
+signal N_2 : std_logic ;
+signal N_85_0 : std_logic ;
+signal N_86_0 : std_logic ;
+signal N_87_0 : std_logic ;
+signal N_88_0 : std_logic ;
+signal N_89_0 : std_logic ;
+signal N_90_0 : std_logic ;
+signal N_91_0 : std_logic ;
+signal N_92_0 : std_logic ;
+signal N_93_0 : std_logic ;
+signal N_94_0 : std_logic ;
+signal N_95_0 : std_logic ;
+signal N_96_0 : std_logic ;
+signal N_97_0 : std_logic ;
+signal N_98_0 : std_logic ;
+signal N_99_0 : std_logic ;
+signal N_100_0 : std_logic ;
+signal N_101_0 : std_logic ;
+signal N_102_0 : std_logic ;
+signal N_103_0 : std_logic ;
+signal N_104_0 : std_logic ;
+signal N_105_0 : std_logic ;
+signal N_106_0 : std_logic ;
+signal N_107_0 : std_logic ;
+signal N_108_0 : std_logic ;
+signal N_109_0 : std_logic ;
+signal N_110_0 : std_logic ;
+signal N_111_0 : std_logic ;
+signal N_112_0 : std_logic ;
+signal N_113_0 : std_logic ;
+signal N_114_0 : std_logic ;
+signal N_115_0 : std_logic ;
+signal N_116_0 : std_logic ;
+signal N_117_0 : std_logic ;
+signal N_118 : std_logic ;
+signal N_119 : std_logic ;
+signal N_120 : std_logic ;
+signal N_121 : std_logic ;
+signal N_122 : std_logic ;
+signal N_123 : std_logic ;
+signal N_124 : std_logic ;
+signal N_125 : std_logic ;
+signal N_126 : std_logic ;
+signal N_127 : std_logic ;
+signal N_128 : std_logic ;
+signal N_129 : std_logic ;
+signal N_130 : std_logic ;
+signal N_131 : std_logic ;
+signal N_132 : std_logic ;
+signal N_133 : std_logic ;
+signal N_134 : std_logic ;
+signal N_135 : std_logic ;
+signal N_136 : std_logic ;
+signal N_137 : std_logic ;
+signal N_138 : std_logic ;
+signal N_139 : std_logic ;
+signal N_140 : std_logic ;
+signal N_141 : std_logic ;
+signal N_142 : std_logic ;
+signal N_143 : std_logic ;
+signal N_144 : std_logic ;
+signal N_145 : std_logic ;
+signal N_146 : std_logic ;
+signal N_147 : std_logic ;
+signal N_148 : std_logic ;
+signal N_149 : std_logic ;
+signal N_150 : std_logic ;
+signal N_151 : std_logic ;
+signal N_152 : std_logic ;
+signal N_153 : std_logic ;
+signal N_154 : std_logic ;
+signal N_155 : std_logic ;
+signal N_156 : std_logic ;
+signal N_157 : std_logic ;
+signal N_158 : std_logic ;
+signal N_159 : std_logic ;
+signal N_160 : std_logic ;
+signal N_161 : std_logic ;
+signal N_162 : std_logic ;
+signal N_163 : std_logic ;
+signal N_164 : std_logic ;
+signal N_165 : std_logic ;
+signal N_166 : std_logic ;
+signal N_167 : std_logic ;
+signal N_168 : std_logic ;
+signal N_169 : std_logic ;
+signal N_170 : std_logic ;
+signal N_171 : std_logic ;
+signal N_172 : std_logic ;
+signal N_173 : std_logic ;
+signal N_174 : std_logic ;
+signal N_175 : std_logic ;
+signal N_176 : std_logic ;
+signal N_177 : std_logic ;
+signal N_178 : std_logic ;
+signal N_179 : std_logic ;
+signal N_180 : std_logic ;
+signal N_181 : std_logic ;
+signal N_182 : std_logic ;
+signal N_183 : std_logic ;
+signal N_184 : std_logic ;
+signal N_185 : std_logic ;
+signal N_186 : std_logic ;
+signal N_187 : std_logic ;
+signal N_188 : std_logic ;
+signal N_189 : std_logic ;
+signal N_190 : std_logic ;
+signal N_191 : std_logic ;
+signal N_192 : std_logic ;
+signal N_193 : std_logic ;
+signal N_194 : std_logic ;
+signal N_195 : std_logic ;
+signal N_196 : std_logic ;
+signal N_197 : std_logic ;
+signal N_198 : std_logic ;
+signal N_199 : std_logic ;
+signal R0_PINZ : std_logic ;
+signal R1_PINZ : std_logic ;
+signal R2_PINZ : std_logic ;
+signal G0_PINZ : std_logic ;
+signal G1_PINZ : std_logic ;
+signal G2_PINZ : std_logic ;
+signal B0_PINZ : std_logic ;
+signal B1_PINZ : std_logic ;
+signal HSYNC_PINZ : std_logic ;
+signal VSYNC_PINZ : std_logic ;
+signal D_HSYNCZ : std_logic ;
+signal D_VSYNCZ : std_logic ;
+signal D_SET_COLUMN_COUNTERZ : std_logic ;
+signal D_SET_LINE_COUNTERZ : std_logic ;
+signal D_SET_HSYNC_COUNTERZ : std_logic ;
+signal D_SET_VSYNC_COUNTERZ : std_logic ;
+signal D_H_ENABLEZ : std_logic ;
+signal D_V_ENABLEZ : std_logic ;
+signal D_RZ : std_logic ;
+signal D_GZ : std_logic ;
+signal D_BZ : std_logic ;
+signal D_STATE_CLKZ : std_logic ;
+signal D_TOGGLEZ : std_logic ;
+component vga_driver
+port(
+  line_counter_sig_0 :  out std_logic;
+  line_counter_sig_1 :  out std_logic;
+  line_counter_sig_2 :  out std_logic;
+  line_counter_sig_3 :  out std_logic;
+  line_counter_sig_4 :  out std_logic;
+  line_counter_sig_5 :  out std_logic;
+  line_counter_sig_6 :  out std_logic;
+  line_counter_sig_7 :  out std_logic;
+  line_counter_sig_8 :  out std_logic;
+  dly_counter_1 :  in std_logic;
+  dly_counter_0 :  in std_logic;
+  vsync_state_2 :  out std_logic;
+  vsync_state_5 :  out std_logic;
+  vsync_state_3 :  out std_logic;
+  vsync_state_6 :  out std_logic;
+  vsync_state_4 :  out std_logic;
+  vsync_state_1 :  out std_logic;
+  vsync_state_0 :  out std_logic;
+  hsync_state_2 :  out std_logic;
+  hsync_state_4 :  out std_logic;
+  hsync_state_0 :  out std_logic;
+  hsync_state_5 :  out std_logic;
+  hsync_state_1 :  out std_logic;
+  hsync_state_3 :  out std_logic;
+  hsync_state_6 :  out std_logic;
+  column_counter_sig_0 :  out std_logic;
+  column_counter_sig_1 :  out std_logic;
+  column_counter_sig_2 :  out std_logic;
+  column_counter_sig_3 :  out std_logic;
+  column_counter_sig_4 :  out std_logic;
+  column_counter_sig_5 :  out std_logic;
+  column_counter_sig_6 :  out std_logic;
+  column_counter_sig_7 :  out std_logic;
+  column_counter_sig_8 :  out std_logic;
+  column_counter_sig_9 :  out std_logic;
+  vsync_counter_9 :  out std_logic;
+  vsync_counter_8 :  out std_logic;
+  vsync_counter_7 :  out std_logic;
+  vsync_counter_6 :  out std_logic;
+  vsync_counter_5 :  out std_logic;
+  vsync_counter_4 :  out std_logic;
+  vsync_counter_3 :  out std_logic;
+  vsync_counter_2 :  out std_logic;
+  vsync_counter_1 :  out std_logic;
+  vsync_counter_0 :  out std_logic;
+  hsync_counter_9 :  out std_logic;
+  hsync_counter_8 :  out std_logic;
+  hsync_counter_7 :  out std_logic;
+  hsync_counter_6 :  out std_logic;
+  hsync_counter_5 :  out std_logic;
+  hsync_counter_4 :  out std_logic;
+  hsync_counter_3 :  out std_logic;
+  hsync_counter_2 :  out std_logic;
+  hsync_counter_1 :  out std_logic;
+  hsync_counter_0 :  out std_logic;
+  d_set_vsync_counter :  out std_logic;
+  un10_column_counter_siglt6_1 :  out std_logic;
+  v_sync :  out std_logic;
+  h_sync :  out std_logic;
+  h_enable_sig :  out std_logic;
+  v_enable_sig :  out std_logic;
+  reset_pin_c :  in std_logic;
+  un6_dly_counter_0_x :  out std_logic;
+  d_set_hsync_counter :  out std_logic;
+  clk_pin_c :  in std_logic  );
+end component;
+component vga_control
+port(
+  column_counter_sig_5 :  in std_logic;
+  column_counter_sig_0 :  in std_logic;
+  column_counter_sig_1 :  in std_logic;
+  column_counter_sig_3 :  in std_logic;
+  column_counter_sig_4 :  in std_logic;
+  column_counter_sig_2 :  in std_logic;
+  column_counter_sig_9 :  in std_logic;
+  column_counter_sig_8 :  in std_logic;
+  column_counter_sig_7 :  in std_logic;
+  column_counter_sig_6 :  in std_logic;
+  line_counter_sig_0 :  in std_logic;
+  line_counter_sig_1 :  in std_logic;
+  line_counter_sig_2 :  in std_logic;
+  line_counter_sig_8 :  in std_logic;
+  line_counter_sig_3 :  in std_logic;
+  line_counter_sig_5 :  in std_logic;
+  line_counter_sig_4 :  in std_logic;
+  line_counter_sig_7 :  in std_logic;
+  line_counter_sig_6 :  in std_logic;
+  toggle_counter_sig_0 :  out std_logic;
+  toggle_counter_sig_1 :  out std_logic;
+  toggle_counter_sig_2 :  out std_logic;
+  toggle_counter_sig_3 :  out std_logic;
+  toggle_counter_sig_4 :  out std_logic;
+  toggle_counter_sig_5 :  out std_logic;
+  toggle_counter_sig_6 :  out std_logic;
+  toggle_counter_sig_7 :  out std_logic;
+  toggle_counter_sig_8 :  out std_logic;
+  toggle_counter_sig_9 :  out std_logic;
+  toggle_counter_sig_10 :  out std_logic;
+  toggle_counter_sig_11 :  out std_logic;
+  toggle_counter_sig_12 :  out std_logic;
+  toggle_counter_sig_13 :  out std_logic;
+  toggle_counter_sig_14 :  out std_logic;
+  toggle_counter_sig_15 :  out std_logic;
+  toggle_counter_sig_16 :  out std_logic;
+  toggle_counter_sig_17 :  out std_logic;
+  toggle_counter_sig_18 :  out std_logic;
+  toggle_counter_sig_19 :  out std_logic;
+  toggle_counter_sig_20 :  out std_logic;
+  toggle_counter_sig_21 :  out std_logic;
+  toggle_counter_sig_22 :  out std_logic;
+  toggle_counter_sig_23 :  out std_logic;
+  toggle_counter_sig_24 :  out std_logic;
+  v_enable_sig :  in std_logic;
+  un10_column_counter_siglt6_1 :  in std_logic;
+  h_enable_sig :  in std_logic;
+  g :  out std_logic;
+  r :  out std_logic;
+  b :  out std_logic;
+  toggle_sig :  out std_logic;
+  un6_dly_counter_0_x :  in std_logic;
+  clk_pin_c :  in std_logic  );
+end component;
+begin
+VCC <= '1';
+GND <= '0';
+\DLY_COUNTER_1_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "a8a8")
+port map (
+regout => DLY_COUNTER(1),
+clk => CLK_PIN_C,
+dataa => RESET_PIN_C,
+datab => DLY_COUNTER(0),
+datac => DLY_COUNTER(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+\DLY_COUNTER_0_\: stratix_lcell generic map (
+    operation_mode => "normal",
+    output_mode => "reg_only",
+    synch_mode => "off",
+     sum_lutc_input => "datac",
+    lut_mask => "a2a2")
+port map (
+regout => DLY_COUNTER(0),
+clk => CLK_PIN_C,
+dataa => RESET_PIN_C,
+datab => DLY_COUNTER(0),
+datac => DLY_COUNTER(1),
+       devpor => devpor,
+       devclrn => devclrn,
+       datad => VCC,
+       aclr => GND,
+       sclr => GND,
+       sload => GND,
+       ena => VCC,
+       cin => GND,
+       inverta => GND,
+       aload => GND);
+RESET_PIN_IN: stratix_io generic map (
+    operation_mode => "input"
+    )
+port map (
+padio => N_2,
+combout => RESET_PIN_C,
+oe => GND,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+CLK_PIN_IN: stratix_io generic map (
+    operation_mode => "input"
+    )
+port map (
+padio => N_1,
+combout => CLK_PIN_C,
+oe => GND,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_24_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(24),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_23_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(23),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_22_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(22),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_21_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(21),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_20_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(20),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_19_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(19),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_18_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(18),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_17_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(17),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_16_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(16),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_15_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(15),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_14_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(14),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_13_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(13),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_12_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(12),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_11_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(11),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_10_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(10),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_9_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(9),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(8),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(7),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(6),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(5),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(4),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(3),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(2),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(1),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_TOGGLE_COUNTER_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLE_COUNTERZ(0),
+datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_TOGGLE_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_TOGGLEZ,
+datain => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_STATE_CLK_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_STATE_CLKZ,
+datain => G_33,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(0),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(1),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(2),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(3),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(4),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(5),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_STATE_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_STATEZ(6),
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(0),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(1),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(2),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(3),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(4),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(5),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_STATE_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_STATEZ(6),
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_B_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_BZ,
+datain => \VGA_CONTROL_UNIT.B\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_G_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_GZ,
+datain => \VGA_CONTROL_UNIT.G\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_R_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_RZ,
+datain => \VGA_CONTROL_UNIT.R\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_V_ENABLE_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_V_ENABLEZ,
+datain => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_H_ENABLE_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_H_ENABLEZ,
+datain => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_SET_VSYNC_COUNTER_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_SET_VSYNC_COUNTERZ,
+datain => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_SET_HSYNC_COUNTER_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_SET_HSYNC_COUNTERZ,
+datain => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_9_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(9),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(8),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(7),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(6),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(5),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(4),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(3),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(2),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(1),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_VSYNC_COUNTER_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNC_COUNTERZ(0),
+datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_9_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(9),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(8),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(7),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(6),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(5),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(4),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(3),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(2),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(1),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_HSYNC_COUNTER_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNC_COUNTERZ(0),
+datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_SET_LINE_COUNTER_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_SET_LINE_COUNTERZ,
+datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_SET_COLUMN_COUNTER_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_SET_COLUMN_COUNTERZ,
+datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(8),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(7),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(6),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(5),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(4),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(3),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(2),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(1),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_LINE_COUNTER_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_LINE_COUNTERZ(0),
+datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_9_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(9),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(8),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(7),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(6),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(5),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(4),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(3),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(2),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(1),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\D_COLUMN_COUNTER_OUT_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_COLUMN_COUNTERZ(0),
+datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_VSYNC_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_VSYNCZ,
+datain => \VGA_DRIVER_UNIT.V_SYNC\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+D_HSYNC_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => D_HSYNCZ,
+datain => \VGA_DRIVER_UNIT.H_SYNC\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_13_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(13),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_12_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(12),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_11_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(11),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_10_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(10),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_9_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(9),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_8_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(8),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_7_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(7),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_6_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(6),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_5_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(5),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_4_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(4),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_3_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(3),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_2_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(2),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_OUT_1_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(1),
+datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+\SEVEN_SEG_PIN_TRI_0_\: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => SEVEN_SEG_PINZ(0),
+datain => VCC,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+VSYNC_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => VSYNC_PINZ,
+datain => \VGA_DRIVER_UNIT.V_SYNC\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+HSYNC_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => HSYNC_PINZ,
+datain => \VGA_DRIVER_UNIT.H_SYNC\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+B1_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => B1_PINZ,
+datain => \VGA_CONTROL_UNIT.B\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+B0_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => B0_PINZ,
+datain => \VGA_CONTROL_UNIT.B\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+G2_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => G2_PINZ,
+datain => \VGA_CONTROL_UNIT.G\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+G1_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => G1_PINZ,
+datain => \VGA_CONTROL_UNIT.G\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+G0_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => G0_PINZ,
+datain => \VGA_CONTROL_UNIT.G\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+R2_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => R2_PINZ,
+datain => \VGA_CONTROL_UNIT.R\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+R1_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => R1_PINZ,
+datain => \VGA_CONTROL_UNIT.R\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+R0_PIN_OUT: stratix_io generic map (
+    operation_mode => "output"
+    )
+port map (
+padio => R0_PINZ,
+datain => \VGA_CONTROL_UNIT.R\,
+oe => VCC,
+       devpor => devpor,
+       devclrn => devclrn,
+       devoe => devoe,
+       outclkena => VCC,
+       inclkena => VCC,
+       areset => GND,
+       sreset => GND);
+G_33 <= CLK_PIN_C;
+VGA_DRIVER_UNIT: vga_driver port map (
+line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
+line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
+line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
+line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
+line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
+line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
+line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
+line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
+line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
+dly_counter_1 => DLY_COUNTER(1),
+dly_counter_0 => DLY_COUNTER(0),
+vsync_state_2 => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
+vsync_state_5 => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
+vsync_state_3 => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
+vsync_state_6 => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
+vsync_state_4 => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
+vsync_state_1 => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
+vsync_state_0 => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
+hsync_state_2 => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
+hsync_state_4 => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
+hsync_state_0 => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
+hsync_state_5 => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
+hsync_state_1 => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
+hsync_state_3 => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
+hsync_state_6 => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
+column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
+column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
+column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
+column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
+column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
+column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
+column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
+column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
+column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
+column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
+vsync_counter_9 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
+vsync_counter_8 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
+vsync_counter_7 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
+vsync_counter_6 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
+vsync_counter_5 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
+vsync_counter_4 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
+vsync_counter_3 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
+vsync_counter_2 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
+vsync_counter_1 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
+vsync_counter_0 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
+hsync_counter_9 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
+hsync_counter_8 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
+hsync_counter_7 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
+hsync_counter_6 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
+hsync_counter_5 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
+hsync_counter_4 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
+hsync_counter_3 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
+hsync_counter_2 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
+hsync_counter_1 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
+hsync_counter_0 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
+d_set_vsync_counter => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
+un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
+v_sync => \VGA_DRIVER_UNIT.V_SYNC\,
+h_sync => \VGA_DRIVER_UNIT.H_SYNC\,
+h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
+v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
+reset_pin_c => RESET_PIN_C,
+un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+d_set_hsync_counter => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
+clk_pin_c => CLK_PIN_C);
+VGA_CONTROL_UNIT: vga_control port map (
+column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
+column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
+column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
+column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
+column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
+column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
+column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
+column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
+column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
+column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
+line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
+line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
+line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
+line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
+line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
+line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
+line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
+line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
+line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
+toggle_counter_sig_0 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
+toggle_counter_sig_1 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
+toggle_counter_sig_2 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
+toggle_counter_sig_3 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
+toggle_counter_sig_4 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
+toggle_counter_sig_5 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
+toggle_counter_sig_6 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
+toggle_counter_sig_7 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
+toggle_counter_sig_8 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
+toggle_counter_sig_9 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
+toggle_counter_sig_10 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
+toggle_counter_sig_11 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
+toggle_counter_sig_12 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
+toggle_counter_sig_13 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
+toggle_counter_sig_14 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
+toggle_counter_sig_15 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
+toggle_counter_sig_16 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
+toggle_counter_sig_17 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
+toggle_counter_sig_18 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
+toggle_counter_sig_19 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
+toggle_counter_sig_20 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
+toggle_counter_sig_21 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
+toggle_counter_sig_22 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
+toggle_counter_sig_23 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
+toggle_counter_sig_24 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
+v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
+un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
+h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
+g => \VGA_CONTROL_UNIT.G\,
+r => \VGA_CONTROL_UNIT.R\,
+b => \VGA_CONTROL_UNIT.B\,
+toggle_sig => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
+un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
+clk_pin_c => CLK_PIN_C);
+N_1 <= CLK_PIN_INTERNAL;
+N_2 <= RESET_PIN_INTERNAL;
+N_85_0 <= R0_PINZ;
+N_86_0 <= R1_PINZ;
+N_87_0 <= R2_PINZ;
+N_88_0 <= G0_PINZ;
+N_89_0 <= G1_PINZ;
+N_90_0 <= G2_PINZ;
+N_91_0 <= B0_PINZ;
+N_92_0 <= B1_PINZ;
+N_93_0 <= HSYNC_PINZ;
+N_94_0 <= VSYNC_PINZ;
+N_95_0 <= SEVEN_SEG_PINZ(0);
+N_96_0 <= SEVEN_SEG_PINZ(1);
+N_97_0 <= SEVEN_SEG_PINZ(2);
+N_98_0 <= SEVEN_SEG_PINZ(3);
+N_99_0 <= SEVEN_SEG_PINZ(4);
+N_100_0 <= SEVEN_SEG_PINZ(5);
+N_101_0 <= SEVEN_SEG_PINZ(6);
+N_102_0 <= SEVEN_SEG_PINZ(7);
+N_103_0 <= SEVEN_SEG_PINZ(8);
+N_104_0 <= SEVEN_SEG_PINZ(9);
+N_105_0 <= SEVEN_SEG_PINZ(10);
+N_106_0 <= SEVEN_SEG_PINZ(11);
+N_107_0 <= SEVEN_SEG_PINZ(12);
+N_108_0 <= SEVEN_SEG_PINZ(13);
+N_109_0 <= D_HSYNCZ;
+N_110_0 <= D_VSYNCZ;
+N_111_0 <= D_COLUMN_COUNTERZ(0);
+N_112_0 <= D_COLUMN_COUNTERZ(1);
+N_113_0 <= D_COLUMN_COUNTERZ(2);
+N_114_0 <= D_COLUMN_COUNTERZ(3);
+N_115_0 <= D_COLUMN_COUNTERZ(4);
+N_116_0 <= D_COLUMN_COUNTERZ(5);
+N_117_0 <= D_COLUMN_COUNTERZ(6);
+N_118 <= D_COLUMN_COUNTERZ(7);
+N_119 <= D_COLUMN_COUNTERZ(8);
+N_120 <= D_COLUMN_COUNTERZ(9);
+N_121 <= D_LINE_COUNTERZ(0);
+N_122 <= D_LINE_COUNTERZ(1);
+N_123 <= D_LINE_COUNTERZ(2);
+N_124 <= D_LINE_COUNTERZ(3);
+N_125 <= D_LINE_COUNTERZ(4);
+N_126 <= D_LINE_COUNTERZ(5);
+N_127 <= D_LINE_COUNTERZ(6);
+N_128 <= D_LINE_COUNTERZ(7);
+N_129 <= D_LINE_COUNTERZ(8);
+N_130 <= D_SET_COLUMN_COUNTERZ;
+N_131 <= D_SET_LINE_COUNTERZ;
+N_132 <= D_HSYNC_COUNTERZ(0);
+N_133 <= D_HSYNC_COUNTERZ(1);
+N_134 <= D_HSYNC_COUNTERZ(2);
+N_135 <= D_HSYNC_COUNTERZ(3);
+N_136 <= D_HSYNC_COUNTERZ(4);
+N_137 <= D_HSYNC_COUNTERZ(5);
+N_138 <= D_HSYNC_COUNTERZ(6);
+N_139 <= D_HSYNC_COUNTERZ(7);
+N_140 <= D_HSYNC_COUNTERZ(8);
+N_141 <= D_HSYNC_COUNTERZ(9);
+N_142 <= D_VSYNC_COUNTERZ(0);
+N_143 <= D_VSYNC_COUNTERZ(1);
+N_144 <= D_VSYNC_COUNTERZ(2);
+N_145 <= D_VSYNC_COUNTERZ(3);
+N_146 <= D_VSYNC_COUNTERZ(4);
+N_147 <= D_VSYNC_COUNTERZ(5);
+N_148 <= D_VSYNC_COUNTERZ(6);
+N_149 <= D_VSYNC_COUNTERZ(7);
+N_150 <= D_VSYNC_COUNTERZ(8);
+N_151 <= D_VSYNC_COUNTERZ(9);
+N_152 <= D_SET_HSYNC_COUNTERZ;
+N_153 <= D_SET_VSYNC_COUNTERZ;
+N_154 <= D_H_ENABLEZ;
+N_155 <= D_V_ENABLEZ;
+N_156 <= D_RZ;
+N_157 <= D_GZ;
+N_158 <= D_BZ;
+N_159 <= D_HSYNC_STATEZ(6);
+N_160 <= D_HSYNC_STATEZ(5);
+N_161 <= D_HSYNC_STATEZ(4);
+N_162 <= D_HSYNC_STATEZ(3);
+N_163 <= D_HSYNC_STATEZ(2);
+N_164 <= D_HSYNC_STATEZ(1);
+N_165 <= D_HSYNC_STATEZ(0);
+N_166 <= D_VSYNC_STATEZ(6);
+N_167 <= D_VSYNC_STATEZ(5);
+N_168 <= D_VSYNC_STATEZ(4);
+N_169 <= D_VSYNC_STATEZ(3);
+N_170 <= D_VSYNC_STATEZ(2);
+N_171 <= D_VSYNC_STATEZ(1);
+N_172 <= D_VSYNC_STATEZ(0);
+N_173 <= D_STATE_CLKZ;
+N_174 <= D_TOGGLEZ;
+N_175 <= D_TOGGLE_COUNTERZ(0);
+N_176 <= D_TOGGLE_COUNTERZ(1);
+N_177 <= D_TOGGLE_COUNTERZ(2);
+N_178 <= D_TOGGLE_COUNTERZ(3);
+N_179 <= D_TOGGLE_COUNTERZ(4);
+N_180 <= D_TOGGLE_COUNTERZ(5);
+N_181 <= D_TOGGLE_COUNTERZ(6);
+N_182 <= D_TOGGLE_COUNTERZ(7);
+N_183 <= D_TOGGLE_COUNTERZ(8);
+N_184 <= D_TOGGLE_COUNTERZ(9);
+N_185 <= D_TOGGLE_COUNTERZ(10);
+N_186 <= D_TOGGLE_COUNTERZ(11);
+N_187 <= D_TOGGLE_COUNTERZ(12);
+N_188 <= D_TOGGLE_COUNTERZ(13);
+N_189 <= D_TOGGLE_COUNTERZ(14);
+N_190 <= D_TOGGLE_COUNTERZ(15);
+N_191 <= D_TOGGLE_COUNTERZ(16);
+N_192 <= D_TOGGLE_COUNTERZ(17);
+N_193 <= D_TOGGLE_COUNTERZ(18);
+N_194 <= D_TOGGLE_COUNTERZ(19);
+N_195 <= D_TOGGLE_COUNTERZ(20);
+N_196 <= D_TOGGLE_COUNTERZ(21);
+N_197 <= D_TOGGLE_COUNTERZ(22);
+N_198 <= D_TOGGLE_COUNTERZ(23);
+N_199 <= D_TOGGLE_COUNTERZ(24);
+r0_pin <= N_85_0;
+r1_pin <= N_86_0;
+r2_pin <= N_87_0;
+g0_pin <= N_88_0;
+g1_pin <= N_89_0;
+g2_pin <= N_90_0;
+b0_pin <= N_91_0;
+b1_pin <= N_92_0;
+hsync_pin <= N_93_0;
+vsync_pin <= N_94_0;
+seven_seg_pin(0) <= N_95_0;
+seven_seg_pin(1) <= N_96_0;
+seven_seg_pin(2) <= N_97_0;
+seven_seg_pin(3) <= N_98_0;
+seven_seg_pin(4) <= N_99_0;
+seven_seg_pin(5) <= N_100_0;
+seven_seg_pin(6) <= N_101_0;
+seven_seg_pin(7) <= N_102_0;
+seven_seg_pin(8) <= N_103_0;
+seven_seg_pin(9) <= N_104_0;
+seven_seg_pin(10) <= N_105_0;
+seven_seg_pin(11) <= N_106_0;
+seven_seg_pin(12) <= N_107_0;
+seven_seg_pin(13) <= N_108_0;
+d_hsync <= N_109_0;
+d_vsync <= N_110_0;
+d_column_counter(0) <= N_111_0;
+d_column_counter(1) <= N_112_0;
+d_column_counter(2) <= N_113_0;
+d_column_counter(3) <= N_114_0;
+d_column_counter(4) <= N_115_0;
+d_column_counter(5) <= N_116_0;
+d_column_counter(6) <= N_117_0;
+d_column_counter(7) <= N_118;
+d_column_counter(8) <= N_119;
+d_column_counter(9) <= N_120;
+d_line_counter(0) <= N_121;
+d_line_counter(1) <= N_122;
+d_line_counter(2) <= N_123;
+d_line_counter(3) <= N_124;
+d_line_counter(4) <= N_125;
+d_line_counter(5) <= N_126;
+d_line_counter(6) <= N_127;
+d_line_counter(7) <= N_128;
+d_line_counter(8) <= N_129;
+d_set_column_counter <= N_130;
+d_set_line_counter <= N_131;
+d_hsync_counter(0) <= N_132;
+d_hsync_counter(1) <= N_133;
+d_hsync_counter(2) <= N_134;
+d_hsync_counter(3) <= N_135;
+d_hsync_counter(4) <= N_136;
+d_hsync_counter(5) <= N_137;
+d_hsync_counter(6) <= N_138;
+d_hsync_counter(7) <= N_139;
+d_hsync_counter(8) <= N_140;
+d_hsync_counter(9) <= N_141;
+d_vsync_counter(0) <= N_142;
+d_vsync_counter(1) <= N_143;
+d_vsync_counter(2) <= N_144;
+d_vsync_counter(3) <= N_145;
+d_vsync_counter(4) <= N_146;
+d_vsync_counter(5) <= N_147;
+d_vsync_counter(6) <= N_148;
+d_vsync_counter(7) <= N_149;
+d_vsync_counter(8) <= N_150;
+d_vsync_counter(9) <= N_151;
+d_set_hsync_counter <= N_152;
+d_set_vsync_counter <= N_153;
+d_h_enable <= N_154;
+d_v_enable <= N_155;
+d_r <= N_156;
+d_g <= N_157;
+d_b <= N_158;
+d_hsync_state(6) <= N_159;
+d_hsync_state(5) <= N_160;
+d_hsync_state(4) <= N_161;
+d_hsync_state(3) <= N_162;
+d_hsync_state(2) <= N_163;
+d_hsync_state(1) <= N_164;
+d_hsync_state(0) <= N_165;
+d_vsync_state(6) <= N_166;
+d_vsync_state(5) <= N_167;
+d_vsync_state(4) <= N_168;
+d_vsync_state(3) <= N_169;
+d_vsync_state(2) <= N_170;
+d_vsync_state(1) <= N_171;
+d_vsync_state(0) <= N_172;
+d_state_clk <= N_173;
+d_toggle <= N_174;
+d_toggle_counter(0) <= N_175;
+d_toggle_counter(1) <= N_176;
+d_toggle_counter(2) <= N_177;
+d_toggle_counter(3) <= N_178;
+d_toggle_counter(4) <= N_179;
+d_toggle_counter(5) <= N_180;
+d_toggle_counter(6) <= N_181;
+d_toggle_counter(7) <= N_182;
+d_toggle_counter(8) <= N_183;
+d_toggle_counter(9) <= N_184;
+d_toggle_counter(10) <= N_185;
+d_toggle_counter(11) <= N_186;
+d_toggle_counter(12) <= N_187;
+d_toggle_counter(13) <= N_188;
+d_toggle_counter(14) <= N_189;
+d_toggle_counter(15) <= N_190;
+d_toggle_counter(16) <= N_191;
+d_toggle_counter(17) <= N_192;
+d_toggle_counter(18) <= N_193;
+d_toggle_counter(19) <= N_194;
+d_toggle_counter(20) <= N_195;
+d_toggle_counter(21) <= N_196;
+d_toggle_counter(22) <= N_197;
+d_toggle_counter(23) <= N_198;
+d_toggle_counter(24) <= N_199;
+CLK_PIN_INTERNAL <= clk_pin;
+RESET_PIN_INTERNAL <= reset_pin;
+end beh;
+
diff --git a/bsp4/Designflow/syn/rev_1/vga.vqm b/bsp4/Designflow/syn/rev_1/vga.vqm
new file mode 100644 (file)
index 0000000..5ffb418
--- /dev/null
@@ -0,0 +1,6253 @@
+//
+// Written by Synplify
+// Product Version "C-2009.06"
+// Program "Synplify Pro", Mapper "map450rc, Build 029R"
+// Tue Nov  3 17:21:45 2009
+//
+// Source file index table:
+// Object locations will have the form <file>:<line>
+// file 0 "noname"
+// file 1 "\/opt/synplify/fpga_c200906/lib/vhd/std.vhd "
+// file 2 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd "
+// file 3 "\/opt/synplify/fpga_c200906/lib/vhd/std1164.vhd "
+// file 4 "\/opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd "
+// file 5 "\/opt/synplify/fpga_c200906/lib/vhd/arith.vhd "
+// file 6 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd "
+// file 7 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd "
+// file 8 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd "
+// file 9 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd "
+// file 10 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd "
+// file 11 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd "
+// file 12 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd "
+// file 13 "\/homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd "
+
+// VQM4.1+ 
+module vga_driver (
+  line_counter_sig_0,
+  line_counter_sig_1,
+  line_counter_sig_2,
+  line_counter_sig_3,
+  line_counter_sig_4,
+  line_counter_sig_5,
+  line_counter_sig_6,
+  line_counter_sig_7,
+  line_counter_sig_8,
+  dly_counter_1,
+  dly_counter_0,
+  vsync_state_2,
+  vsync_state_5,
+  vsync_state_3,
+  vsync_state_6,
+  vsync_state_4,
+  vsync_state_1,
+  vsync_state_0,
+  hsync_state_2,
+  hsync_state_4,
+  hsync_state_0,
+  hsync_state_5,
+  hsync_state_1,
+  hsync_state_3,
+  hsync_state_6,
+  column_counter_sig_0,
+  column_counter_sig_1,
+  column_counter_sig_2,
+  column_counter_sig_3,
+  column_counter_sig_4,
+  column_counter_sig_5,
+  column_counter_sig_6,
+  column_counter_sig_7,
+  column_counter_sig_8,
+  column_counter_sig_9,
+  vsync_counter_9,
+  vsync_counter_8,
+  vsync_counter_7,
+  vsync_counter_6,
+  vsync_counter_5,
+  vsync_counter_4,
+  vsync_counter_3,
+  vsync_counter_2,
+  vsync_counter_1,
+  vsync_counter_0,
+  hsync_counter_9,
+  hsync_counter_8,
+  hsync_counter_7,
+  hsync_counter_6,
+  hsync_counter_5,
+  hsync_counter_4,
+  hsync_counter_3,
+  hsync_counter_2,
+  hsync_counter_1,
+  hsync_counter_0,
+  d_set_vsync_counter,
+  un10_column_counter_siglt6_1,
+  v_sync,
+  h_sync,
+  h_enable_sig,
+  v_enable_sig,
+  reset_pin_c,
+  un6_dly_counter_0_x,
+  d_set_hsync_counter,
+  clk_pin_c
+)
+;
+output line_counter_sig_0 ;
+output line_counter_sig_1 ;
+output line_counter_sig_2 ;
+output line_counter_sig_3 ;
+output line_counter_sig_4 ;
+output line_counter_sig_5 ;
+output line_counter_sig_6 ;
+output line_counter_sig_7 ;
+output line_counter_sig_8 ;
+input dly_counter_1 ;
+input dly_counter_0 ;
+output vsync_state_2 ;
+output vsync_state_5 ;
+output vsync_state_3 ;
+output vsync_state_6 ;
+output vsync_state_4 ;
+output vsync_state_1 ;
+output vsync_state_0 ;
+output hsync_state_2 ;
+output hsync_state_4 ;
+output hsync_state_0 ;
+output hsync_state_5 ;
+output hsync_state_1 ;
+output hsync_state_3 ;
+output hsync_state_6 ;
+output column_counter_sig_0 ;
+output column_counter_sig_1 ;
+output column_counter_sig_2 ;
+output column_counter_sig_3 ;
+output column_counter_sig_4 ;
+output column_counter_sig_5 ;
+output column_counter_sig_6 ;
+output column_counter_sig_7 ;
+output column_counter_sig_8 ;
+output column_counter_sig_9 ;
+output vsync_counter_9 ;
+output vsync_counter_8 ;
+output vsync_counter_7 ;
+output vsync_counter_6 ;
+output vsync_counter_5 ;
+output vsync_counter_4 ;
+output vsync_counter_3 ;
+output vsync_counter_2 ;
+output vsync_counter_1 ;
+output vsync_counter_0 ;
+output hsync_counter_9 ;
+output hsync_counter_8 ;
+output hsync_counter_7 ;
+output hsync_counter_6 ;
+output hsync_counter_5 ;
+output hsync_counter_4 ;
+output hsync_counter_3 ;
+output hsync_counter_2 ;
+output hsync_counter_1 ;
+output hsync_counter_0 ;
+output d_set_vsync_counter ;
+output un10_column_counter_siglt6_1 ;
+output v_sync ;
+output h_sync ;
+output h_enable_sig ;
+output v_enable_sig ;
+input reset_pin_c ;
+output un6_dly_counter_0_x ;
+output d_set_hsync_counter ;
+input clk_pin_c ;
+wire line_counter_sig_0 ;
+wire line_counter_sig_1 ;
+wire line_counter_sig_2 ;
+wire line_counter_sig_3 ;
+wire line_counter_sig_4 ;
+wire line_counter_sig_5 ;
+wire line_counter_sig_6 ;
+wire line_counter_sig_7 ;
+wire line_counter_sig_8 ;
+wire dly_counter_1 ;
+wire dly_counter_0 ;
+wire vsync_state_2 ;
+wire vsync_state_5 ;
+wire vsync_state_3 ;
+wire vsync_state_6 ;
+wire vsync_state_4 ;
+wire vsync_state_1 ;
+wire vsync_state_0 ;
+wire hsync_state_2 ;
+wire hsync_state_4 ;
+wire hsync_state_0 ;
+wire hsync_state_5 ;
+wire hsync_state_1 ;
+wire hsync_state_3 ;
+wire hsync_state_6 ;
+wire column_counter_sig_0 ;
+wire column_counter_sig_1 ;
+wire column_counter_sig_2 ;
+wire column_counter_sig_3 ;
+wire column_counter_sig_4 ;
+wire column_counter_sig_5 ;
+wire column_counter_sig_6 ;
+wire column_counter_sig_7 ;
+wire column_counter_sig_8 ;
+wire column_counter_sig_9 ;
+wire vsync_counter_9 ;
+wire vsync_counter_8 ;
+wire vsync_counter_7 ;
+wire vsync_counter_6 ;
+wire vsync_counter_5 ;
+wire vsync_counter_4 ;
+wire vsync_counter_3 ;
+wire vsync_counter_2 ;
+wire vsync_counter_1 ;
+wire vsync_counter_0 ;
+wire hsync_counter_9 ;
+wire hsync_counter_8 ;
+wire hsync_counter_7 ;
+wire hsync_counter_6 ;
+wire hsync_counter_5 ;
+wire hsync_counter_4 ;
+wire hsync_counter_3 ;
+wire hsync_counter_2 ;
+wire hsync_counter_1 ;
+wire hsync_counter_0 ;
+wire d_set_vsync_counter ;
+wire un10_column_counter_siglt6_1 ;
+wire v_sync ;
+wire h_sync ;
+wire h_enable_sig ;
+wire v_enable_sig ;
+wire reset_pin_c ;
+wire un6_dly_counter_0_x ;
+wire d_set_hsync_counter ;
+wire clk_pin_c ;
+wire [8:0] hsync_counter_cout;
+wire [8:0] vsync_counter_cout;
+wire [9:1] un2_column_counter_next_combout;
+wire [9:1] un1_line_counter_sig_combout;
+wire [7:1] un1_line_counter_sig_cout;
+wire [1:1] un1_line_counter_sig_a_cout;
+wire [7:0] un2_column_counter_next_cout;
+wire hsync_counter_next_1_sqmuxa ;
+wire G_2_i ;
+wire un9_hsync_counterlt9 ;
+wire vsync_counter_next_1_sqmuxa ;
+wire G_16_i ;
+wire un9_vsync_counterlt9 ;
+wire un10_column_counter_siglto9 ;
+wire column_counter_next_0_sqmuxa_1_1 ;
+wire vsync_state_3_iv_0_0__g0_0_a3_0 ;
+wire vsync_state_next_2_sqmuxa ;
+wire un12_vsync_counter_7 ;
+wire un13_vsync_counter_4 ;
+wire un10_line_counter_siglto8 ;
+wire line_counter_next_0_sqmuxa_1_1 ;
+wire v_enable_sig_1_0_0_0_g0_i_o4 ;
+wire h_enable_sig_1_0_0_0_g0_i_o4 ;
+wire h_sync_1_0_0_0_g1 ;
+wire v_sync_1_0_0_0_g1 ;
+wire un14_vsync_counter_8 ;
+wire hsync_state_3_0_0_0__g0_0 ;
+wire un10_hsync_counter_3 ;
+wire un10_hsync_counter_1 ;
+wire un10_hsync_counter_4 ;
+wire un12_hsync_counter ;
+wire un11_hsync_counter_2 ;
+wire un11_hsync_counter_3 ;
+wire un13_hsync_counter ;
+wire vsync_state_next_1_sqmuxa_1 ;
+wire vsync_state_next_1_sqmuxa_3 ;
+wire un1_vsync_state_next_1_sqmuxa_0 ;
+wire hsync_state_next_1_sqmuxa_1 ;
+wire hsync_state_next_1_sqmuxa_2 ;
+wire un1_hsync_state_next_1_sqmuxa_0 ;
+wire un12_vsync_counter_6 ;
+wire un15_vsync_counter_4 ;
+wire vsync_state_next_1_sqmuxa_2 ;
+wire un10_line_counter_siglto5 ;
+wire un10_column_counter_siglt6 ;
+wire un12_hsync_counter_3 ;
+wire un12_hsync_counter_4 ;
+wire un13_hsync_counter_2 ;
+wire un13_hsync_counter_7 ;
+wire un9_hsync_counterlt9_3 ;
+wire un9_vsync_counterlt9_5 ;
+wire un9_vsync_counterlt9_6 ;
+wire un10_line_counter_siglt4_2 ;
+wire un13_vsync_counter_3 ;
+wire un15_vsync_counter_3 ;
+wire un10_column_counter_siglt6_2 ;
+wire un1_hsync_state_3_0 ;
+wire un1_vsync_state_2_0 ;
+wire VCC ;
+wire GND ;
+wire line_counter_next_0_sqmuxa_1_1_i ;
+wire column_counter_next_0_sqmuxa_1_1_i ;
+wire un9_vsync_counterlt9_i ;
+wire G_16_i_i ;
+wire un9_hsync_counterlt9_i ;
+wire G_2_i_i ;
+//@1:1
+  assign VCC = 1'b1;
+  assign GND = 1'b0;
+// @13:158
+  stratix_lcell hsync_counter_0_ (
+       .regout(hsync_counter_0),
+       .cout(hsync_counter_cout[0]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_0),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_0_.operation_mode="arithmetic";
+defparam hsync_counter_0_.output_mode="reg_only";
+defparam hsync_counter_0_.lut_mask="55aa";
+defparam hsync_counter_0_.synch_mode="on";
+defparam hsync_counter_0_.sum_lutc_input="datac";
+// @13:158
+  stratix_lcell hsync_counter_1_ (
+       .regout(hsync_counter_1),
+       .cout(hsync_counter_cout[1]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_1),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[0]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_1_.cin_used="true";
+defparam hsync_counter_1_.operation_mode="arithmetic";
+defparam hsync_counter_1_.output_mode="reg_only";
+defparam hsync_counter_1_.lut_mask="5aa0";
+defparam hsync_counter_1_.synch_mode="on";
+defparam hsync_counter_1_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_2_ (
+       .regout(hsync_counter_2),
+       .cout(hsync_counter_cout[2]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_2),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_2_.cin_used="true";
+defparam hsync_counter_2_.operation_mode="arithmetic";
+defparam hsync_counter_2_.output_mode="reg_only";
+defparam hsync_counter_2_.lut_mask="5aa0";
+defparam hsync_counter_2_.synch_mode="on";
+defparam hsync_counter_2_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_3_ (
+       .regout(hsync_counter_3),
+       .cout(hsync_counter_cout[3]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_3),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[2]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_3_.cin_used="true";
+defparam hsync_counter_3_.operation_mode="arithmetic";
+defparam hsync_counter_3_.output_mode="reg_only";
+defparam hsync_counter_3_.lut_mask="5aa0";
+defparam hsync_counter_3_.synch_mode="on";
+defparam hsync_counter_3_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_4_ (
+       .regout(hsync_counter_4),
+       .cout(hsync_counter_cout[4]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_4),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[3]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_4_.cin_used="true";
+defparam hsync_counter_4_.operation_mode="arithmetic";
+defparam hsync_counter_4_.output_mode="reg_only";
+defparam hsync_counter_4_.lut_mask="5aa0";
+defparam hsync_counter_4_.synch_mode="on";
+defparam hsync_counter_4_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_5_ (
+       .regout(hsync_counter_5),
+       .cout(hsync_counter_cout[5]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_5),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[4]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_5_.cin_used="true";
+defparam hsync_counter_5_.operation_mode="arithmetic";
+defparam hsync_counter_5_.output_mode="reg_only";
+defparam hsync_counter_5_.lut_mask="5aa0";
+defparam hsync_counter_5_.synch_mode="on";
+defparam hsync_counter_5_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_6_ (
+       .regout(hsync_counter_6),
+       .cout(hsync_counter_cout[6]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_6),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[5]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_6_.cin_used="true";
+defparam hsync_counter_6_.operation_mode="arithmetic";
+defparam hsync_counter_6_.output_mode="reg_only";
+defparam hsync_counter_6_.lut_mask="5aa0";
+defparam hsync_counter_6_.synch_mode="on";
+defparam hsync_counter_6_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_7_ (
+       .regout(hsync_counter_7),
+       .cout(hsync_counter_cout[7]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_7),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[6]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_7_.cin_used="true";
+defparam hsync_counter_7_.operation_mode="arithmetic";
+defparam hsync_counter_7_.output_mode="reg_only";
+defparam hsync_counter_7_.lut_mask="5aa0";
+defparam hsync_counter_7_.synch_mode="on";
+defparam hsync_counter_7_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_8_ (
+       .regout(hsync_counter_8),
+       .cout(hsync_counter_cout[8]),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_8),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[7]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_8_.cin_used="true";
+defparam hsync_counter_8_.operation_mode="arithmetic";
+defparam hsync_counter_8_.output_mode="reg_only";
+defparam hsync_counter_8_.lut_mask="5aa0";
+defparam hsync_counter_8_.synch_mode="on";
+defparam hsync_counter_8_.sum_lutc_input="cin";
+// @13:158
+  stratix_lcell hsync_counter_9_ (
+       .regout(hsync_counter_9),
+       .clk(clk_pin_c),
+       .dataa(hsync_counter_9),
+       .datab(VCC),
+       .datac(hsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_2_i_i),
+       .sload(un9_hsync_counterlt9_i),
+       .ena(VCC),
+       .cin(hsync_counter_cout[8]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_9_.cin_used="true";
+defparam hsync_counter_9_.operation_mode="normal";
+defparam hsync_counter_9_.output_mode="reg_only";
+defparam hsync_counter_9_.lut_mask="5a5a";
+defparam hsync_counter_9_.synch_mode="on";
+defparam hsync_counter_9_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_0_ (
+       .regout(vsync_counter_0),
+       .cout(vsync_counter_cout[0]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_0),
+       .datab(d_set_hsync_counter),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_0_.operation_mode="arithmetic";
+defparam vsync_counter_0_.output_mode="reg_only";
+defparam vsync_counter_0_.lut_mask="6688";
+defparam vsync_counter_0_.synch_mode="on";
+defparam vsync_counter_0_.sum_lutc_input="datac";
+// @13:267
+  stratix_lcell vsync_counter_1_ (
+       .regout(vsync_counter_1),
+       .cout(vsync_counter_cout[1]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_1),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[0]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_1_.cin_used="true";
+defparam vsync_counter_1_.operation_mode="arithmetic";
+defparam vsync_counter_1_.output_mode="reg_only";
+defparam vsync_counter_1_.lut_mask="5aa0";
+defparam vsync_counter_1_.synch_mode="on";
+defparam vsync_counter_1_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_2_ (
+       .regout(vsync_counter_2),
+       .cout(vsync_counter_cout[2]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_2),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_2_.cin_used="true";
+defparam vsync_counter_2_.operation_mode="arithmetic";
+defparam vsync_counter_2_.output_mode="reg_only";
+defparam vsync_counter_2_.lut_mask="5aa0";
+defparam vsync_counter_2_.synch_mode="on";
+defparam vsync_counter_2_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_3_ (
+       .regout(vsync_counter_3),
+       .cout(vsync_counter_cout[3]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_3),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[2]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_3_.cin_used="true";
+defparam vsync_counter_3_.operation_mode="arithmetic";
+defparam vsync_counter_3_.output_mode="reg_only";
+defparam vsync_counter_3_.lut_mask="5aa0";
+defparam vsync_counter_3_.synch_mode="on";
+defparam vsync_counter_3_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_4_ (
+       .regout(vsync_counter_4),
+       .cout(vsync_counter_cout[4]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_4),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[3]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_4_.cin_used="true";
+defparam vsync_counter_4_.operation_mode="arithmetic";
+defparam vsync_counter_4_.output_mode="reg_only";
+defparam vsync_counter_4_.lut_mask="5aa0";
+defparam vsync_counter_4_.synch_mode="on";
+defparam vsync_counter_4_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_5_ (
+       .regout(vsync_counter_5),
+       .cout(vsync_counter_cout[5]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_5),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[4]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_5_.cin_used="true";
+defparam vsync_counter_5_.operation_mode="arithmetic";
+defparam vsync_counter_5_.output_mode="reg_only";
+defparam vsync_counter_5_.lut_mask="5aa0";
+defparam vsync_counter_5_.synch_mode="on";
+defparam vsync_counter_5_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_6_ (
+       .regout(vsync_counter_6),
+       .cout(vsync_counter_cout[6]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_6),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[5]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_6_.cin_used="true";
+defparam vsync_counter_6_.operation_mode="arithmetic";
+defparam vsync_counter_6_.output_mode="reg_only";
+defparam vsync_counter_6_.lut_mask="5aa0";
+defparam vsync_counter_6_.synch_mode="on";
+defparam vsync_counter_6_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_7_ (
+       .regout(vsync_counter_7),
+       .cout(vsync_counter_cout[7]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_7),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[6]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_7_.cin_used="true";
+defparam vsync_counter_7_.operation_mode="arithmetic";
+defparam vsync_counter_7_.output_mode="reg_only";
+defparam vsync_counter_7_.lut_mask="5aa0";
+defparam vsync_counter_7_.synch_mode="on";
+defparam vsync_counter_7_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_8_ (
+       .regout(vsync_counter_8),
+       .cout(vsync_counter_cout[8]),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_8),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[7]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_8_.cin_used="true";
+defparam vsync_counter_8_.operation_mode="arithmetic";
+defparam vsync_counter_8_.output_mode="reg_only";
+defparam vsync_counter_8_.lut_mask="5aa0";
+defparam vsync_counter_8_.synch_mode="on";
+defparam vsync_counter_8_.sum_lutc_input="cin";
+// @13:267
+  stratix_lcell vsync_counter_9_ (
+       .regout(vsync_counter_9),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_9),
+       .datab(VCC),
+       .datac(vsync_counter_next_1_sqmuxa),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(G_16_i_i),
+       .sload(un9_vsync_counterlt9_i),
+       .ena(VCC),
+       .cin(vsync_counter_cout[8]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_9_.cin_used="true";
+defparam vsync_counter_9_.operation_mode="normal";
+defparam vsync_counter_9_.output_mode="reg_only";
+defparam vsync_counter_9_.lut_mask="5a5a";
+defparam vsync_counter_9_.synch_mode="on";
+defparam vsync_counter_9_.sum_lutc_input="cin";
+// @13:97
+  stratix_lcell column_counter_sig_9_ (
+       .regout(column_counter_sig_9),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[9]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_9_.operation_mode="normal";
+defparam column_counter_sig_9_.output_mode="reg_only";
+defparam column_counter_sig_9_.lut_mask="bbbb";
+defparam column_counter_sig_9_.synch_mode="on";
+defparam column_counter_sig_9_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_8_ (
+       .regout(column_counter_sig_8),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[8]),
+       .datab(un10_column_counter_siglto9),
+       .datac(column_counter_next_0_sqmuxa_1_1),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_8_.operation_mode="normal";
+defparam column_counter_sig_8_.output_mode="reg_only";
+defparam column_counter_sig_8_.lut_mask="8080";
+defparam column_counter_sig_8_.synch_mode="off";
+defparam column_counter_sig_8_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_7_ (
+       .regout(column_counter_sig_7),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[7]),
+       .datab(un10_column_counter_siglto9),
+       .datac(column_counter_next_0_sqmuxa_1_1),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_7_.operation_mode="normal";
+defparam column_counter_sig_7_.output_mode="reg_only";
+defparam column_counter_sig_7_.lut_mask="8080";
+defparam column_counter_sig_7_.synch_mode="off";
+defparam column_counter_sig_7_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_6_ (
+       .regout(column_counter_sig_6),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[6]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_6_.operation_mode="normal";
+defparam column_counter_sig_6_.output_mode="reg_only";
+defparam column_counter_sig_6_.lut_mask="bbbb";
+defparam column_counter_sig_6_.synch_mode="on";
+defparam column_counter_sig_6_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_5_ (
+       .regout(column_counter_sig_5),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[5]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_5_.operation_mode="normal";
+defparam column_counter_sig_5_.output_mode="reg_only";
+defparam column_counter_sig_5_.lut_mask="bbbb";
+defparam column_counter_sig_5_.synch_mode="on";
+defparam column_counter_sig_5_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_4_ (
+       .regout(column_counter_sig_4),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[4]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_4_.operation_mode="normal";
+defparam column_counter_sig_4_.output_mode="reg_only";
+defparam column_counter_sig_4_.lut_mask="bbbb";
+defparam column_counter_sig_4_.synch_mode="on";
+defparam column_counter_sig_4_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_3_ (
+       .regout(column_counter_sig_3),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[3]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_3_.operation_mode="normal";
+defparam column_counter_sig_3_.output_mode="reg_only";
+defparam column_counter_sig_3_.lut_mask="bbbb";
+defparam column_counter_sig_3_.synch_mode="on";
+defparam column_counter_sig_3_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_2_ (
+       .regout(column_counter_sig_2),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[2]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_2_.operation_mode="normal";
+defparam column_counter_sig_2_.output_mode="reg_only";
+defparam column_counter_sig_2_.lut_mask="bbbb";
+defparam column_counter_sig_2_.synch_mode="on";
+defparam column_counter_sig_2_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_1_ (
+       .regout(column_counter_sig_1),
+       .clk(clk_pin_c),
+       .dataa(un2_column_counter_next_combout[1]),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_1_.operation_mode="normal";
+defparam column_counter_sig_1_.output_mode="reg_only";
+defparam column_counter_sig_1_.lut_mask="bbbb";
+defparam column_counter_sig_1_.synch_mode="on";
+defparam column_counter_sig_1_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell column_counter_sig_0_ (
+       .regout(column_counter_sig_0),
+       .clk(clk_pin_c),
+       .dataa(column_counter_sig_0),
+       .datab(un10_column_counter_siglto9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(column_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_sig_0_.operation_mode="normal";
+defparam column_counter_sig_0_.output_mode="reg_only";
+defparam column_counter_sig_0_.lut_mask="7777";
+defparam column_counter_sig_0_.synch_mode="on";
+defparam column_counter_sig_0_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_6_ (
+       .regout(hsync_state_6),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(un6_dly_counter_0_x),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_6_.operation_mode="normal";
+defparam hsync_state_6_.output_mode="reg_only";
+defparam hsync_state_6_.lut_mask="ff00";
+defparam hsync_state_6_.synch_mode="off";
+defparam hsync_state_6_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_0_ (
+       .regout(vsync_state_0),
+       .clk(clk_pin_c),
+       .dataa(vsync_state_0),
+       .datab(vsync_state_3_iv_0_0__g0_0_a3_0),
+       .datac(un6_dly_counter_0_x),
+       .datad(vsync_state_next_2_sqmuxa),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_0_.operation_mode="normal";
+defparam vsync_state_0_.output_mode="reg_only";
+defparam vsync_state_0_.lut_mask="0cae";
+defparam vsync_state_0_.synch_mode="off";
+defparam vsync_state_0_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_1_ (
+       .regout(vsync_state_1),
+       .clk(clk_pin_c),
+       .dataa(vsync_state_4),
+       .datab(un12_vsync_counter_7),
+       .datac(un13_vsync_counter_4),
+       .datad(un6_dly_counter_0_x),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_1_.operation_mode="normal";
+defparam vsync_state_1_.output_mode="reg_only";
+defparam vsync_state_1_.lut_mask="0080";
+defparam vsync_state_1_.synch_mode="off";
+defparam vsync_state_1_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_6_ (
+       .combout(un6_dly_counter_0_x),
+       .regout(vsync_state_6),
+       .clk(clk_pin_c),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_6_.operation_mode="normal";
+defparam vsync_state_6_.output_mode="reg_and_comb";
+defparam vsync_state_6_.lut_mask="7f7f";
+defparam vsync_state_6_.synch_mode="off";
+defparam vsync_state_6_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_8_ (
+       .regout(line_counter_sig_8),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[9]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_8_.operation_mode="normal";
+defparam line_counter_sig_8_.output_mode="reg_only";
+defparam line_counter_sig_8_.lut_mask="dddd";
+defparam line_counter_sig_8_.synch_mode="on";
+defparam line_counter_sig_8_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_7_ (
+       .regout(line_counter_sig_7),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[8]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_7_.operation_mode="normal";
+defparam line_counter_sig_7_.output_mode="reg_only";
+defparam line_counter_sig_7_.lut_mask="dddd";
+defparam line_counter_sig_7_.synch_mode="on";
+defparam line_counter_sig_7_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_6_ (
+       .regout(line_counter_sig_6),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[7]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_6_.operation_mode="normal";
+defparam line_counter_sig_6_.output_mode="reg_only";
+defparam line_counter_sig_6_.lut_mask="dddd";
+defparam line_counter_sig_6_.synch_mode="on";
+defparam line_counter_sig_6_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_5_ (
+       .regout(line_counter_sig_5),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[6]),
+       .datac(line_counter_next_0_sqmuxa_1_1),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_5_.operation_mode="normal";
+defparam line_counter_sig_5_.output_mode="reg_only";
+defparam line_counter_sig_5_.lut_mask="8080";
+defparam line_counter_sig_5_.synch_mode="off";
+defparam line_counter_sig_5_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_4_ (
+       .regout(line_counter_sig_4),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[5]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_4_.operation_mode="normal";
+defparam line_counter_sig_4_.output_mode="reg_only";
+defparam line_counter_sig_4_.lut_mask="dddd";
+defparam line_counter_sig_4_.synch_mode="on";
+defparam line_counter_sig_4_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_3_ (
+       .regout(line_counter_sig_3),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[4]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_3_.operation_mode="normal";
+defparam line_counter_sig_3_.output_mode="reg_only";
+defparam line_counter_sig_3_.lut_mask="dddd";
+defparam line_counter_sig_3_.synch_mode="on";
+defparam line_counter_sig_3_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_2_ (
+       .regout(line_counter_sig_2),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[3]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_2_.operation_mode="normal";
+defparam line_counter_sig_2_.output_mode="reg_only";
+defparam line_counter_sig_2_.lut_mask="dddd";
+defparam line_counter_sig_2_.synch_mode="on";
+defparam line_counter_sig_2_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_1_ (
+       .regout(line_counter_sig_1),
+       .clk(clk_pin_c),
+       .dataa(un10_line_counter_siglto8),
+       .datab(un1_line_counter_sig_combout[2]),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_1_.operation_mode="normal";
+defparam line_counter_sig_1_.output_mode="reg_only";
+defparam line_counter_sig_1_.lut_mask="dddd";
+defparam line_counter_sig_1_.synch_mode="on";
+defparam line_counter_sig_1_.sum_lutc_input="datac";
+// @13:125
+  stratix_lcell line_counter_sig_0_ (
+       .regout(line_counter_sig_0),
+       .clk(clk_pin_c),
+       .dataa(un1_line_counter_sig_combout[1]),
+       .datab(un10_line_counter_siglto8),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(line_counter_next_0_sqmuxa_1_1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_sig_0_.operation_mode="normal";
+defparam line_counter_sig_0_.output_mode="reg_only";
+defparam line_counter_sig_0_.lut_mask="bbbb";
+defparam line_counter_sig_0_.synch_mode="on";
+defparam line_counter_sig_0_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell v_enable_sig_Z (
+       .regout(v_enable_sig),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_3),
+       .datab(hsync_state_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(v_enable_sig_1_0_0_0_g0_i_o4),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam v_enable_sig_Z.operation_mode="normal";
+defparam v_enable_sig_Z.output_mode="reg_only";
+defparam v_enable_sig_Z.lut_mask="eeee";
+defparam v_enable_sig_Z.synch_mode="on";
+defparam v_enable_sig_Z.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell h_enable_sig_Z (
+       .regout(h_enable_sig),
+       .clk(clk_pin_c),
+       .dataa(vsync_state_3),
+       .datab(vsync_state_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(h_enable_sig_1_0_0_0_g0_i_o4),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam h_enable_sig_Z.operation_mode="normal";
+defparam h_enable_sig_Z.output_mode="reg_only";
+defparam h_enable_sig_Z.lut_mask="eeee";
+defparam h_enable_sig_Z.synch_mode="on";
+defparam h_enable_sig_Z.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell h_sync_Z (
+       .regout(h_sync),
+       .clk(clk_pin_c),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(h_sync_1_0_0_0_g1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam h_sync_Z.operation_mode="normal";
+defparam h_sync_Z.output_mode="reg_only";
+defparam h_sync_Z.lut_mask="ff7f";
+defparam h_sync_Z.synch_mode="off";
+defparam h_sync_Z.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell v_sync_Z (
+       .regout(v_sync),
+       .clk(clk_pin_c),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(v_sync_1_0_0_0_g1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam v_sync_Z.operation_mode="normal";
+defparam v_sync_Z.output_mode="reg_only";
+defparam v_sync_Z.lut_mask="ff7f";
+defparam v_sync_Z.synch_mode="off";
+defparam v_sync_Z.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_5_ (
+       .regout(vsync_state_5),
+       .clk(clk_pin_c),
+       .dataa(vsync_state_6),
+       .datab(vsync_state_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(vsync_state_next_2_sqmuxa),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_5_.operation_mode="normal";
+defparam vsync_state_5_.output_mode="reg_only";
+defparam vsync_state_5_.lut_mask="eeee";
+defparam vsync_state_5_.synch_mode="on";
+defparam vsync_state_5_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_4_ (
+       .regout(vsync_state_4),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_0),
+       .datab(vsync_counter_9),
+       .datac(vsync_state_5),
+       .datad(un14_vsync_counter_8),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(vsync_state_next_2_sqmuxa),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_4_.operation_mode="normal";
+defparam vsync_state_4_.output_mode="reg_only";
+defparam vsync_state_4_.lut_mask="2000";
+defparam vsync_state_4_.synch_mode="on";
+defparam vsync_state_4_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_3_ (
+       .regout(vsync_state_3),
+       .clk(clk_pin_c),
+       .dataa(vsync_state_1),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(vsync_state_next_2_sqmuxa),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_3_.operation_mode="normal";
+defparam vsync_state_3_.output_mode="reg_only";
+defparam vsync_state_3_.lut_mask="aaaa";
+defparam vsync_state_3_.synch_mode="on";
+defparam vsync_state_3_.sum_lutc_input="datac";
+// @13:300
+  stratix_lcell vsync_state_2_ (
+       .regout(vsync_state_2),
+       .clk(clk_pin_c),
+       .dataa(vsync_counter_0),
+       .datab(vsync_counter_9),
+       .datac(vsync_state_3),
+       .datad(un14_vsync_counter_8),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(vsync_state_next_2_sqmuxa),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_2_.operation_mode="normal";
+defparam vsync_state_2_.output_mode="reg_only";
+defparam vsync_state_2_.lut_mask="8000";
+defparam vsync_state_2_.synch_mode="on";
+defparam vsync_state_2_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_5_ (
+       .regout(hsync_state_5),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_6),
+       .datab(hsync_state_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_5_.operation_mode="normal";
+defparam hsync_state_5_.output_mode="reg_only";
+defparam hsync_state_5_.lut_mask="eeee";
+defparam hsync_state_5_.synch_mode="on";
+defparam hsync_state_5_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_4_ (
+       .regout(hsync_state_4),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_5),
+       .datab(un10_hsync_counter_3),
+       .datac(un10_hsync_counter_1),
+       .datad(un10_hsync_counter_4),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_4_.operation_mode="normal";
+defparam hsync_state_4_.output_mode="reg_only";
+defparam hsync_state_4_.lut_mask="8000";
+defparam hsync_state_4_.synch_mode="on";
+defparam hsync_state_4_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_3_ (
+       .regout(hsync_state_3),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_1),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_3_.operation_mode="normal";
+defparam hsync_state_3_.output_mode="reg_only";
+defparam hsync_state_3_.lut_mask="aaaa";
+defparam hsync_state_3_.synch_mode="on";
+defparam hsync_state_3_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_2_ (
+       .regout(hsync_state_2),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_3),
+       .datab(un12_hsync_counter),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_2_.operation_mode="normal";
+defparam hsync_state_2_.output_mode="reg_only";
+defparam hsync_state_2_.lut_mask="8888";
+defparam hsync_state_2_.synch_mode="on";
+defparam hsync_state_2_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_1_ (
+       .regout(hsync_state_1),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_4),
+       .datab(un11_hsync_counter_2),
+       .datac(un10_hsync_counter_1),
+       .datad(un11_hsync_counter_3),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_1_.operation_mode="normal";
+defparam hsync_state_1_.output_mode="reg_only";
+defparam hsync_state_1_.lut_mask="8000";
+defparam hsync_state_1_.synch_mode="on";
+defparam hsync_state_1_.sum_lutc_input="datac";
+// @13:187
+  stratix_lcell hsync_state_0_ (
+       .regout(hsync_state_0),
+       .clk(clk_pin_c),
+       .dataa(hsync_state_2),
+       .datab(un13_hsync_counter),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(un6_dly_counter_0_x),
+       .sload(GND),
+       .ena(hsync_state_3_0_0_0__g0_0),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_0_.operation_mode="normal";
+defparam hsync_state_0_.output_mode="reg_only";
+defparam hsync_state_0_.lut_mask="8888";
+defparam hsync_state_0_.synch_mode="on";
+defparam hsync_state_0_.sum_lutc_input="datac";
+// @13:97
+  stratix_lcell vsync_state_next_2_sqmuxa_cZ (
+       .combout(vsync_state_next_2_sqmuxa),
+       .clk(GND),
+       .dataa(un6_dly_counter_0_x),
+       .datab(vsync_state_next_1_sqmuxa_1),
+       .datac(vsync_state_next_1_sqmuxa_3),
+       .datad(un1_vsync_state_next_1_sqmuxa_0),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_next_2_sqmuxa_cZ.operation_mode="normal";
+defparam vsync_state_next_2_sqmuxa_cZ.output_mode="comb_only";
+defparam vsync_state_next_2_sqmuxa_cZ.lut_mask="aaab";
+defparam vsync_state_next_2_sqmuxa_cZ.synch_mode="off";
+defparam vsync_state_next_2_sqmuxa_cZ.sum_lutc_input="datac";
+  stratix_lcell hsync_state_3_0_0_0__g0_0_cZ (
+       .combout(hsync_state_3_0_0_0__g0_0),
+       .clk(GND),
+       .dataa(hsync_state_next_1_sqmuxa_1),
+       .datab(hsync_state_next_1_sqmuxa_2),
+       .datac(un6_dly_counter_0_x),
+       .datad(un1_hsync_state_next_1_sqmuxa_0),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_3_0_0_0__g0_0_cZ.operation_mode="normal";
+defparam hsync_state_3_0_0_0__g0_0_cZ.output_mode="comb_only";
+defparam hsync_state_3_0_0_0__g0_0_cZ.lut_mask="f0f1";
+defparam hsync_state_3_0_0_0__g0_0_cZ.synch_mode="off";
+defparam hsync_state_3_0_0_0__g0_0_cZ.sum_lutc_input="datac";
+// @13:206
+  stratix_lcell un1_hsync_state_next_1_sqmuxa_0_cZ (
+       .combout(un1_hsync_state_next_1_sqmuxa_0),
+       .clk(GND),
+       .dataa(hsync_state_2),
+       .datab(hsync_state_3),
+       .datac(un13_hsync_counter),
+       .datad(un12_hsync_counter),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_hsync_state_next_1_sqmuxa_0_cZ.operation_mode="normal";
+defparam un1_hsync_state_next_1_sqmuxa_0_cZ.output_mode="comb_only";
+defparam un1_hsync_state_next_1_sqmuxa_0_cZ.lut_mask="0ace";
+defparam un1_hsync_state_next_1_sqmuxa_0_cZ.synch_mode="off";
+defparam un1_hsync_state_next_1_sqmuxa_0_cZ.sum_lutc_input="datac";
+// @13:319
+  stratix_lcell un1_vsync_state_next_1_sqmuxa_0_cZ (
+       .combout(un1_vsync_state_next_1_sqmuxa_0),
+       .clk(GND),
+       .dataa(vsync_state_2),
+       .datab(un12_vsync_counter_6),
+       .datac(un15_vsync_counter_4),
+       .datad(vsync_state_next_1_sqmuxa_2),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_vsync_state_next_1_sqmuxa_0_cZ.operation_mode="normal";
+defparam un1_vsync_state_next_1_sqmuxa_0_cZ.output_mode="comb_only";
+defparam un1_vsync_state_next_1_sqmuxa_0_cZ.lut_mask="ff2a";
+defparam un1_vsync_state_next_1_sqmuxa_0_cZ.synch_mode="off";
+defparam un1_vsync_state_next_1_sqmuxa_0_cZ.sum_lutc_input="datac";
+  stratix_lcell vsync_state_3_iv_0_0__g0_0_a3_0_cZ (
+       .combout(vsync_state_3_iv_0_0__g0_0_a3_0),
+       .clk(GND),
+       .dataa(vsync_state_2),
+       .datab(un12_vsync_counter_6),
+       .datac(un15_vsync_counter_4),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.operation_mode="normal";
+defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.output_mode="comb_only";
+defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.lut_mask="8080";
+defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.synch_mode="off";
+defparam vsync_state_3_iv_0_0__g0_0_a3_0_cZ.sum_lutc_input="datac";
+// @13:139
+  stratix_lcell LINE_COUNT_next_un10_line_counter_siglto8 (
+       .combout(un10_line_counter_siglto8),
+       .clk(GND),
+       .dataa(line_counter_sig_7),
+       .datab(line_counter_sig_8),
+       .datac(line_counter_sig_6),
+       .datad(un10_line_counter_siglto5),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam LINE_COUNT_next_un10_line_counter_siglto8.operation_mode="normal";
+defparam LINE_COUNT_next_un10_line_counter_siglto8.output_mode="comb_only";
+defparam LINE_COUNT_next_un10_line_counter_siglto8.lut_mask="ff7f";
+defparam LINE_COUNT_next_un10_line_counter_siglto8.synch_mode="off";
+defparam LINE_COUNT_next_un10_line_counter_siglto8.sum_lutc_input="datac";
+// @10:161
+  stratix_lcell G_2 (
+       .combout(G_2_i),
+       .clk(GND),
+       .dataa(hsync_state_0),
+       .datab(hsync_state_6),
+       .datac(un9_hsync_counterlt9),
+       .datad(un6_dly_counter_0_x),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam G_2.operation_mode="normal";
+defparam G_2.output_mode="comb_only";
+defparam G_2.lut_mask="0f1f";
+defparam G_2.synch_mode="off";
+defparam G_2.sum_lutc_input="datac";
+// @13:326
+  stratix_lcell vsync_state_next_1_sqmuxa_1_cZ (
+       .combout(vsync_state_next_1_sqmuxa_1),
+       .clk(GND),
+       .dataa(vsync_counter_0),
+       .datab(vsync_counter_9),
+       .datac(vsync_state_5),
+       .datad(un14_vsync_counter_8),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_next_1_sqmuxa_1_cZ.operation_mode="normal";
+defparam vsync_state_next_1_sqmuxa_1_cZ.output_mode="comb_only";
+defparam vsync_state_next_1_sqmuxa_1_cZ.lut_mask="d0f0";
+defparam vsync_state_next_1_sqmuxa_1_cZ.synch_mode="off";
+defparam vsync_state_next_1_sqmuxa_1_cZ.sum_lutc_input="datac";
+// @13:331
+  stratix_lcell vsync_state_next_1_sqmuxa_2_cZ (
+       .combout(vsync_state_next_1_sqmuxa_2),
+       .clk(GND),
+       .dataa(vsync_state_4),
+       .datab(un12_vsync_counter_7),
+       .datac(un13_vsync_counter_4),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_next_1_sqmuxa_2_cZ.operation_mode="normal";
+defparam vsync_state_next_1_sqmuxa_2_cZ.output_mode="comb_only";
+defparam vsync_state_next_1_sqmuxa_2_cZ.lut_mask="2a2a";
+defparam vsync_state_next_1_sqmuxa_2_cZ.synch_mode="off";
+defparam vsync_state_next_1_sqmuxa_2_cZ.sum_lutc_input="datac";
+// @13:339
+  stratix_lcell vsync_state_next_1_sqmuxa_3_cZ (
+       .combout(vsync_state_next_1_sqmuxa_3),
+       .clk(GND),
+       .dataa(vsync_counter_0),
+       .datab(vsync_counter_9),
+       .datac(vsync_state_3),
+       .datad(un14_vsync_counter_8),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_state_next_1_sqmuxa_3_cZ.operation_mode="normal";
+defparam vsync_state_next_1_sqmuxa_3_cZ.output_mode="comb_only";
+defparam vsync_state_next_1_sqmuxa_3_cZ.lut_mask="70f0";
+defparam vsync_state_next_1_sqmuxa_3_cZ.synch_mode="off";
+defparam vsync_state_next_1_sqmuxa_3_cZ.sum_lutc_input="datac";
+// @10:161
+  stratix_lcell G_16 (
+       .combout(G_16_i),
+       .clk(GND),
+       .dataa(vsync_state_0),
+       .datab(vsync_state_6),
+       .datac(un9_vsync_counterlt9),
+       .datad(un6_dly_counter_0_x),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam G_16.operation_mode="normal";
+defparam G_16.output_mode="comb_only";
+defparam G_16.lut_mask="0f1f";
+defparam G_16.synch_mode="off";
+defparam G_16.sum_lutc_input="datac";
+// @13:218
+  stratix_lcell hsync_state_next_1_sqmuxa_2_cZ (
+       .combout(hsync_state_next_1_sqmuxa_2),
+       .clk(GND),
+       .dataa(hsync_state_4),
+       .datab(un11_hsync_counter_2),
+       .datac(un10_hsync_counter_1),
+       .datad(un11_hsync_counter_3),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_next_1_sqmuxa_2_cZ.operation_mode="normal";
+defparam hsync_state_next_1_sqmuxa_2_cZ.output_mode="comb_only";
+defparam hsync_state_next_1_sqmuxa_2_cZ.lut_mask="2aaa";
+defparam hsync_state_next_1_sqmuxa_2_cZ.synch_mode="off";
+defparam hsync_state_next_1_sqmuxa_2_cZ.sum_lutc_input="datac";
+// @13:213
+  stratix_lcell hsync_state_next_1_sqmuxa_1_cZ (
+       .combout(hsync_state_next_1_sqmuxa_1),
+       .clk(GND),
+       .dataa(hsync_state_5),
+       .datab(un10_hsync_counter_3),
+       .datac(un10_hsync_counter_1),
+       .datad(un10_hsync_counter_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_state_next_1_sqmuxa_1_cZ.operation_mode="normal";
+defparam hsync_state_next_1_sqmuxa_1_cZ.output_mode="comb_only";
+defparam hsync_state_next_1_sqmuxa_1_cZ.lut_mask="2aaa";
+defparam hsync_state_next_1_sqmuxa_1_cZ.synch_mode="off";
+defparam hsync_state_next_1_sqmuxa_1_cZ.sum_lutc_input="datac";
+// @13:111
+  stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglto9 (
+       .combout(un10_column_counter_siglto9),
+       .clk(GND),
+       .dataa(column_counter_sig_7),
+       .datab(column_counter_sig_8),
+       .datac(column_counter_sig_9),
+       .datad(un10_column_counter_siglt6),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam COLUMN_COUNT_next_un10_column_counter_siglto9.operation_mode="normal";
+defparam COLUMN_COUNT_next_un10_column_counter_siglto9.output_mode="comb_only";
+defparam COLUMN_COUNT_next_un10_column_counter_siglto9.lut_mask="1f0f";
+defparam COLUMN_COUNT_next_un10_column_counter_siglto9.synch_mode="off";
+defparam COLUMN_COUNT_next_un10_column_counter_siglto9.sum_lutc_input="datac";
+// @13:226
+  stratix_lcell HSYNC_FSM_next_un12_hsync_counter (
+       .combout(un12_hsync_counter),
+       .clk(GND),
+       .dataa(hsync_counter_0),
+       .datab(hsync_counter_1),
+       .datac(un12_hsync_counter_3),
+       .datad(un12_hsync_counter_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un12_hsync_counter.operation_mode="normal";
+defparam HSYNC_FSM_next_un12_hsync_counter.output_mode="comb_only";
+defparam HSYNC_FSM_next_un12_hsync_counter.lut_mask="8000";
+defparam HSYNC_FSM_next_un12_hsync_counter.synch_mode="off";
+defparam HSYNC_FSM_next_un12_hsync_counter.sum_lutc_input="datac";
+// @13:231
+  stratix_lcell HSYNC_FSM_next_un13_hsync_counter (
+       .combout(un13_hsync_counter),
+       .clk(GND),
+       .dataa(hsync_counter_6),
+       .datab(hsync_counter_7),
+       .datac(un13_hsync_counter_2),
+       .datad(un13_hsync_counter_7),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un13_hsync_counter.operation_mode="normal";
+defparam HSYNC_FSM_next_un13_hsync_counter.output_mode="comb_only";
+defparam HSYNC_FSM_next_un13_hsync_counter.lut_mask="1000";
+defparam HSYNC_FSM_next_un13_hsync_counter.synch_mode="off";
+defparam HSYNC_FSM_next_un13_hsync_counter.sum_lutc_input="datac";
+// @13:172
+  stratix_lcell HSYNC_COUNT_next_un9_hsync_counterlt9 (
+       .combout(un9_hsync_counterlt9),
+       .clk(GND),
+       .dataa(hsync_counter_8),
+       .datab(hsync_counter_9),
+       .datac(un9_hsync_counterlt9_3),
+       .datad(un13_hsync_counter_7),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9.operation_mode="normal";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9.output_mode="comb_only";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9.lut_mask="f7ff";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9.synch_mode="off";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9.sum_lutc_input="datac";
+// @13:281
+  stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9 (
+       .combout(un9_vsync_counterlt9),
+       .clk(GND),
+       .dataa(vsync_counter_4),
+       .datab(vsync_counter_5),
+       .datac(un9_vsync_counterlt9_5),
+       .datad(un9_vsync_counterlt9_6),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9.operation_mode="normal";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9.output_mode="comb_only";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9.lut_mask="fff7";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9.synch_mode="off";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9.sum_lutc_input="datac";
+// @13:139
+  stratix_lcell LINE_COUNT_next_un10_line_counter_siglto5 (
+       .combout(un10_line_counter_siglto5),
+       .clk(GND),
+       .dataa(line_counter_sig_1),
+       .datab(line_counter_sig_2),
+       .datac(line_counter_sig_5),
+       .datad(un10_line_counter_siglt4_2),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam LINE_COUNT_next_un10_line_counter_siglto5.operation_mode="normal";
+defparam LINE_COUNT_next_un10_line_counter_siglto5.output_mode="comb_only";
+defparam LINE_COUNT_next_un10_line_counter_siglto5.lut_mask="0f07";
+defparam LINE_COUNT_next_un10_line_counter_siglto5.synch_mode="off";
+defparam LINE_COUNT_next_un10_line_counter_siglto5.sum_lutc_input="datac";
+// @13:331
+  stratix_lcell VSYNC_FSM_next_un13_vsync_counter_4 (
+       .combout(un13_vsync_counter_4),
+       .clk(GND),
+       .dataa(vsync_counter_0),
+       .datab(vsync_counter_5),
+       .datac(un13_vsync_counter_3),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un13_vsync_counter_4.operation_mode="normal";
+defparam VSYNC_FSM_next_un13_vsync_counter_4.output_mode="comb_only";
+defparam VSYNC_FSM_next_un13_vsync_counter_4.lut_mask="8080";
+defparam VSYNC_FSM_next_un13_vsync_counter_4.synch_mode="off";
+defparam VSYNC_FSM_next_un13_vsync_counter_4.sum_lutc_input="datac";
+// @13:344
+  stratix_lcell VSYNC_FSM_next_un15_vsync_counter_4 (
+       .combout(un15_vsync_counter_4),
+       .clk(GND),
+       .dataa(vsync_counter_1),
+       .datab(vsync_counter_4),
+       .datac(un15_vsync_counter_3),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un15_vsync_counter_4.operation_mode="normal";
+defparam VSYNC_FSM_next_un15_vsync_counter_4.output_mode="comb_only";
+defparam VSYNC_FSM_next_un15_vsync_counter_4.lut_mask="1010";
+defparam VSYNC_FSM_next_un15_vsync_counter_4.synch_mode="off";
+defparam VSYNC_FSM_next_un15_vsync_counter_4.sum_lutc_input="datac";
+// @13:111
+  stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglt6 (
+       .combout(un10_column_counter_siglt6),
+       .clk(GND),
+       .dataa(column_counter_sig_0),
+       .datab(column_counter_sig_1),
+       .datac(un10_column_counter_siglt6_1),
+       .datad(un10_column_counter_siglt6_2),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6.operation_mode="normal";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6.output_mode="comb_only";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6.lut_mask="fff7";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6.synch_mode="off";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6.sum_lutc_input="datac";
+// @13:169
+  stratix_lcell hsync_counter_next_1_sqmuxa_cZ (
+       .combout(hsync_counter_next_1_sqmuxa),
+       .clk(GND),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(d_set_hsync_counter),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam hsync_counter_next_1_sqmuxa_cZ.operation_mode="normal";
+defparam hsync_counter_next_1_sqmuxa_cZ.output_mode="comb_only";
+defparam hsync_counter_next_1_sqmuxa_cZ.lut_mask="0080";
+defparam hsync_counter_next_1_sqmuxa_cZ.synch_mode="off";
+defparam hsync_counter_next_1_sqmuxa_cZ.sum_lutc_input="datac";
+// @13:111
+  stratix_lcell column_counter_next_0_sqmuxa_1_1_cZ (
+       .combout(column_counter_next_0_sqmuxa_1_1),
+       .clk(GND),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(hsync_state_1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam column_counter_next_0_sqmuxa_1_1_cZ.operation_mode="normal";
+defparam column_counter_next_0_sqmuxa_1_1_cZ.output_mode="comb_only";
+defparam column_counter_next_0_sqmuxa_1_1_cZ.lut_mask="0080";
+defparam column_counter_next_0_sqmuxa_1_1_cZ.synch_mode="off";
+defparam column_counter_next_0_sqmuxa_1_1_cZ.sum_lutc_input="datac";
+  stratix_lcell h_sync_1_0_0_0_g1_cZ (
+       .combout(h_sync_1_0_0_0_g1),
+       .clk(GND),
+       .dataa(hsync_state_2),
+       .datab(h_sync),
+       .datac(hsync_state_4),
+       .datad(un1_hsync_state_3_0),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam h_sync_1_0_0_0_g1_cZ.operation_mode="normal";
+defparam h_sync_1_0_0_0_g1_cZ.output_mode="comb_only";
+defparam h_sync_1_0_0_0_g1_cZ.lut_mask="ccd8";
+defparam h_sync_1_0_0_0_g1_cZ.synch_mode="off";
+defparam h_sync_1_0_0_0_g1_cZ.sum_lutc_input="datac";
+// @13:139
+  stratix_lcell line_counter_next_0_sqmuxa_1_1_cZ (
+       .combout(line_counter_next_0_sqmuxa_1_1),
+       .clk(GND),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(vsync_state_1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam line_counter_next_0_sqmuxa_1_1_cZ.operation_mode="normal";
+defparam line_counter_next_0_sqmuxa_1_1_cZ.output_mode="comb_only";
+defparam line_counter_next_0_sqmuxa_1_1_cZ.lut_mask="0080";
+defparam line_counter_next_0_sqmuxa_1_1_cZ.synch_mode="off";
+defparam line_counter_next_0_sqmuxa_1_1_cZ.sum_lutc_input="datac";
+  stratix_lcell v_sync_1_0_0_0_g1_cZ (
+       .combout(v_sync_1_0_0_0_g1),
+       .clk(GND),
+       .dataa(vsync_state_2),
+       .datab(v_sync),
+       .datac(vsync_state_4),
+       .datad(un1_vsync_state_2_0),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam v_sync_1_0_0_0_g1_cZ.operation_mode="normal";
+defparam v_sync_1_0_0_0_g1_cZ.output_mode="comb_only";
+defparam v_sync_1_0_0_0_g1_cZ.lut_mask="ccd8";
+defparam v_sync_1_0_0_0_g1_cZ.synch_mode="off";
+defparam v_sync_1_0_0_0_g1_cZ.sum_lutc_input="datac";
+  stratix_lcell h_enable_sig_1_0_0_0_g0_i_o4_cZ (
+       .combout(h_enable_sig_1_0_0_0_g0_i_o4),
+       .clk(GND),
+       .dataa(vsync_state_4),
+       .datab(vsync_state_5),
+       .datac(un6_dly_counter_0_x),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.operation_mode="normal";
+defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.output_mode="comb_only";
+defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.lut_mask="f1f1";
+defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.synch_mode="off";
+defparam h_enable_sig_1_0_0_0_g0_i_o4_cZ.sum_lutc_input="datac";
+// @13:278
+  stratix_lcell vsync_counter_next_1_sqmuxa_cZ (
+       .combout(vsync_counter_next_1_sqmuxa),
+       .clk(GND),
+       .dataa(reset_pin_c),
+       .datab(dly_counter_0),
+       .datac(dly_counter_1),
+       .datad(d_set_vsync_counter),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam vsync_counter_next_1_sqmuxa_cZ.operation_mode="normal";
+defparam vsync_counter_next_1_sqmuxa_cZ.output_mode="comb_only";
+defparam vsync_counter_next_1_sqmuxa_cZ.lut_mask="0080";
+defparam vsync_counter_next_1_sqmuxa_cZ.synch_mode="off";
+defparam vsync_counter_next_1_sqmuxa_cZ.sum_lutc_input="datac";
+// @13:339
+  stratix_lcell VSYNC_FSM_next_un14_vsync_counter_8 (
+       .combout(un14_vsync_counter_8),
+       .clk(GND),
+       .dataa(un12_vsync_counter_6),
+       .datab(un12_vsync_counter_7),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un14_vsync_counter_8.operation_mode="normal";
+defparam VSYNC_FSM_next_un14_vsync_counter_8.output_mode="comb_only";
+defparam VSYNC_FSM_next_un14_vsync_counter_8.lut_mask="8888";
+defparam VSYNC_FSM_next_un14_vsync_counter_8.synch_mode="off";
+defparam VSYNC_FSM_next_un14_vsync_counter_8.sum_lutc_input="datac";
+  stratix_lcell v_enable_sig_1_0_0_0_g0_i_o4_cZ (
+       .combout(v_enable_sig_1_0_0_0_g0_i_o4),
+       .clk(GND),
+       .dataa(hsync_state_4),
+       .datab(hsync_state_5),
+       .datac(un6_dly_counter_0_x),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.operation_mode="normal";
+defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.output_mode="comb_only";
+defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.lut_mask="f1f1";
+defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.synch_mode="off";
+defparam v_enable_sig_1_0_0_0_g0_i_o4_cZ.sum_lutc_input="datac";
+// @13:218
+  stratix_lcell HSYNC_FSM_next_un11_hsync_counter_3 (
+       .combout(un11_hsync_counter_3),
+       .clk(GND),
+       .dataa(hsync_counter_0),
+       .datab(hsync_counter_1),
+       .datac(hsync_counter_3),
+       .datad(hsync_counter_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un11_hsync_counter_3.operation_mode="normal";
+defparam HSYNC_FSM_next_un11_hsync_counter_3.output_mode="comb_only";
+defparam HSYNC_FSM_next_un11_hsync_counter_3.lut_mask="0008";
+defparam HSYNC_FSM_next_un11_hsync_counter_3.synch_mode="off";
+defparam HSYNC_FSM_next_un11_hsync_counter_3.sum_lutc_input="datac";
+// @13:218
+  stratix_lcell HSYNC_FSM_next_un11_hsync_counter_2 (
+       .combout(un11_hsync_counter_2),
+       .clk(GND),
+       .dataa(hsync_counter_2),
+       .datab(hsync_counter_7),
+       .datac(hsync_counter_6),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un11_hsync_counter_2.operation_mode="normal";
+defparam HSYNC_FSM_next_un11_hsync_counter_2.output_mode="comb_only";
+defparam HSYNC_FSM_next_un11_hsync_counter_2.lut_mask="0808";
+defparam HSYNC_FSM_next_un11_hsync_counter_2.synch_mode="off";
+defparam HSYNC_FSM_next_un11_hsync_counter_2.sum_lutc_input="datac";
+// @13:226
+  stratix_lcell HSYNC_FSM_next_un12_hsync_counter_4 (
+       .combout(un12_hsync_counter_4),
+       .clk(GND),
+       .dataa(hsync_counter_6),
+       .datab(hsync_counter_7),
+       .datac(hsync_counter_2),
+       .datad(hsync_counter_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un12_hsync_counter_4.operation_mode="normal";
+defparam HSYNC_FSM_next_un12_hsync_counter_4.output_mode="comb_only";
+defparam HSYNC_FSM_next_un12_hsync_counter_4.lut_mask="0010";
+defparam HSYNC_FSM_next_un12_hsync_counter_4.synch_mode="off";
+defparam HSYNC_FSM_next_un12_hsync_counter_4.sum_lutc_input="datac";
+// @13:226
+  stratix_lcell HSYNC_FSM_next_un12_hsync_counter_3 (
+       .combout(un12_hsync_counter_3),
+       .clk(GND),
+       .dataa(hsync_counter_9),
+       .datab(hsync_counter_5),
+       .datac(hsync_counter_8),
+       .datad(hsync_counter_3),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un12_hsync_counter_3.operation_mode="normal";
+defparam HSYNC_FSM_next_un12_hsync_counter_3.output_mode="comb_only";
+defparam HSYNC_FSM_next_un12_hsync_counter_3.lut_mask="0020";
+defparam HSYNC_FSM_next_un12_hsync_counter_3.synch_mode="off";
+defparam HSYNC_FSM_next_un12_hsync_counter_3.sum_lutc_input="datac";
+// @13:172
+  stratix_lcell HSYNC_COUNT_next_un9_hsync_counterlt9_3 (
+       .combout(un9_hsync_counterlt9_3),
+       .clk(GND),
+       .dataa(hsync_counter_6),
+       .datab(hsync_counter_7),
+       .datac(hsync_counter_4),
+       .datad(hsync_counter_5),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.operation_mode="normal";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.output_mode="comb_only";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.lut_mask="7fff";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.synch_mode="off";
+defparam HSYNC_COUNT_next_un9_hsync_counterlt9_3.sum_lutc_input="datac";
+// @13:231
+  stratix_lcell HSYNC_FSM_next_un13_hsync_counter_2 (
+       .combout(un13_hsync_counter_2),
+       .clk(GND),
+       .dataa(hsync_counter_8),
+       .datab(hsync_counter_9),
+       .datac(hsync_counter_4),
+       .datad(hsync_counter_5),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un13_hsync_counter_2.operation_mode="normal";
+defparam HSYNC_FSM_next_un13_hsync_counter_2.output_mode="comb_only";
+defparam HSYNC_FSM_next_un13_hsync_counter_2.lut_mask="0080";
+defparam HSYNC_FSM_next_un13_hsync_counter_2.synch_mode="off";
+defparam HSYNC_FSM_next_un13_hsync_counter_2.sum_lutc_input="datac";
+// @13:281
+  stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9_6 (
+       .combout(un9_vsync_counterlt9_6),
+       .clk(GND),
+       .dataa(vsync_counter_2),
+       .datab(vsync_counter_3),
+       .datac(vsync_counter_0),
+       .datad(vsync_counter_1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.operation_mode="normal";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.output_mode="comb_only";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.lut_mask="7fff";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.synch_mode="off";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_6.sum_lutc_input="datac";
+// @13:281
+  stratix_lcell VSYNC_COUNT_next_un9_vsync_counterlt9_5 (
+       .combout(un9_vsync_counterlt9_5),
+       .clk(GND),
+       .dataa(vsync_counter_8),
+       .datab(vsync_counter_9),
+       .datac(vsync_counter_6),
+       .datad(vsync_counter_7),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.operation_mode="normal";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.output_mode="comb_only";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.lut_mask="7fff";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.synch_mode="off";
+defparam VSYNC_COUNT_next_un9_vsync_counterlt9_5.sum_lutc_input="datac";
+// @13:331
+  stratix_lcell VSYNC_FSM_next_un13_vsync_counter_3 (
+       .combout(un13_vsync_counter_3),
+       .clk(GND),
+       .dataa(vsync_counter_6),
+       .datab(vsync_counter_7),
+       .datac(vsync_counter_8),
+       .datad(vsync_counter_9),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un13_vsync_counter_3.operation_mode="normal";
+defparam VSYNC_FSM_next_un13_vsync_counter_3.output_mode="comb_only";
+defparam VSYNC_FSM_next_un13_vsync_counter_3.lut_mask="0001";
+defparam VSYNC_FSM_next_un13_vsync_counter_3.synch_mode="off";
+defparam VSYNC_FSM_next_un13_vsync_counter_3.sum_lutc_input="datac";
+// @13:213
+  stratix_lcell HSYNC_FSM_next_un10_hsync_counter_4 (
+       .combout(un10_hsync_counter_4),
+       .clk(GND),
+       .dataa(hsync_counter_4),
+       .datab(hsync_counter_6),
+       .datac(hsync_counter_1),
+       .datad(hsync_counter_3),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un10_hsync_counter_4.operation_mode="normal";
+defparam HSYNC_FSM_next_un10_hsync_counter_4.output_mode="comb_only";
+defparam HSYNC_FSM_next_un10_hsync_counter_4.lut_mask="8000";
+defparam HSYNC_FSM_next_un10_hsync_counter_4.synch_mode="off";
+defparam HSYNC_FSM_next_un10_hsync_counter_4.sum_lutc_input="datac";
+// @13:213
+  stratix_lcell HSYNC_FSM_next_un10_hsync_counter_3 (
+       .combout(un10_hsync_counter_3),
+       .clk(GND),
+       .dataa(hsync_counter_0),
+       .datab(hsync_counter_7),
+       .datac(hsync_counter_2),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un10_hsync_counter_3.operation_mode="normal";
+defparam HSYNC_FSM_next_un10_hsync_counter_3.output_mode="comb_only";
+defparam HSYNC_FSM_next_un10_hsync_counter_3.lut_mask="0101";
+defparam HSYNC_FSM_next_un10_hsync_counter_3.synch_mode="off";
+defparam HSYNC_FSM_next_un10_hsync_counter_3.sum_lutc_input="datac";
+// @13:344
+  stratix_lcell VSYNC_FSM_next_un15_vsync_counter_3 (
+       .combout(un15_vsync_counter_3),
+       .clk(GND),
+       .dataa(vsync_counter_9),
+       .datab(vsync_counter_2),
+       .datac(vsync_counter_3),
+       .datad(vsync_counter_0),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un15_vsync_counter_3.operation_mode="normal";
+defparam VSYNC_FSM_next_un15_vsync_counter_3.output_mode="comb_only";
+defparam VSYNC_FSM_next_un15_vsync_counter_3.lut_mask="0020";
+defparam VSYNC_FSM_next_un15_vsync_counter_3.synch_mode="off";
+defparam VSYNC_FSM_next_un15_vsync_counter_3.sum_lutc_input="datac";
+// @13:111
+  stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglt6_2 (
+       .combout(un10_column_counter_siglt6_2),
+       .clk(GND),
+       .dataa(column_counter_sig_2),
+       .datab(column_counter_sig_3),
+       .datac(column_counter_sig_4),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_2.operation_mode="normal";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_2.output_mode="comb_only";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_2.lut_mask="7f7f";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_2.synch_mode="off";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_2.sum_lutc_input="datac";
+// @13:139
+  stratix_lcell LINE_COUNT_next_un10_line_counter_siglt4_2 (
+       .combout(un10_line_counter_siglt4_2),
+       .clk(GND),
+       .dataa(line_counter_sig_0),
+       .datab(line_counter_sig_4),
+       .datac(line_counter_sig_3),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam LINE_COUNT_next_un10_line_counter_siglt4_2.operation_mode="normal";
+defparam LINE_COUNT_next_un10_line_counter_siglt4_2.output_mode="comb_only";
+defparam LINE_COUNT_next_un10_line_counter_siglt4_2.lut_mask="7f7f";
+defparam LINE_COUNT_next_un10_line_counter_siglt4_2.synch_mode="off";
+defparam LINE_COUNT_next_un10_line_counter_siglt4_2.sum_lutc_input="datac";
+// @13:213
+  stratix_lcell HSYNC_FSM_next_un10_hsync_counter_1 (
+       .combout(un10_hsync_counter_1),
+       .clk(GND),
+       .dataa(hsync_counter_5),
+       .datab(hsync_counter_8),
+       .datac(hsync_counter_9),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un10_hsync_counter_1.operation_mode="normal";
+defparam HSYNC_FSM_next_un10_hsync_counter_1.output_mode="comb_only";
+defparam HSYNC_FSM_next_un10_hsync_counter_1.lut_mask="0101";
+defparam HSYNC_FSM_next_un10_hsync_counter_1.synch_mode="off";
+defparam HSYNC_FSM_next_un10_hsync_counter_1.sum_lutc_input="datac";
+// @13:231
+  stratix_lcell HSYNC_FSM_next_un13_hsync_counter_7 (
+       .combout(un13_hsync_counter_7),
+       .clk(GND),
+       .dataa(hsync_counter_2),
+       .datab(hsync_counter_3),
+       .datac(hsync_counter_0),
+       .datad(hsync_counter_1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam HSYNC_FSM_next_un13_hsync_counter_7.operation_mode="normal";
+defparam HSYNC_FSM_next_un13_hsync_counter_7.output_mode="comb_only";
+defparam HSYNC_FSM_next_un13_hsync_counter_7.lut_mask="8000";
+defparam HSYNC_FSM_next_un13_hsync_counter_7.synch_mode="off";
+defparam HSYNC_FSM_next_un13_hsync_counter_7.sum_lutc_input="datac";
+// @13:326
+  stratix_lcell VSYNC_FSM_next_un12_vsync_counter_6 (
+       .combout(un12_vsync_counter_6),
+       .clk(GND),
+       .dataa(vsync_counter_7),
+       .datab(vsync_counter_8),
+       .datac(vsync_counter_5),
+       .datad(vsync_counter_6),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un12_vsync_counter_6.operation_mode="normal";
+defparam VSYNC_FSM_next_un12_vsync_counter_6.output_mode="comb_only";
+defparam VSYNC_FSM_next_un12_vsync_counter_6.lut_mask="0001";
+defparam VSYNC_FSM_next_un12_vsync_counter_6.synch_mode="off";
+defparam VSYNC_FSM_next_un12_vsync_counter_6.sum_lutc_input="datac";
+// @13:326
+  stratix_lcell VSYNC_FSM_next_un12_vsync_counter_7 (
+       .combout(un12_vsync_counter_7),
+       .clk(GND),
+       .dataa(vsync_counter_3),
+       .datab(vsync_counter_4),
+       .datac(vsync_counter_1),
+       .datad(vsync_counter_2),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam VSYNC_FSM_next_un12_vsync_counter_7.operation_mode="normal";
+defparam VSYNC_FSM_next_un12_vsync_counter_7.output_mode="comb_only";
+defparam VSYNC_FSM_next_un12_vsync_counter_7.lut_mask="0001";
+defparam VSYNC_FSM_next_un12_vsync_counter_7.synch_mode="off";
+defparam VSYNC_FSM_next_un12_vsync_counter_7.sum_lutc_input="datac";
+// @13:206
+  stratix_lcell un1_hsync_state_3_0_cZ (
+       .combout(un1_hsync_state_3_0),
+       .clk(GND),
+       .dataa(hsync_state_3),
+       .datab(hsync_state_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_hsync_state_3_0_cZ.operation_mode="normal";
+defparam un1_hsync_state_3_0_cZ.output_mode="comb_only";
+defparam un1_hsync_state_3_0_cZ.lut_mask="eeee";
+defparam un1_hsync_state_3_0_cZ.synch_mode="off";
+defparam un1_hsync_state_3_0_cZ.sum_lutc_input="datac";
+// @13:111
+  stratix_lcell COLUMN_COUNT_next_un10_column_counter_siglt6_1 (
+       .combout(un10_column_counter_siglt6_1),
+       .clk(GND),
+       .dataa(column_counter_sig_6),
+       .datab(column_counter_sig_5),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_1.operation_mode="normal";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_1.output_mode="comb_only";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_1.lut_mask="7777";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_1.synch_mode="off";
+defparam COLUMN_COUNT_next_un10_column_counter_siglt6_1.sum_lutc_input="datac";
+// @13:319
+  stratix_lcell un1_vsync_state_2_0_cZ (
+       .combout(un1_vsync_state_2_0),
+       .clk(GND),
+       .dataa(vsync_state_3),
+       .datab(vsync_state_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_vsync_state_2_0_cZ.operation_mode="normal";
+defparam un1_vsync_state_2_0_cZ.output_mode="comb_only";
+defparam un1_vsync_state_2_0_cZ.lut_mask="eeee";
+defparam un1_vsync_state_2_0_cZ.synch_mode="off";
+defparam un1_vsync_state_2_0_cZ.sum_lutc_input="datac";
+// @13:248
+  stratix_lcell d_set_hsync_counter_cZ (
+       .combout(d_set_hsync_counter),
+       .clk(GND),
+       .dataa(hsync_state_6),
+       .datab(hsync_state_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam d_set_hsync_counter_cZ.operation_mode="normal";
+defparam d_set_hsync_counter_cZ.output_mode="comb_only";
+defparam d_set_hsync_counter_cZ.lut_mask="eeee";
+defparam d_set_hsync_counter_cZ.synch_mode="off";
+defparam d_set_hsync_counter_cZ.sum_lutc_input="datac";
+// @13:361
+  stratix_lcell d_set_vsync_counter_cZ (
+       .combout(d_set_vsync_counter),
+       .clk(GND),
+       .dataa(vsync_state_6),
+       .datab(vsync_state_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam d_set_vsync_counter_cZ.operation_mode="normal";
+defparam d_set_vsync_counter_cZ.output_mode="comb_only";
+defparam d_set_vsync_counter_cZ.lut_mask="eeee";
+defparam d_set_vsync_counter_cZ.synch_mode="off";
+defparam d_set_vsync_counter_cZ.sum_lutc_input="datac";
+// @13:141
+  stratix_lcell un1_line_counter_sig_9_ (
+       .combout(un1_line_counter_sig_combout[9]),
+       .clk(GND),
+       .dataa(line_counter_sig_7),
+       .datab(line_counter_sig_8),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[7]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_9_.cin_used="true";
+defparam un1_line_counter_sig_9_.operation_mode="normal";
+defparam un1_line_counter_sig_9_.output_mode="comb_only";
+defparam un1_line_counter_sig_9_.lut_mask="6c6c";
+defparam un1_line_counter_sig_9_.synch_mode="off";
+defparam un1_line_counter_sig_9_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_8_ (
+       .combout(un1_line_counter_sig_combout[8]),
+       .clk(GND),
+       .dataa(line_counter_sig_7),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[6]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_8_.cin_used="true";
+defparam un1_line_counter_sig_8_.operation_mode="normal";
+defparam un1_line_counter_sig_8_.output_mode="comb_only";
+defparam un1_line_counter_sig_8_.lut_mask="5a5a";
+defparam un1_line_counter_sig_8_.synch_mode="off";
+defparam un1_line_counter_sig_8_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_7_ (
+       .combout(un1_line_counter_sig_combout[7]),
+       .cout(un1_line_counter_sig_cout[7]),
+       .clk(GND),
+       .dataa(line_counter_sig_5),
+       .datab(line_counter_sig_6),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[5]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_7_.cin_used="true";
+defparam un1_line_counter_sig_7_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_7_.output_mode="comb_only";
+defparam un1_line_counter_sig_7_.lut_mask="6c80";
+defparam un1_line_counter_sig_7_.synch_mode="off";
+defparam un1_line_counter_sig_7_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_6_ (
+       .combout(un1_line_counter_sig_combout[6]),
+       .cout(un1_line_counter_sig_cout[6]),
+       .clk(GND),
+       .dataa(line_counter_sig_5),
+       .datab(line_counter_sig_6),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[4]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_6_.cin_used="true";
+defparam un1_line_counter_sig_6_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_6_.output_mode="comb_only";
+defparam un1_line_counter_sig_6_.lut_mask="5a80";
+defparam un1_line_counter_sig_6_.synch_mode="off";
+defparam un1_line_counter_sig_6_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_5_ (
+       .combout(un1_line_counter_sig_combout[5]),
+       .cout(un1_line_counter_sig_cout[5]),
+       .clk(GND),
+       .dataa(line_counter_sig_3),
+       .datab(line_counter_sig_4),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[3]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_5_.cin_used="true";
+defparam un1_line_counter_sig_5_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_5_.output_mode="comb_only";
+defparam un1_line_counter_sig_5_.lut_mask="6c80";
+defparam un1_line_counter_sig_5_.synch_mode="off";
+defparam un1_line_counter_sig_5_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_4_ (
+       .combout(un1_line_counter_sig_combout[4]),
+       .cout(un1_line_counter_sig_cout[4]),
+       .clk(GND),
+       .dataa(line_counter_sig_3),
+       .datab(line_counter_sig_4),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[2]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_4_.cin_used="true";
+defparam un1_line_counter_sig_4_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_4_.output_mode="comb_only";
+defparam un1_line_counter_sig_4_.lut_mask="5a80";
+defparam un1_line_counter_sig_4_.synch_mode="off";
+defparam un1_line_counter_sig_4_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_3_ (
+       .combout(un1_line_counter_sig_combout[3]),
+       .cout(un1_line_counter_sig_cout[3]),
+       .clk(GND),
+       .dataa(line_counter_sig_1),
+       .datab(line_counter_sig_2),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_3_.cin_used="true";
+defparam un1_line_counter_sig_3_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_3_.output_mode="comb_only";
+defparam un1_line_counter_sig_3_.lut_mask="6c80";
+defparam un1_line_counter_sig_3_.synch_mode="off";
+defparam un1_line_counter_sig_3_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_2_ (
+       .combout(un1_line_counter_sig_combout[2]),
+       .cout(un1_line_counter_sig_cout[2]),
+       .clk(GND),
+       .dataa(line_counter_sig_1),
+       .datab(line_counter_sig_2),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un1_line_counter_sig_a_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_2_.cin_used="true";
+defparam un1_line_counter_sig_2_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_2_.output_mode="comb_only";
+defparam un1_line_counter_sig_2_.lut_mask="5a80";
+defparam un1_line_counter_sig_2_.synch_mode="off";
+defparam un1_line_counter_sig_2_.sum_lutc_input="cin";
+// @13:141
+  stratix_lcell un1_line_counter_sig_a_1_ (
+       .cout(un1_line_counter_sig_a_cout[1]),
+       .clk(GND),
+       .dataa(d_set_hsync_counter),
+       .datab(line_counter_sig_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_a_1_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_a_1_.output_mode="comb_only";
+defparam un1_line_counter_sig_a_1_.lut_mask="0088";
+defparam un1_line_counter_sig_a_1_.synch_mode="off";
+defparam un1_line_counter_sig_a_1_.sum_lutc_input="datac";
+// @13:141
+  stratix_lcell un1_line_counter_sig_1_ (
+       .combout(un1_line_counter_sig_combout[1]),
+       .cout(un1_line_counter_sig_cout[1]),
+       .clk(GND),
+       .dataa(d_set_hsync_counter),
+       .datab(line_counter_sig_0),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un1_line_counter_sig_1_.operation_mode="arithmetic";
+defparam un1_line_counter_sig_1_.output_mode="comb_only";
+defparam un1_line_counter_sig_1_.lut_mask="6688";
+defparam un1_line_counter_sig_1_.synch_mode="off";
+defparam un1_line_counter_sig_1_.sum_lutc_input="datac";
+// @13:112
+  stratix_lcell un2_column_counter_next_9_ (
+       .combout(un2_column_counter_next_combout[9]),
+       .clk(GND),
+       .dataa(column_counter_sig_8),
+       .datab(column_counter_sig_9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[7]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_9_.cin_used="true";
+defparam un2_column_counter_next_9_.operation_mode="normal";
+defparam un2_column_counter_next_9_.output_mode="comb_only";
+defparam un2_column_counter_next_9_.lut_mask="6c6c";
+defparam un2_column_counter_next_9_.synch_mode="off";
+defparam un2_column_counter_next_9_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_8_ (
+       .combout(un2_column_counter_next_combout[8]),
+       .clk(GND),
+       .dataa(column_counter_sig_8),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[6]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_8_.cin_used="true";
+defparam un2_column_counter_next_8_.operation_mode="normal";
+defparam un2_column_counter_next_8_.output_mode="comb_only";
+defparam un2_column_counter_next_8_.lut_mask="5a5a";
+defparam un2_column_counter_next_8_.synch_mode="off";
+defparam un2_column_counter_next_8_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_7_ (
+       .combout(un2_column_counter_next_combout[7]),
+       .cout(un2_column_counter_next_cout[7]),
+       .clk(GND),
+       .dataa(column_counter_sig_6),
+       .datab(column_counter_sig_7),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[5]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_7_.cin_used="true";
+defparam un2_column_counter_next_7_.operation_mode="arithmetic";
+defparam un2_column_counter_next_7_.output_mode="comb_only";
+defparam un2_column_counter_next_7_.lut_mask="6c80";
+defparam un2_column_counter_next_7_.synch_mode="off";
+defparam un2_column_counter_next_7_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_6_ (
+       .combout(un2_column_counter_next_combout[6]),
+       .cout(un2_column_counter_next_cout[6]),
+       .clk(GND),
+       .dataa(column_counter_sig_6),
+       .datab(column_counter_sig_7),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[4]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_6_.cin_used="true";
+defparam un2_column_counter_next_6_.operation_mode="arithmetic";
+defparam un2_column_counter_next_6_.output_mode="comb_only";
+defparam un2_column_counter_next_6_.lut_mask="5a80";
+defparam un2_column_counter_next_6_.synch_mode="off";
+defparam un2_column_counter_next_6_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_5_ (
+       .combout(un2_column_counter_next_combout[5]),
+       .cout(un2_column_counter_next_cout[5]),
+       .clk(GND),
+       .dataa(column_counter_sig_4),
+       .datab(column_counter_sig_5),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[3]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_5_.cin_used="true";
+defparam un2_column_counter_next_5_.operation_mode="arithmetic";
+defparam un2_column_counter_next_5_.output_mode="comb_only";
+defparam un2_column_counter_next_5_.lut_mask="6c80";
+defparam un2_column_counter_next_5_.synch_mode="off";
+defparam un2_column_counter_next_5_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_4_ (
+       .combout(un2_column_counter_next_combout[4]),
+       .cout(un2_column_counter_next_cout[4]),
+       .clk(GND),
+       .dataa(column_counter_sig_4),
+       .datab(column_counter_sig_5),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[2]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_4_.cin_used="true";
+defparam un2_column_counter_next_4_.operation_mode="arithmetic";
+defparam un2_column_counter_next_4_.output_mode="comb_only";
+defparam un2_column_counter_next_4_.lut_mask="5a80";
+defparam un2_column_counter_next_4_.synch_mode="off";
+defparam un2_column_counter_next_4_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_3_ (
+       .combout(un2_column_counter_next_combout[3]),
+       .cout(un2_column_counter_next_cout[3]),
+       .clk(GND),
+       .dataa(column_counter_sig_2),
+       .datab(column_counter_sig_3),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_3_.cin_used="true";
+defparam un2_column_counter_next_3_.operation_mode="arithmetic";
+defparam un2_column_counter_next_3_.output_mode="comb_only";
+defparam un2_column_counter_next_3_.lut_mask="6c80";
+defparam un2_column_counter_next_3_.synch_mode="off";
+defparam un2_column_counter_next_3_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_2_ (
+       .combout(un2_column_counter_next_combout[2]),
+       .cout(un2_column_counter_next_cout[2]),
+       .clk(GND),
+       .dataa(column_counter_sig_2),
+       .datab(column_counter_sig_3),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_column_counter_next_cout[0]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_2_.cin_used="true";
+defparam un2_column_counter_next_2_.operation_mode="arithmetic";
+defparam un2_column_counter_next_2_.output_mode="comb_only";
+defparam un2_column_counter_next_2_.lut_mask="5a80";
+defparam un2_column_counter_next_2_.synch_mode="off";
+defparam un2_column_counter_next_2_.sum_lutc_input="cin";
+// @13:112
+  stratix_lcell un2_column_counter_next_1_ (
+       .combout(un2_column_counter_next_combout[1]),
+       .cout(un2_column_counter_next_cout[1]),
+       .clk(GND),
+       .dataa(column_counter_sig_0),
+       .datab(column_counter_sig_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_1_.operation_mode="arithmetic";
+defparam un2_column_counter_next_1_.output_mode="comb_only";
+defparam un2_column_counter_next_1_.lut_mask="6688";
+defparam un2_column_counter_next_1_.synch_mode="off";
+defparam un2_column_counter_next_1_.sum_lutc_input="datac";
+// @13:112
+  stratix_lcell un2_column_counter_next_0_ (
+       .cout(un2_column_counter_next_cout[0]),
+       .clk(GND),
+       .dataa(column_counter_sig_0),
+       .datab(column_counter_sig_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_column_counter_next_0_.operation_mode="arithmetic";
+defparam un2_column_counter_next_0_.output_mode="comb_only";
+defparam un2_column_counter_next_0_.lut_mask="5588";
+defparam un2_column_counter_next_0_.synch_mode="off";
+defparam un2_column_counter_next_0_.sum_lutc_input="datac";
+  assign  line_counter_next_0_sqmuxa_1_1_i = ~ line_counter_next_0_sqmuxa_1_1;
+  assign  column_counter_next_0_sqmuxa_1_1_i = ~ column_counter_next_0_sqmuxa_1_1;
+  assign  un9_vsync_counterlt9_i = ~ un9_vsync_counterlt9;
+  assign  G_16_i_i = ~ G_16_i;
+  assign  un9_hsync_counterlt9_i = ~ un9_hsync_counterlt9;
+  assign  G_2_i_i = ~ G_2_i;
+endmodule /* vga_driver */
+
+// VQM4.1+ 
+module vga_control (
+  column_counter_sig_5,
+  column_counter_sig_0,
+  column_counter_sig_1,
+  column_counter_sig_3,
+  column_counter_sig_4,
+  column_counter_sig_2,
+  column_counter_sig_9,
+  column_counter_sig_8,
+  column_counter_sig_7,
+  column_counter_sig_6,
+  line_counter_sig_0,
+  line_counter_sig_1,
+  line_counter_sig_2,
+  line_counter_sig_8,
+  line_counter_sig_3,
+  line_counter_sig_5,
+  line_counter_sig_4,
+  line_counter_sig_7,
+  line_counter_sig_6,
+  toggle_counter_sig_0,
+  toggle_counter_sig_1,
+  toggle_counter_sig_2,
+  toggle_counter_sig_3,
+  toggle_counter_sig_4,
+  toggle_counter_sig_5,
+  toggle_counter_sig_6,
+  toggle_counter_sig_7,
+  toggle_counter_sig_8,
+  toggle_counter_sig_9,
+  toggle_counter_sig_10,
+  toggle_counter_sig_11,
+  toggle_counter_sig_12,
+  toggle_counter_sig_13,
+  toggle_counter_sig_14,
+  toggle_counter_sig_15,
+  toggle_counter_sig_16,
+  toggle_counter_sig_17,
+  toggle_counter_sig_18,
+  toggle_counter_sig_19,
+  toggle_counter_sig_20,
+  toggle_counter_sig_21,
+  toggle_counter_sig_22,
+  toggle_counter_sig_23,
+  toggle_counter_sig_24,
+  v_enable_sig,
+  un10_column_counter_siglt6_1,
+  h_enable_sig,
+  g,
+  r,
+  b,
+  toggle_sig,
+  un6_dly_counter_0_x,
+  clk_pin_c
+)
+;
+input column_counter_sig_5 ;
+input column_counter_sig_0 ;
+input column_counter_sig_1 ;
+input column_counter_sig_3 ;
+input column_counter_sig_4 ;
+input column_counter_sig_2 ;
+input column_counter_sig_9 ;
+input column_counter_sig_8 ;
+input column_counter_sig_7 ;
+input column_counter_sig_6 ;
+input line_counter_sig_0 ;
+input line_counter_sig_1 ;
+input line_counter_sig_2 ;
+input line_counter_sig_8 ;
+input line_counter_sig_3 ;
+input line_counter_sig_5 ;
+input line_counter_sig_4 ;
+input line_counter_sig_7 ;
+input line_counter_sig_6 ;
+output toggle_counter_sig_0 ;
+output toggle_counter_sig_1 ;
+output toggle_counter_sig_2 ;
+output toggle_counter_sig_3 ;
+output toggle_counter_sig_4 ;
+output toggle_counter_sig_5 ;
+output toggle_counter_sig_6 ;
+output toggle_counter_sig_7 ;
+output toggle_counter_sig_8 ;
+output toggle_counter_sig_9 ;
+output toggle_counter_sig_10 ;
+output toggle_counter_sig_11 ;
+output toggle_counter_sig_12 ;
+output toggle_counter_sig_13 ;
+output toggle_counter_sig_14 ;
+output toggle_counter_sig_15 ;
+output toggle_counter_sig_16 ;
+output toggle_counter_sig_17 ;
+output toggle_counter_sig_18 ;
+output toggle_counter_sig_19 ;
+output toggle_counter_sig_20 ;
+output toggle_counter_sig_21 ;
+output toggle_counter_sig_22 ;
+output toggle_counter_sig_23 ;
+output toggle_counter_sig_24 ;
+input v_enable_sig ;
+input un10_column_counter_siglt6_1 ;
+input h_enable_sig ;
+output g ;
+output r ;
+output b ;
+output toggle_sig ;
+input un6_dly_counter_0_x ;
+input clk_pin_c ;
+wire column_counter_sig_5 ;
+wire column_counter_sig_0 ;
+wire column_counter_sig_1 ;
+wire column_counter_sig_3 ;
+wire column_counter_sig_4 ;
+wire column_counter_sig_2 ;
+wire column_counter_sig_9 ;
+wire column_counter_sig_8 ;
+wire column_counter_sig_7 ;
+wire column_counter_sig_6 ;
+wire line_counter_sig_0 ;
+wire line_counter_sig_1 ;
+wire line_counter_sig_2 ;
+wire line_counter_sig_8 ;
+wire line_counter_sig_3 ;
+wire line_counter_sig_5 ;
+wire line_counter_sig_4 ;
+wire line_counter_sig_7 ;
+wire line_counter_sig_6 ;
+wire toggle_counter_sig_0 ;
+wire toggle_counter_sig_1 ;
+wire toggle_counter_sig_2 ;
+wire toggle_counter_sig_3 ;
+wire toggle_counter_sig_4 ;
+wire toggle_counter_sig_5 ;
+wire toggle_counter_sig_6 ;
+wire toggle_counter_sig_7 ;
+wire toggle_counter_sig_8 ;
+wire toggle_counter_sig_9 ;
+wire toggle_counter_sig_10 ;
+wire toggle_counter_sig_11 ;
+wire toggle_counter_sig_12 ;
+wire toggle_counter_sig_13 ;
+wire toggle_counter_sig_14 ;
+wire toggle_counter_sig_15 ;
+wire toggle_counter_sig_16 ;
+wire toggle_counter_sig_17 ;
+wire toggle_counter_sig_18 ;
+wire toggle_counter_sig_19 ;
+wire toggle_counter_sig_20 ;
+wire toggle_counter_sig_21 ;
+wire toggle_counter_sig_22 ;
+wire toggle_counter_sig_23 ;
+wire toggle_counter_sig_24 ;
+wire v_enable_sig ;
+wire un10_column_counter_siglt6_1 ;
+wire h_enable_sig ;
+wire g ;
+wire r ;
+wire b ;
+wire toggle_sig ;
+wire un6_dly_counter_0_x ;
+wire clk_pin_c ;
+wire [17:1] toggle_counter_sig_cout;
+wire [0:0] un2_toggle_counter_next_cout;
+wire GND ;
+wire toggle_sig_0_0_0_g1 ;
+wire un13_v_enablelto8 ;
+wire un5_v_enablelto7 ;
+wire un17_v_enablelto7 ;
+wire b_next_0_g0_5 ;
+wire toggle_sig_0_0_0_g1_2 ;
+wire un1_toggle_counter_siglto19 ;
+wire un1_toggle_counter_siglto19_5 ;
+wire un1_toggle_counter_siglto10 ;
+wire un1_toggle_counter_siglto7 ;
+wire b_next_0_g0_3 ;
+wire un9_v_enablelto9 ;
+wire un17_v_enablelto5 ;
+wire un5_v_enablelto5_0 ;
+wire un5_v_enablelto3 ;
+wire un17_v_enablelt2 ;
+wire un13_v_enablelto8_a ;
+wire un9_v_enablelto6 ;
+wire un1_toggle_counter_siglto19_4 ;
+wire un1_toggle_counter_siglto7_4 ;
+wire VCC ;
+wire toggle_sig_0_0_0_g1_i ;
+  assign VCC = 1'b1;
+//@1:1
+  assign GND = 1'b0;
+// @12:100
+  stratix_lcell toggle_counter_sig_24_ (
+       .regout(toggle_counter_sig_24),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_24_.operation_mode="normal";
+defparam toggle_counter_sig_24_.output_mode="reg_only";
+defparam toggle_counter_sig_24_.lut_mask="ff00";
+defparam toggle_counter_sig_24_.synch_mode="off";
+defparam toggle_counter_sig_24_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_23_ (
+       .regout(toggle_counter_sig_23),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_23_.operation_mode="normal";
+defparam toggle_counter_sig_23_.output_mode="reg_only";
+defparam toggle_counter_sig_23_.lut_mask="ff00";
+defparam toggle_counter_sig_23_.synch_mode="off";
+defparam toggle_counter_sig_23_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_22_ (
+       .regout(toggle_counter_sig_22),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_22_.operation_mode="normal";
+defparam toggle_counter_sig_22_.output_mode="reg_only";
+defparam toggle_counter_sig_22_.lut_mask="ff00";
+defparam toggle_counter_sig_22_.synch_mode="off";
+defparam toggle_counter_sig_22_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_21_ (
+       .regout(toggle_counter_sig_21),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_21_.operation_mode="normal";
+defparam toggle_counter_sig_21_.output_mode="reg_only";
+defparam toggle_counter_sig_21_.lut_mask="ff00";
+defparam toggle_counter_sig_21_.synch_mode="off";
+defparam toggle_counter_sig_21_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_20_ (
+       .regout(toggle_counter_sig_20),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_20_.operation_mode="normal";
+defparam toggle_counter_sig_20_.output_mode="reg_only";
+defparam toggle_counter_sig_20_.lut_mask="ff00";
+defparam toggle_counter_sig_20_.synch_mode="off";
+defparam toggle_counter_sig_20_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_19_ (
+       .regout(toggle_counter_sig_19),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_18),
+       .datab(toggle_counter_sig_19),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[17]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_19_.cin_used="true";
+defparam toggle_counter_sig_19_.operation_mode="normal";
+defparam toggle_counter_sig_19_.output_mode="reg_only";
+defparam toggle_counter_sig_19_.lut_mask="6c6c";
+defparam toggle_counter_sig_19_.synch_mode="on";
+defparam toggle_counter_sig_19_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_18_ (
+       .regout(toggle_counter_sig_18),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_18),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[16]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_18_.cin_used="true";
+defparam toggle_counter_sig_18_.operation_mode="normal";
+defparam toggle_counter_sig_18_.output_mode="reg_only";
+defparam toggle_counter_sig_18_.lut_mask="5a5a";
+defparam toggle_counter_sig_18_.synch_mode="on";
+defparam toggle_counter_sig_18_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_17_ (
+       .regout(toggle_counter_sig_17),
+       .cout(toggle_counter_sig_cout[17]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_16),
+       .datab(toggle_counter_sig_17),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[15]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_17_.cin_used="true";
+defparam toggle_counter_sig_17_.operation_mode="arithmetic";
+defparam toggle_counter_sig_17_.output_mode="reg_only";
+defparam toggle_counter_sig_17_.lut_mask="6c80";
+defparam toggle_counter_sig_17_.synch_mode="on";
+defparam toggle_counter_sig_17_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_16_ (
+       .regout(toggle_counter_sig_16),
+       .cout(toggle_counter_sig_cout[16]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_16),
+       .datab(toggle_counter_sig_17),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[14]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_16_.cin_used="true";
+defparam toggle_counter_sig_16_.operation_mode="arithmetic";
+defparam toggle_counter_sig_16_.output_mode="reg_only";
+defparam toggle_counter_sig_16_.lut_mask="5a80";
+defparam toggle_counter_sig_16_.synch_mode="on";
+defparam toggle_counter_sig_16_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_15_ (
+       .regout(toggle_counter_sig_15),
+       .cout(toggle_counter_sig_cout[15]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_14),
+       .datab(toggle_counter_sig_15),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[13]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_15_.cin_used="true";
+defparam toggle_counter_sig_15_.operation_mode="arithmetic";
+defparam toggle_counter_sig_15_.output_mode="reg_only";
+defparam toggle_counter_sig_15_.lut_mask="6c80";
+defparam toggle_counter_sig_15_.synch_mode="on";
+defparam toggle_counter_sig_15_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_14_ (
+       .regout(toggle_counter_sig_14),
+       .cout(toggle_counter_sig_cout[14]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_14),
+       .datab(toggle_counter_sig_15),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[12]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_14_.cin_used="true";
+defparam toggle_counter_sig_14_.operation_mode="arithmetic";
+defparam toggle_counter_sig_14_.output_mode="reg_only";
+defparam toggle_counter_sig_14_.lut_mask="5a80";
+defparam toggle_counter_sig_14_.synch_mode="on";
+defparam toggle_counter_sig_14_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_13_ (
+       .regout(toggle_counter_sig_13),
+       .cout(toggle_counter_sig_cout[13]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_12),
+       .datab(toggle_counter_sig_13),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[11]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_13_.cin_used="true";
+defparam toggle_counter_sig_13_.operation_mode="arithmetic";
+defparam toggle_counter_sig_13_.output_mode="reg_only";
+defparam toggle_counter_sig_13_.lut_mask="6c80";
+defparam toggle_counter_sig_13_.synch_mode="on";
+defparam toggle_counter_sig_13_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_12_ (
+       .regout(toggle_counter_sig_12),
+       .cout(toggle_counter_sig_cout[12]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_12),
+       .datab(toggle_counter_sig_13),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[10]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_12_.cin_used="true";
+defparam toggle_counter_sig_12_.operation_mode="arithmetic";
+defparam toggle_counter_sig_12_.output_mode="reg_only";
+defparam toggle_counter_sig_12_.lut_mask="5a80";
+defparam toggle_counter_sig_12_.synch_mode="on";
+defparam toggle_counter_sig_12_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_11_ (
+       .regout(toggle_counter_sig_11),
+       .cout(toggle_counter_sig_cout[11]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_10),
+       .datab(toggle_counter_sig_11),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[9]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_11_.cin_used="true";
+defparam toggle_counter_sig_11_.operation_mode="arithmetic";
+defparam toggle_counter_sig_11_.output_mode="reg_only";
+defparam toggle_counter_sig_11_.lut_mask="6c80";
+defparam toggle_counter_sig_11_.synch_mode="on";
+defparam toggle_counter_sig_11_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_10_ (
+       .regout(toggle_counter_sig_10),
+       .cout(toggle_counter_sig_cout[10]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_10),
+       .datab(toggle_counter_sig_11),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[8]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_10_.cin_used="true";
+defparam toggle_counter_sig_10_.operation_mode="arithmetic";
+defparam toggle_counter_sig_10_.output_mode="reg_only";
+defparam toggle_counter_sig_10_.lut_mask="5a80";
+defparam toggle_counter_sig_10_.synch_mode="on";
+defparam toggle_counter_sig_10_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_9_ (
+       .regout(toggle_counter_sig_9),
+       .cout(toggle_counter_sig_cout[9]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_8),
+       .datab(toggle_counter_sig_9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[7]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_9_.cin_used="true";
+defparam toggle_counter_sig_9_.operation_mode="arithmetic";
+defparam toggle_counter_sig_9_.output_mode="reg_only";
+defparam toggle_counter_sig_9_.lut_mask="6c80";
+defparam toggle_counter_sig_9_.synch_mode="on";
+defparam toggle_counter_sig_9_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_8_ (
+       .regout(toggle_counter_sig_8),
+       .cout(toggle_counter_sig_cout[8]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_8),
+       .datab(toggle_counter_sig_9),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[6]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_8_.cin_used="true";
+defparam toggle_counter_sig_8_.operation_mode="arithmetic";
+defparam toggle_counter_sig_8_.output_mode="reg_only";
+defparam toggle_counter_sig_8_.lut_mask="5a80";
+defparam toggle_counter_sig_8_.synch_mode="on";
+defparam toggle_counter_sig_8_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_7_ (
+       .regout(toggle_counter_sig_7),
+       .cout(toggle_counter_sig_cout[7]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_6),
+       .datab(toggle_counter_sig_7),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[5]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_7_.cin_used="true";
+defparam toggle_counter_sig_7_.operation_mode="arithmetic";
+defparam toggle_counter_sig_7_.output_mode="reg_only";
+defparam toggle_counter_sig_7_.lut_mask="6c80";
+defparam toggle_counter_sig_7_.synch_mode="on";
+defparam toggle_counter_sig_7_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_6_ (
+       .regout(toggle_counter_sig_6),
+       .cout(toggle_counter_sig_cout[6]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_6),
+       .datab(toggle_counter_sig_7),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[4]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_6_.cin_used="true";
+defparam toggle_counter_sig_6_.operation_mode="arithmetic";
+defparam toggle_counter_sig_6_.output_mode="reg_only";
+defparam toggle_counter_sig_6_.lut_mask="5a80";
+defparam toggle_counter_sig_6_.synch_mode="on";
+defparam toggle_counter_sig_6_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_5_ (
+       .regout(toggle_counter_sig_5),
+       .cout(toggle_counter_sig_cout[5]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_4),
+       .datab(toggle_counter_sig_5),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[3]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_5_.cin_used="true";
+defparam toggle_counter_sig_5_.operation_mode="arithmetic";
+defparam toggle_counter_sig_5_.output_mode="reg_only";
+defparam toggle_counter_sig_5_.lut_mask="6c80";
+defparam toggle_counter_sig_5_.synch_mode="on";
+defparam toggle_counter_sig_5_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_4_ (
+       .regout(toggle_counter_sig_4),
+       .cout(toggle_counter_sig_cout[4]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_4),
+       .datab(toggle_counter_sig_5),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[2]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_4_.cin_used="true";
+defparam toggle_counter_sig_4_.operation_mode="arithmetic";
+defparam toggle_counter_sig_4_.output_mode="reg_only";
+defparam toggle_counter_sig_4_.lut_mask="5a80";
+defparam toggle_counter_sig_4_.synch_mode="on";
+defparam toggle_counter_sig_4_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_3_ (
+       .regout(toggle_counter_sig_3),
+       .cout(toggle_counter_sig_cout[3]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_2),
+       .datab(toggle_counter_sig_3),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(toggle_counter_sig_cout[1]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_3_.cin_used="true";
+defparam toggle_counter_sig_3_.operation_mode="arithmetic";
+defparam toggle_counter_sig_3_.output_mode="reg_only";
+defparam toggle_counter_sig_3_.lut_mask="6c80";
+defparam toggle_counter_sig_3_.synch_mode="on";
+defparam toggle_counter_sig_3_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_2_ (
+       .regout(toggle_counter_sig_2),
+       .cout(toggle_counter_sig_cout[2]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_2),
+       .datab(toggle_counter_sig_3),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .cin(un2_toggle_counter_next_cout[0]),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_2_.cin_used="true";
+defparam toggle_counter_sig_2_.operation_mode="arithmetic";
+defparam toggle_counter_sig_2_.output_mode="reg_only";
+defparam toggle_counter_sig_2_.lut_mask="5a80";
+defparam toggle_counter_sig_2_.synch_mode="on";
+defparam toggle_counter_sig_2_.sum_lutc_input="cin";
+// @12:100
+  stratix_lcell toggle_counter_sig_1_ (
+       .regout(toggle_counter_sig_1),
+       .cout(toggle_counter_sig_cout[1]),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_0),
+       .datab(toggle_counter_sig_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_1_.operation_mode="arithmetic";
+defparam toggle_counter_sig_1_.output_mode="reg_only";
+defparam toggle_counter_sig_1_.lut_mask="6688";
+defparam toggle_counter_sig_1_.synch_mode="on";
+defparam toggle_counter_sig_1_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_counter_sig_0_ (
+       .regout(toggle_counter_sig_0),
+       .clk(clk_pin_c),
+       .dataa(toggle_counter_sig_0),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(toggle_sig_0_0_0_g1_i),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_counter_sig_0_.operation_mode="normal";
+defparam toggle_counter_sig_0_.output_mode="reg_only";
+defparam toggle_counter_sig_0_.lut_mask="5555";
+defparam toggle_counter_sig_0_.synch_mode="on";
+defparam toggle_counter_sig_0_.sum_lutc_input="datac";
+// @12:100
+  stratix_lcell toggle_sig_Z (
+       .regout(toggle_sig),
+       .clk(clk_pin_c),
+       .dataa(toggle_sig),
+       .datab(toggle_sig_0_0_0_g1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_sig_Z.operation_mode="normal";
+defparam toggle_sig_Z.output_mode="reg_only";
+defparam toggle_sig_Z.lut_mask="9999";
+defparam toggle_sig_Z.synch_mode="off";
+defparam toggle_sig_Z.sum_lutc_input="datac";
+// @12:61
+  stratix_lcell b_Z (
+       .regout(b),
+       .clk(clk_pin_c),
+       .dataa(un13_v_enablelto8),
+       .datab(un5_v_enablelto7),
+       .datac(un17_v_enablelto7),
+       .datad(b_next_0_g0_5),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam b_Z.operation_mode="normal";
+defparam b_Z.output_mode="reg_only";
+defparam b_Z.lut_mask="0100";
+defparam b_Z.synch_mode="off";
+defparam b_Z.sum_lutc_input="datac";
+// @12:61
+  stratix_lcell r_Z (
+       .regout(r),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam r_Z.operation_mode="normal";
+defparam r_Z.output_mode="reg_only";
+defparam r_Z.lut_mask="ff00";
+defparam r_Z.synch_mode="off";
+defparam r_Z.sum_lutc_input="datac";
+// @12:61
+  stratix_lcell g_Z (
+       .regout(g),
+       .clk(clk_pin_c),
+       .dataa(VCC),
+       .datab(VCC),
+       .datac(VCC),
+       .datad(GND),
+       .aclr(un6_dly_counter_0_x),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam g_Z.operation_mode="normal";
+defparam g_Z.output_mode="reg_only";
+defparam g_Z.lut_mask="ff00";
+defparam g_Z.synch_mode="off";
+defparam g_Z.sum_lutc_input="datac";
+  stratix_lcell toggle_sig_0_0_0_g1_cZ (
+       .combout(toggle_sig_0_0_0_g1),
+       .clk(GND),
+       .dataa(toggle_counter_sig_20),
+       .datab(toggle_counter_sig_21),
+       .datac(toggle_sig_0_0_0_g1_2),
+       .datad(un1_toggle_counter_siglto19),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_sig_0_0_0_g1_cZ.operation_mode="normal";
+defparam toggle_sig_0_0_0_g1_cZ.output_mode="comb_only";
+defparam toggle_sig_0_0_0_g1_cZ.lut_mask="0100";
+defparam toggle_sig_0_0_0_g1_cZ.synch_mode="off";
+defparam toggle_sig_0_0_0_g1_cZ.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto19 (
+       .combout(un1_toggle_counter_siglto19),
+       .clk(GND),
+       .dataa(toggle_counter_sig_11),
+       .datab(toggle_counter_sig_12),
+       .datac(un1_toggle_counter_siglto19_5),
+       .datad(un1_toggle_counter_siglto10),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto19.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto19.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto19.lut_mask="f1f0";
+defparam BLINKER_next_un1_toggle_counter_siglto19.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto19.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto10 (
+       .combout(un1_toggle_counter_siglto10),
+       .clk(GND),
+       .dataa(toggle_counter_sig_8),
+       .datab(toggle_counter_sig_9),
+       .datac(toggle_counter_sig_10),
+       .datad(un1_toggle_counter_siglto7),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto10.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto10.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto10.lut_mask="3f1f";
+defparam BLINKER_next_un1_toggle_counter_siglto10.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto10.sum_lutc_input="datac";
+  stratix_lcell b_next_0_g0_5_cZ (
+       .combout(b_next_0_g0_5),
+       .clk(GND),
+       .dataa(h_enable_sig),
+       .datab(toggle_sig),
+       .datac(b_next_0_g0_3),
+       .datad(un9_v_enablelto9),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam b_next_0_g0_5_cZ.operation_mode="normal";
+defparam b_next_0_g0_5_cZ.output_mode="comb_only";
+defparam b_next_0_g0_5_cZ.lut_mask="0080";
+defparam b_next_0_g0_5_cZ.synch_mode="off";
+defparam b_next_0_g0_5_cZ.sum_lutc_input="datac";
+// @12:77
+  stratix_lcell DRAW_SQUARE_next_un17_v_enablelto7 (
+       .combout(un17_v_enablelto7),
+       .clk(GND),
+       .dataa(line_counter_sig_6),
+       .datab(line_counter_sig_7),
+       .datac(un17_v_enablelto5),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un17_v_enablelto7.operation_mode="normal";
+defparam DRAW_SQUARE_next_un17_v_enablelto7.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un17_v_enablelto7.lut_mask="8080";
+defparam DRAW_SQUARE_next_un17_v_enablelto7.synch_mode="off";
+defparam DRAW_SQUARE_next_un17_v_enablelto7.sum_lutc_input="datac";
+// @12:76
+  stratix_lcell DRAW_SQUARE_next_un5_v_enablelto7 (
+       .combout(un5_v_enablelto7),
+       .clk(GND),
+       .dataa(column_counter_sig_6),
+       .datab(column_counter_sig_7),
+       .datac(un5_v_enablelto5_0),
+       .datad(un5_v_enablelto3),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un5_v_enablelto7.operation_mode="normal";
+defparam DRAW_SQUARE_next_un5_v_enablelto7.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un5_v_enablelto7.lut_mask="8880";
+defparam DRAW_SQUARE_next_un5_v_enablelto7.synch_mode="off";
+defparam DRAW_SQUARE_next_un5_v_enablelto7.sum_lutc_input="datac";
+// @12:77
+  stratix_lcell DRAW_SQUARE_next_un17_v_enablelto5 (
+       .combout(un17_v_enablelto5),
+       .clk(GND),
+       .dataa(line_counter_sig_4),
+       .datab(line_counter_sig_5),
+       .datac(line_counter_sig_3),
+       .datad(un17_v_enablelt2),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un17_v_enablelto5.operation_mode="normal";
+defparam DRAW_SQUARE_next_un17_v_enablelto5.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un17_v_enablelto5.lut_mask="feee";
+defparam DRAW_SQUARE_next_un17_v_enablelto5.synch_mode="off";
+defparam DRAW_SQUARE_next_un17_v_enablelto5.sum_lutc_input="datac";
+// @12:77
+  stratix_lcell DRAW_SQUARE_next_un13_v_enablelto8 (
+       .combout(un13_v_enablelto8),
+       .clk(GND),
+       .dataa(line_counter_sig_8),
+       .datab(line_counter_sig_7),
+       .datac(line_counter_sig_6),
+       .datad(un13_v_enablelto8_a),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un13_v_enablelto8.operation_mode="normal";
+defparam DRAW_SQUARE_next_un13_v_enablelto8.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un13_v_enablelto8.lut_mask="1101";
+defparam DRAW_SQUARE_next_un13_v_enablelto8.synch_mode="off";
+defparam DRAW_SQUARE_next_un13_v_enablelto8.sum_lutc_input="datac";
+// @12:77
+  stratix_lcell DRAW_SQUARE_next_un13_v_enablelto8_a (
+       .combout(un13_v_enablelto8_a),
+       .clk(GND),
+       .dataa(line_counter_sig_2),
+       .datab(line_counter_sig_4),
+       .datac(line_counter_sig_3),
+       .datad(line_counter_sig_5),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un13_v_enablelto8_a.operation_mode="normal";
+defparam DRAW_SQUARE_next_un13_v_enablelto8_a.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un13_v_enablelto8_a.lut_mask="01ff";
+defparam DRAW_SQUARE_next_un13_v_enablelto8_a.synch_mode="off";
+defparam DRAW_SQUARE_next_un13_v_enablelto8_a.sum_lutc_input="datac";
+// @12:76
+  stratix_lcell DRAW_SQUARE_next_un9_v_enablelto9 (
+       .combout(un9_v_enablelto9),
+       .clk(GND),
+       .dataa(column_counter_sig_7),
+       .datab(column_counter_sig_8),
+       .datac(column_counter_sig_9),
+       .datad(un9_v_enablelto6),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un9_v_enablelto9.operation_mode="normal";
+defparam DRAW_SQUARE_next_un9_v_enablelto9.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un9_v_enablelto9.lut_mask="0100";
+defparam DRAW_SQUARE_next_un9_v_enablelto9.synch_mode="off";
+defparam DRAW_SQUARE_next_un9_v_enablelto9.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto19_5 (
+       .combout(un1_toggle_counter_siglto19_5),
+       .clk(GND),
+       .dataa(toggle_counter_sig_13),
+       .datab(toggle_counter_sig_14),
+       .datac(toggle_counter_sig_15),
+       .datad(un1_toggle_counter_siglto19_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto19_5.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto19_5.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto19_5.lut_mask="ff7f";
+defparam BLINKER_next_un1_toggle_counter_siglto19_5.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto19_5.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto7 (
+       .combout(un1_toggle_counter_siglto7),
+       .clk(GND),
+       .dataa(toggle_counter_sig_2),
+       .datab(toggle_counter_sig_3),
+       .datac(toggle_counter_sig_4),
+       .datad(un1_toggle_counter_siglto7_4),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto7.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto7.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto7.lut_mask="0100";
+defparam BLINKER_next_un1_toggle_counter_siglto7.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto7.sum_lutc_input="datac";
+// @12:76
+  stratix_lcell DRAW_SQUARE_next_un9_v_enablelto6 (
+       .combout(un9_v_enablelto6),
+       .clk(GND),
+       .dataa(column_counter_sig_2),
+       .datab(column_counter_sig_4),
+       .datac(column_counter_sig_3),
+       .datad(un10_column_counter_siglt6_1),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un9_v_enablelto6.operation_mode="normal";
+defparam DRAW_SQUARE_next_un9_v_enablelto6.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un9_v_enablelto6.lut_mask="ff01";
+defparam DRAW_SQUARE_next_un9_v_enablelto6.synch_mode="off";
+defparam DRAW_SQUARE_next_un9_v_enablelto6.sum_lutc_input="datac";
+// @12:76
+  stratix_lcell DRAW_SQUARE_next_un5_v_enablelto3 (
+       .combout(un5_v_enablelto3),
+       .clk(GND),
+       .dataa(column_counter_sig_1),
+       .datab(column_counter_sig_2),
+       .datac(column_counter_sig_0),
+       .datad(column_counter_sig_3),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un5_v_enablelto3.operation_mode="normal";
+defparam DRAW_SQUARE_next_un5_v_enablelto3.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un5_v_enablelto3.lut_mask="fe00";
+defparam DRAW_SQUARE_next_un5_v_enablelto3.synch_mode="off";
+defparam DRAW_SQUARE_next_un5_v_enablelto3.sum_lutc_input="datac";
+  stratix_lcell toggle_sig_0_0_0_g1_2_cZ (
+       .combout(toggle_sig_0_0_0_g1_2),
+       .clk(GND),
+       .dataa(toggle_counter_sig_22),
+       .datab(toggle_counter_sig_23),
+       .datac(toggle_counter_sig_24),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam toggle_sig_0_0_0_g1_2_cZ.operation_mode="normal";
+defparam toggle_sig_0_0_0_g1_2_cZ.output_mode="comb_only";
+defparam toggle_sig_0_0_0_g1_2_cZ.lut_mask="fefe";
+defparam toggle_sig_0_0_0_g1_2_cZ.synch_mode="off";
+defparam toggle_sig_0_0_0_g1_2_cZ.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto19_4 (
+       .combout(un1_toggle_counter_siglto19_4),
+       .clk(GND),
+       .dataa(toggle_counter_sig_16),
+       .datab(toggle_counter_sig_17),
+       .datac(toggle_counter_sig_18),
+       .datad(toggle_counter_sig_19),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto19_4.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto19_4.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto19_4.lut_mask="7fff";
+defparam BLINKER_next_un1_toggle_counter_siglto19_4.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto19_4.sum_lutc_input="datac";
+  stratix_lcell b_next_0_g0_3_cZ (
+       .combout(b_next_0_g0_3),
+       .clk(GND),
+       .dataa(line_counter_sig_8),
+       .datab(v_enable_sig),
+       .datac(column_counter_sig_8),
+       .datad(column_counter_sig_9),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam b_next_0_g0_3_cZ.operation_mode="normal";
+defparam b_next_0_g0_3_cZ.output_mode="comb_only";
+defparam b_next_0_g0_3_cZ.lut_mask="0004";
+defparam b_next_0_g0_3_cZ.synch_mode="off";
+defparam b_next_0_g0_3_cZ.sum_lutc_input="datac";
+// @12:112
+  stratix_lcell BLINKER_next_un1_toggle_counter_siglto7_4 (
+       .combout(un1_toggle_counter_siglto7_4),
+       .clk(GND),
+       .dataa(toggle_counter_sig_1),
+       .datab(toggle_counter_sig_5),
+       .datac(toggle_counter_sig_6),
+       .datad(toggle_counter_sig_7),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam BLINKER_next_un1_toggle_counter_siglto7_4.operation_mode="normal";
+defparam BLINKER_next_un1_toggle_counter_siglto7_4.output_mode="comb_only";
+defparam BLINKER_next_un1_toggle_counter_siglto7_4.lut_mask="0001";
+defparam BLINKER_next_un1_toggle_counter_siglto7_4.synch_mode="off";
+defparam BLINKER_next_un1_toggle_counter_siglto7_4.sum_lutc_input="datac";
+// @12:77
+  stratix_lcell DRAW_SQUARE_next_un17_v_enablelt2 (
+       .combout(un17_v_enablelt2),
+       .clk(GND),
+       .dataa(line_counter_sig_1),
+       .datab(line_counter_sig_2),
+       .datac(line_counter_sig_0),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un17_v_enablelt2.operation_mode="normal";
+defparam DRAW_SQUARE_next_un17_v_enablelt2.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un17_v_enablelt2.lut_mask="fefe";
+defparam DRAW_SQUARE_next_un17_v_enablelt2.synch_mode="off";
+defparam DRAW_SQUARE_next_un17_v_enablelt2.sum_lutc_input="datac";
+// @12:76
+  stratix_lcell DRAW_SQUARE_next_un5_v_enablelto5_0 (
+       .combout(un5_v_enablelto5_0),
+       .clk(GND),
+       .dataa(column_counter_sig_5),
+       .datab(column_counter_sig_4),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam DRAW_SQUARE_next_un5_v_enablelto5_0.operation_mode="normal";
+defparam DRAW_SQUARE_next_un5_v_enablelto5_0.output_mode="comb_only";
+defparam DRAW_SQUARE_next_un5_v_enablelto5_0.lut_mask="eeee";
+defparam DRAW_SQUARE_next_un5_v_enablelto5_0.synch_mode="off";
+defparam DRAW_SQUARE_next_un5_v_enablelto5_0.sum_lutc_input="datac";
+// @12:116
+  stratix_lcell un2_toggle_counter_next_0_ (
+       .cout(un2_toggle_counter_next_cout[0]),
+       .clk(GND),
+       .dataa(toggle_counter_sig_0),
+       .datab(toggle_counter_sig_1),
+       .datac(VCC),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam un2_toggle_counter_next_0_.operation_mode="arithmetic";
+defparam un2_toggle_counter_next_0_.output_mode="comb_only";
+defparam un2_toggle_counter_next_0_.lut_mask="5588";
+defparam un2_toggle_counter_next_0_.synch_mode="off";
+defparam un2_toggle_counter_next_0_.sum_lutc_input="datac";
+  assign  toggle_sig_0_0_0_g1_i = ~ toggle_sig_0_0_0_g1;
+endmodule /* vga_control */
+
+// VQM4.1+ 
+module vga (
+  clk_pin,
+  reset_pin,
+  r0_pin,
+  r1_pin,
+  r2_pin,
+  g0_pin,
+  g1_pin,
+  g2_pin,
+  b0_pin,
+  b1_pin,
+  hsync_pin,
+  vsync_pin,
+  seven_seg_pin,
+  d_hsync,
+  d_vsync,
+  d_column_counter,
+  d_line_counter,
+  d_set_column_counter,
+  d_set_line_counter,
+  d_hsync_counter,
+  d_vsync_counter,
+  d_set_hsync_counter,
+  d_set_vsync_counter,
+  d_h_enable,
+  d_v_enable,
+  d_r,
+  d_g,
+  d_b,
+  d_hsync_state,
+  d_vsync_state,
+  d_state_clk,
+  d_toggle,
+  d_toggle_counter
+)
+;
+input clk_pin ;
+input reset_pin ;
+output r0_pin ;
+output r1_pin ;
+output r2_pin ;
+output g0_pin ;
+output g1_pin ;
+output g2_pin ;
+output b0_pin ;
+output b1_pin ;
+output hsync_pin ;
+output vsync_pin ;
+output [13:0] seven_seg_pin ;
+output d_hsync ;
+output d_vsync ;
+output [9:0] d_column_counter ;
+output [8:0] d_line_counter ;
+output d_set_column_counter ;
+output d_set_line_counter ;
+output [9:0] d_hsync_counter ;
+output [9:0] d_vsync_counter ;
+output d_set_hsync_counter ;
+output d_set_vsync_counter ;
+output d_h_enable ;
+output d_v_enable ;
+output d_r ;
+output d_g ;
+output d_b ;
+output [0:6] d_hsync_state ;
+output [0:6] d_vsync_state ;
+output d_state_clk ;
+output d_toggle ;
+output [24:0] d_toggle_counter ;
+wire clk_pin ;
+wire reset_pin ;
+wire r0_pin ;
+wire r1_pin ;
+wire r2_pin ;
+wire g0_pin ;
+wire g1_pin ;
+wire g2_pin ;
+wire b0_pin ;
+wire b1_pin ;
+wire hsync_pin ;
+wire vsync_pin ;
+wire d_hsync ;
+wire d_vsync ;
+wire d_set_column_counter ;
+wire d_set_line_counter ;
+wire d_set_hsync_counter ;
+wire d_set_vsync_counter ;
+wire d_h_enable ;
+wire d_v_enable ;
+wire d_r ;
+wire d_g ;
+wire d_b ;
+wire d_state_clk ;
+wire d_toggle ;
+wire [1:0] dly_counter;
+wire [9:0] vga_driver_unit_column_counter_sig;
+wire [8:0] vga_driver_unit_line_counter_sig;
+wire [9:0] vga_driver_unit_hsync_counter;
+wire [9:0] vga_driver_unit_vsync_counter;
+wire [6:0] vga_driver_unit_hsync_state;
+wire [6:0] vga_driver_unit_vsync_state;
+wire [24:0] vga_control_unit_toggle_counter_sig;
+wire VCC ;
+wire GND ;
+wire vga_driver_unit_COLUMN_COUNT_next_un10_column_counter_siglt6_1 ;
+wire DELAY_RESET_next_un6_dly_counter_0_x ;
+wire vga_driver_unit_h_sync ;
+wire vga_driver_unit_v_sync ;
+wire vga_driver_unit_d_set_hsync_counter ;
+wire vga_driver_unit_d_set_vsync_counter ;
+wire vga_driver_unit_h_enable_sig ;
+wire vga_driver_unit_v_enable_sig ;
+wire vga_control_unit_r ;
+wire vga_control_unit_g ;
+wire vga_control_unit_b ;
+wire G_33 ;
+wire vga_control_unit_toggle_sig ;
+wire reset_pin_c ;
+//@1:1
+  assign VCC = 1'b1;
+//@1:1
+  assign GND = 1'b0;
+// @10:113
+  stratix_lcell dly_counter_1_ (
+       .regout(dly_counter[1]),
+       .clk(G_33),
+       .dataa(reset_pin_c),
+       .datab(dly_counter[0]),
+       .datac(dly_counter[1]),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam dly_counter_1_.operation_mode="normal";
+defparam dly_counter_1_.output_mode="reg_only";
+defparam dly_counter_1_.lut_mask="a8a8";
+defparam dly_counter_1_.synch_mode="off";
+defparam dly_counter_1_.sum_lutc_input="datac";
+// @10:113
+  stratix_lcell dly_counter_0_ (
+       .regout(dly_counter[0]),
+       .clk(G_33),
+       .dataa(reset_pin_c),
+       .datab(dly_counter[0]),
+       .datac(dly_counter[1]),
+       .datad(VCC),
+       .aclr(GND),
+       .sclr(GND),
+       .sload(GND),
+       .ena(VCC),
+       .inverta(GND),
+       .aload(GND),
+       .regcascin(GND)
+);
+defparam dly_counter_0_.operation_mode="normal";
+defparam dly_counter_0_.output_mode="reg_only";
+defparam dly_counter_0_.lut_mask="a2a2";
+defparam dly_counter_0_.synch_mode="off";
+defparam dly_counter_0_.sum_lutc_input="datac";
+// @6:43
+  stratix_io reset_pin_in (
+       .padio(reset_pin),
+       .combout(reset_pin_c),
+       .datain(GND),
+       .oe(GND),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam reset_pin_in.operation_mode = "input";
+// @6:42
+  stratix_io clk_pin_in (
+       .padio(clk_pin),
+       .combout(G_33),
+       .datain(GND),
+       .oe(GND),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam clk_pin_in.operation_mode = "input";
+// @6:67
+  stratix_io d_toggle_counter_out_24_ (
+       .padio(d_toggle_counter[24]),
+       .datain(vga_control_unit_toggle_counter_sig[24]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_24_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_23_ (
+       .padio(d_toggle_counter[23]),
+       .datain(vga_control_unit_toggle_counter_sig[23]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_23_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_22_ (
+       .padio(d_toggle_counter[22]),
+       .datain(vga_control_unit_toggle_counter_sig[22]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_22_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_21_ (
+       .padio(d_toggle_counter[21]),
+       .datain(vga_control_unit_toggle_counter_sig[21]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_21_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_20_ (
+       .padio(d_toggle_counter[20]),
+       .datain(vga_control_unit_toggle_counter_sig[20]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_20_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_19_ (
+       .padio(d_toggle_counter[19]),
+       .datain(vga_control_unit_toggle_counter_sig[19]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_19_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_18_ (
+       .padio(d_toggle_counter[18]),
+       .datain(vga_control_unit_toggle_counter_sig[18]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_18_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_17_ (
+       .padio(d_toggle_counter[17]),
+       .datain(vga_control_unit_toggle_counter_sig[17]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_17_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_16_ (
+       .padio(d_toggle_counter[16]),
+       .datain(vga_control_unit_toggle_counter_sig[16]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_16_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_15_ (
+       .padio(d_toggle_counter[15]),
+       .datain(vga_control_unit_toggle_counter_sig[15]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_15_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_14_ (
+       .padio(d_toggle_counter[14]),
+       .datain(vga_control_unit_toggle_counter_sig[14]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_14_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_13_ (
+       .padio(d_toggle_counter[13]),
+       .datain(vga_control_unit_toggle_counter_sig[13]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_13_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_12_ (
+       .padio(d_toggle_counter[12]),
+       .datain(vga_control_unit_toggle_counter_sig[12]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_12_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_11_ (
+       .padio(d_toggle_counter[11]),
+       .datain(vga_control_unit_toggle_counter_sig[11]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_11_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_10_ (
+       .padio(d_toggle_counter[10]),
+       .datain(vga_control_unit_toggle_counter_sig[10]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_10_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_9_ (
+       .padio(d_toggle_counter[9]),
+       .datain(vga_control_unit_toggle_counter_sig[9]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_9_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_8_ (
+       .padio(d_toggle_counter[8]),
+       .datain(vga_control_unit_toggle_counter_sig[8]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_8_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_7_ (
+       .padio(d_toggle_counter[7]),
+       .datain(vga_control_unit_toggle_counter_sig[7]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_7_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_6_ (
+       .padio(d_toggle_counter[6]),
+       .datain(vga_control_unit_toggle_counter_sig[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_6_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_5_ (
+       .padio(d_toggle_counter[5]),
+       .datain(vga_control_unit_toggle_counter_sig[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_5_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_4_ (
+       .padio(d_toggle_counter[4]),
+       .datain(vga_control_unit_toggle_counter_sig[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_4_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_3_ (
+       .padio(d_toggle_counter[3]),
+       .datain(vga_control_unit_toggle_counter_sig[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_3_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_2_ (
+       .padio(d_toggle_counter[2]),
+       .datain(vga_control_unit_toggle_counter_sig[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_2_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_1_ (
+       .padio(d_toggle_counter[1]),
+       .datain(vga_control_unit_toggle_counter_sig[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_1_.operation_mode = "output";
+// @6:67
+  stratix_io d_toggle_counter_out_0_ (
+       .padio(d_toggle_counter[0]),
+       .datain(vga_control_unit_toggle_counter_sig[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_counter_out_0_.operation_mode = "output";
+// @6:66
+  stratix_io d_toggle_out (
+       .padio(d_toggle),
+       .datain(vga_control_unit_toggle_sig),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_toggle_out.operation_mode = "output";
+// @6:65
+  stratix_io d_state_clk_out (
+       .padio(d_state_clk),
+       .datain(G_33),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_state_clk_out.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_0_ (
+       .padio(d_vsync_state[0]),
+       .datain(vga_driver_unit_vsync_state[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_0_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_1_ (
+       .padio(d_vsync_state[1]),
+       .datain(vga_driver_unit_vsync_state[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_1_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_2_ (
+       .padio(d_vsync_state[2]),
+       .datain(vga_driver_unit_vsync_state[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_2_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_3_ (
+       .padio(d_vsync_state[3]),
+       .datain(vga_driver_unit_vsync_state[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_3_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_4_ (
+       .padio(d_vsync_state[4]),
+       .datain(vga_driver_unit_vsync_state[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_4_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_5_ (
+       .padio(d_vsync_state[5]),
+       .datain(vga_driver_unit_vsync_state[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_5_.operation_mode = "output";
+// @6:64
+  stratix_io d_vsync_state_out_6_ (
+       .padio(d_vsync_state[6]),
+       .datain(vga_driver_unit_vsync_state[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_state_out_6_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_0_ (
+       .padio(d_hsync_state[0]),
+       .datain(vga_driver_unit_hsync_state[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_0_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_1_ (
+       .padio(d_hsync_state[1]),
+       .datain(vga_driver_unit_hsync_state[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_1_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_2_ (
+       .padio(d_hsync_state[2]),
+       .datain(vga_driver_unit_hsync_state[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_2_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_3_ (
+       .padio(d_hsync_state[3]),
+       .datain(vga_driver_unit_hsync_state[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_3_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_4_ (
+       .padio(d_hsync_state[4]),
+       .datain(vga_driver_unit_hsync_state[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_4_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_5_ (
+       .padio(d_hsync_state[5]),
+       .datain(vga_driver_unit_hsync_state[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_5_.operation_mode = "output";
+// @6:63
+  stratix_io d_hsync_state_out_6_ (
+       .padio(d_hsync_state[6]),
+       .datain(vga_driver_unit_hsync_state[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_state_out_6_.operation_mode = "output";
+// @6:62
+  stratix_io d_b_out (
+       .padio(d_b),
+       .datain(vga_control_unit_b),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_b_out.operation_mode = "output";
+// @6:62
+  stratix_io d_g_out (
+       .padio(d_g),
+       .datain(vga_control_unit_g),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_g_out.operation_mode = "output";
+// @6:62
+  stratix_io d_r_out (
+       .padio(d_r),
+       .datain(vga_control_unit_r),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_r_out.operation_mode = "output";
+// @6:61
+  stratix_io d_v_enable_out (
+       .padio(d_v_enable),
+       .datain(vga_driver_unit_v_enable_sig),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_v_enable_out.operation_mode = "output";
+// @6:60
+  stratix_io d_h_enable_out (
+       .padio(d_h_enable),
+       .datain(vga_driver_unit_h_enable_sig),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_h_enable_out.operation_mode = "output";
+// @6:59
+  stratix_io d_set_vsync_counter_out (
+       .padio(d_set_vsync_counter),
+       .datain(vga_driver_unit_d_set_vsync_counter),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_set_vsync_counter_out.operation_mode = "output";
+// @6:59
+  stratix_io d_set_hsync_counter_out (
+       .padio(d_set_hsync_counter),
+       .datain(vga_driver_unit_d_set_hsync_counter),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_set_hsync_counter_out.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_9_ (
+       .padio(d_vsync_counter[9]),
+       .datain(vga_driver_unit_vsync_counter[9]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_9_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_8_ (
+       .padio(d_vsync_counter[8]),
+       .datain(vga_driver_unit_vsync_counter[8]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_8_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_7_ (
+       .padio(d_vsync_counter[7]),
+       .datain(vga_driver_unit_vsync_counter[7]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_7_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_6_ (
+       .padio(d_vsync_counter[6]),
+       .datain(vga_driver_unit_vsync_counter[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_6_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_5_ (
+       .padio(d_vsync_counter[5]),
+       .datain(vga_driver_unit_vsync_counter[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_5_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_4_ (
+       .padio(d_vsync_counter[4]),
+       .datain(vga_driver_unit_vsync_counter[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_4_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_3_ (
+       .padio(d_vsync_counter[3]),
+       .datain(vga_driver_unit_vsync_counter[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_3_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_2_ (
+       .padio(d_vsync_counter[2]),
+       .datain(vga_driver_unit_vsync_counter[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_2_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_1_ (
+       .padio(d_vsync_counter[1]),
+       .datain(vga_driver_unit_vsync_counter[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_1_.operation_mode = "output";
+// @6:58
+  stratix_io d_vsync_counter_out_0_ (
+       .padio(d_vsync_counter[0]),
+       .datain(vga_driver_unit_vsync_counter[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_counter_out_0_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_9_ (
+       .padio(d_hsync_counter[9]),
+       .datain(vga_driver_unit_hsync_counter[9]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_9_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_8_ (
+       .padio(d_hsync_counter[8]),
+       .datain(vga_driver_unit_hsync_counter[8]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_8_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_7_ (
+       .padio(d_hsync_counter[7]),
+       .datain(vga_driver_unit_hsync_counter[7]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_7_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_6_ (
+       .padio(d_hsync_counter[6]),
+       .datain(vga_driver_unit_hsync_counter[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_6_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_5_ (
+       .padio(d_hsync_counter[5]),
+       .datain(vga_driver_unit_hsync_counter[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_5_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_4_ (
+       .padio(d_hsync_counter[4]),
+       .datain(vga_driver_unit_hsync_counter[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_4_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_3_ (
+       .padio(d_hsync_counter[3]),
+       .datain(vga_driver_unit_hsync_counter[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_3_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_2_ (
+       .padio(d_hsync_counter[2]),
+       .datain(vga_driver_unit_hsync_counter[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_2_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_1_ (
+       .padio(d_hsync_counter[1]),
+       .datain(vga_driver_unit_hsync_counter[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_1_.operation_mode = "output";
+// @6:57
+  stratix_io d_hsync_counter_out_0_ (
+       .padio(d_hsync_counter[0]),
+       .datain(vga_driver_unit_hsync_counter[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_counter_out_0_.operation_mode = "output";
+// @6:56
+  stratix_io d_set_line_counter_out (
+       .padio(d_set_line_counter),
+       .datain(vga_driver_unit_vsync_state[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_set_line_counter_out.operation_mode = "output";
+// @6:56
+  stratix_io d_set_column_counter_out (
+       .padio(d_set_column_counter),
+       .datain(vga_driver_unit_hsync_state[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_set_column_counter_out.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_8_ (
+       .padio(d_line_counter[8]),
+       .datain(vga_driver_unit_line_counter_sig[8]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_8_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_7_ (
+       .padio(d_line_counter[7]),
+       .datain(vga_driver_unit_line_counter_sig[7]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_7_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_6_ (
+       .padio(d_line_counter[6]),
+       .datain(vga_driver_unit_line_counter_sig[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_6_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_5_ (
+       .padio(d_line_counter[5]),
+       .datain(vga_driver_unit_line_counter_sig[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_5_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_4_ (
+       .padio(d_line_counter[4]),
+       .datain(vga_driver_unit_line_counter_sig[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_4_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_3_ (
+       .padio(d_line_counter[3]),
+       .datain(vga_driver_unit_line_counter_sig[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_3_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_2_ (
+       .padio(d_line_counter[2]),
+       .datain(vga_driver_unit_line_counter_sig[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_2_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_1_ (
+       .padio(d_line_counter[1]),
+       .datain(vga_driver_unit_line_counter_sig[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_1_.operation_mode = "output";
+// @6:55
+  stratix_io d_line_counter_out_0_ (
+       .padio(d_line_counter[0]),
+       .datain(vga_driver_unit_line_counter_sig[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_line_counter_out_0_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_9_ (
+       .padio(d_column_counter[9]),
+       .datain(vga_driver_unit_column_counter_sig[9]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_9_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_8_ (
+       .padio(d_column_counter[8]),
+       .datain(vga_driver_unit_column_counter_sig[8]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_8_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_7_ (
+       .padio(d_column_counter[7]),
+       .datain(vga_driver_unit_column_counter_sig[7]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_7_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_6_ (
+       .padio(d_column_counter[6]),
+       .datain(vga_driver_unit_column_counter_sig[6]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_6_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_5_ (
+       .padio(d_column_counter[5]),
+       .datain(vga_driver_unit_column_counter_sig[5]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_5_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_4_ (
+       .padio(d_column_counter[4]),
+       .datain(vga_driver_unit_column_counter_sig[4]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_4_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_3_ (
+       .padio(d_column_counter[3]),
+       .datain(vga_driver_unit_column_counter_sig[3]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_3_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_2_ (
+       .padio(d_column_counter[2]),
+       .datain(vga_driver_unit_column_counter_sig[2]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_2_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_1_ (
+       .padio(d_column_counter[1]),
+       .datain(vga_driver_unit_column_counter_sig[1]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_1_.operation_mode = "output";
+// @6:54
+  stratix_io d_column_counter_out_0_ (
+       .padio(d_column_counter[0]),
+       .datain(vga_driver_unit_column_counter_sig[0]),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_column_counter_out_0_.operation_mode = "output";
+// @6:53
+  stratix_io d_vsync_out (
+       .padio(d_vsync),
+       .datain(vga_driver_unit_v_sync),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_vsync_out.operation_mode = "output";
+// @6:53
+  stratix_io d_hsync_out (
+       .padio(d_hsync),
+       .datain(vga_driver_unit_h_sync),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam d_hsync_out.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_13_ (
+       .padio(seven_seg_pin[13]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_13_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_12_ (
+       .padio(seven_seg_pin[12]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_12_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_11_ (
+       .padio(seven_seg_pin[11]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_11_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_10_ (
+       .padio(seven_seg_pin[10]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_10_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_9_ (
+       .padio(seven_seg_pin[9]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_9_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_8_ (
+       .padio(seven_seg_pin[8]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_8_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_7_ (
+       .padio(seven_seg_pin[7]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_7_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_6_ (
+       .padio(seven_seg_pin[6]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_6_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_5_ (
+       .padio(seven_seg_pin[5]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_5_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_4_ (
+       .padio(seven_seg_pin[4]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_4_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_3_ (
+       .padio(seven_seg_pin[3]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_3_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_2_ (
+       .padio(seven_seg_pin[2]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_2_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_out_1_ (
+       .padio(seven_seg_pin[1]),
+       .datain(DELAY_RESET_next_un6_dly_counter_0_x),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_out_1_.operation_mode = "output";
+// @6:51
+  stratix_io seven_seg_pin_tri_0_ (
+       .padio(seven_seg_pin[0]),
+       .datain(VCC),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam seven_seg_pin_tri_0_.operation_mode = "output";
+// @6:49
+  stratix_io vsync_pin_out (
+       .padio(vsync_pin),
+       .datain(vga_driver_unit_v_sync),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam vsync_pin_out.operation_mode = "output";
+// @6:48
+  stratix_io hsync_pin_out (
+       .padio(hsync_pin),
+       .datain(vga_driver_unit_h_sync),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam hsync_pin_out.operation_mode = "output";
+// @6:47
+  stratix_io b1_pin_out (
+       .padio(b1_pin),
+       .datain(vga_control_unit_b),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam b1_pin_out.operation_mode = "output";
+// @6:47
+  stratix_io b0_pin_out (
+       .padio(b0_pin),
+       .datain(vga_control_unit_b),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam b0_pin_out.operation_mode = "output";
+// @6:46
+  stratix_io g2_pin_out (
+       .padio(g2_pin),
+       .datain(vga_control_unit_g),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam g2_pin_out.operation_mode = "output";
+// @6:46
+  stratix_io g1_pin_out (
+       .padio(g1_pin),
+       .datain(vga_control_unit_g),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam g1_pin_out.operation_mode = "output";
+// @6:46
+  stratix_io g0_pin_out (
+       .padio(g0_pin),
+       .datain(vga_control_unit_g),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam g0_pin_out.operation_mode = "output";
+// @6:45
+  stratix_io r2_pin_out (
+       .padio(r2_pin),
+       .datain(vga_control_unit_r),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam r2_pin_out.operation_mode = "output";
+// @6:45
+  stratix_io r1_pin_out (
+       .padio(r1_pin),
+       .datain(vga_control_unit_r),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam r1_pin_out.operation_mode = "output";
+// @6:45
+  stratix_io r0_pin_out (
+       .padio(r0_pin),
+       .datain(vga_control_unit_r),
+       .oe(VCC),
+       .outclk(GND),
+       .outclkena(VCC),
+       .inclk(GND),
+       .inclkena(VCC),
+       .areset(GND),
+       .sreset(GND)
+);
+defparam r0_pin_out.operation_mode = "output";
+//@6:42
+// @10:161
+  vga_driver vga_driver_unit (
+       .line_counter_sig_0(vga_driver_unit_line_counter_sig[0]),
+       .line_counter_sig_1(vga_driver_unit_line_counter_sig[1]),
+       .line_counter_sig_2(vga_driver_unit_line_counter_sig[2]),
+       .line_counter_sig_3(vga_driver_unit_line_counter_sig[3]),
+       .line_counter_sig_4(vga_driver_unit_line_counter_sig[4]),
+       .line_counter_sig_5(vga_driver_unit_line_counter_sig[5]),
+       .line_counter_sig_6(vga_driver_unit_line_counter_sig[6]),
+       .line_counter_sig_7(vga_driver_unit_line_counter_sig[7]),
+       .line_counter_sig_8(vga_driver_unit_line_counter_sig[8]),
+       .dly_counter_1(dly_counter[1]),
+       .dly_counter_0(dly_counter[0]),
+       .vsync_state_2(vga_driver_unit_vsync_state[2]),
+       .vsync_state_5(vga_driver_unit_vsync_state[5]),
+       .vsync_state_3(vga_driver_unit_vsync_state[3]),
+       .vsync_state_6(vga_driver_unit_vsync_state[6]),
+       .vsync_state_4(vga_driver_unit_vsync_state[4]),
+       .vsync_state_1(vga_driver_unit_vsync_state[1]),
+       .vsync_state_0(vga_driver_unit_vsync_state[0]),
+       .hsync_state_2(vga_driver_unit_hsync_state[2]),
+       .hsync_state_4(vga_driver_unit_hsync_state[4]),
+       .hsync_state_0(vga_driver_unit_hsync_state[0]),
+       .hsync_state_5(vga_driver_unit_hsync_state[5]),
+       .hsync_state_1(vga_driver_unit_hsync_state[1]),
+       .hsync_state_3(vga_driver_unit_hsync_state[3]),
+       .hsync_state_6(vga_driver_unit_hsync_state[6]),
+       .column_counter_sig_0(vga_driver_unit_column_counter_sig[0]),
+       .column_counter_sig_1(vga_driver_unit_column_counter_sig[1]),
+       .column_counter_sig_2(vga_driver_unit_column_counter_sig[2]),
+       .column_counter_sig_3(vga_driver_unit_column_counter_sig[3]),
+       .column_counter_sig_4(vga_driver_unit_column_counter_sig[4]),
+       .column_counter_sig_5(vga_driver_unit_column_counter_sig[5]),
+       .column_counter_sig_6(vga_driver_unit_column_counter_sig[6]),
+       .column_counter_sig_7(vga_driver_unit_column_counter_sig[7]),
+       .column_counter_sig_8(vga_driver_unit_column_counter_sig[8]),
+       .column_counter_sig_9(vga_driver_unit_column_counter_sig[9]),
+       .vsync_counter_9(vga_driver_unit_vsync_counter[9]),
+       .vsync_counter_8(vga_driver_unit_vsync_counter[8]),
+       .vsync_counter_7(vga_driver_unit_vsync_counter[7]),
+       .vsync_counter_6(vga_driver_unit_vsync_counter[6]),
+       .vsync_counter_5(vga_driver_unit_vsync_counter[5]),
+       .vsync_counter_4(vga_driver_unit_vsync_counter[4]),
+       .vsync_counter_3(vga_driver_unit_vsync_counter[3]),
+       .vsync_counter_2(vga_driver_unit_vsync_counter[2]),
+       .vsync_counter_1(vga_driver_unit_vsync_counter[1]),
+       .vsync_counter_0(vga_driver_unit_vsync_counter[0]),
+       .hsync_counter_9(vga_driver_unit_hsync_counter[9]),
+       .hsync_counter_8(vga_driver_unit_hsync_counter[8]),
+       .hsync_counter_7(vga_driver_unit_hsync_counter[7]),
+       .hsync_counter_6(vga_driver_unit_hsync_counter[6]),
+       .hsync_counter_5(vga_driver_unit_hsync_counter[5]),
+       .hsync_counter_4(vga_driver_unit_hsync_counter[4]),
+       .hsync_counter_3(vga_driver_unit_hsync_counter[3]),
+       .hsync_counter_2(vga_driver_unit_hsync_counter[2]),
+       .hsync_counter_1(vga_driver_unit_hsync_counter[1]),
+       .hsync_counter_0(vga_driver_unit_hsync_counter[0]),
+       .d_set_vsync_counter(vga_driver_unit_d_set_vsync_counter),
+       .un10_column_counter_siglt6_1(vga_driver_unit_COLUMN_COUNT_next_un10_column_counter_siglt6_1),
+       .v_sync(vga_driver_unit_v_sync),
+       .h_sync(vga_driver_unit_h_sync),
+       .h_enable_sig(vga_driver_unit_h_enable_sig),
+       .v_enable_sig(vga_driver_unit_v_enable_sig),
+       .reset_pin_c(reset_pin_c),
+       .un6_dly_counter_0_x(DELAY_RESET_next_un6_dly_counter_0_x),
+       .d_set_hsync_counter(vga_driver_unit_d_set_hsync_counter),
+       .clk_pin_c(G_33)
+);
+// @10:186
+  vga_control vga_control_unit (
+       .column_counter_sig_5(vga_driver_unit_column_counter_sig[5]),
+       .column_counter_sig_0(vga_driver_unit_column_counter_sig[0]),
+       .column_counter_sig_1(vga_driver_unit_column_counter_sig[1]),
+       .column_counter_sig_3(vga_driver_unit_column_counter_sig[3]),
+       .column_counter_sig_4(vga_driver_unit_column_counter_sig[4]),
+       .column_counter_sig_2(vga_driver_unit_column_counter_sig[2]),
+       .column_counter_sig_9(vga_driver_unit_column_counter_sig[9]),
+       .column_counter_sig_8(vga_driver_unit_column_counter_sig[8]),
+       .column_counter_sig_7(vga_driver_unit_column_counter_sig[7]),
+       .column_counter_sig_6(vga_driver_unit_column_counter_sig[6]),
+       .line_counter_sig_0(vga_driver_unit_line_counter_sig[0]),
+       .line_counter_sig_1(vga_driver_unit_line_counter_sig[1]),
+       .line_counter_sig_2(vga_driver_unit_line_counter_sig[2]),
+       .line_counter_sig_8(vga_driver_unit_line_counter_sig[8]),
+       .line_counter_sig_3(vga_driver_unit_line_counter_sig[3]),
+       .line_counter_sig_5(vga_driver_unit_line_counter_sig[5]),
+       .line_counter_sig_4(vga_driver_unit_line_counter_sig[4]),
+       .line_counter_sig_7(vga_driver_unit_line_counter_sig[7]),
+       .line_counter_sig_6(vga_driver_unit_line_counter_sig[6]),
+       .toggle_counter_sig_0(vga_control_unit_toggle_counter_sig[0]),
+       .toggle_counter_sig_1(vga_control_unit_toggle_counter_sig[1]),
+       .toggle_counter_sig_2(vga_control_unit_toggle_counter_sig[2]),
+       .toggle_counter_sig_3(vga_control_unit_toggle_counter_sig[3]),
+       .toggle_counter_sig_4(vga_control_unit_toggle_counter_sig[4]),
+       .toggle_counter_sig_5(vga_control_unit_toggle_counter_sig[5]),
+       .toggle_counter_sig_6(vga_control_unit_toggle_counter_sig[6]),
+       .toggle_counter_sig_7(vga_control_unit_toggle_counter_sig[7]),
+       .toggle_counter_sig_8(vga_control_unit_toggle_counter_sig[8]),
+       .toggle_counter_sig_9(vga_control_unit_toggle_counter_sig[9]),
+       .toggle_counter_sig_10(vga_control_unit_toggle_counter_sig[10]),
+       .toggle_counter_sig_11(vga_control_unit_toggle_counter_sig[11]),
+       .toggle_counter_sig_12(vga_control_unit_toggle_counter_sig[12]),
+       .toggle_counter_sig_13(vga_control_unit_toggle_counter_sig[13]),
+       .toggle_counter_sig_14(vga_control_unit_toggle_counter_sig[14]),
+       .toggle_counter_sig_15(vga_control_unit_toggle_counter_sig[15]),
+       .toggle_counter_sig_16(vga_control_unit_toggle_counter_sig[16]),
+       .toggle_counter_sig_17(vga_control_unit_toggle_counter_sig[17]),
+       .toggle_counter_sig_18(vga_control_unit_toggle_counter_sig[18]),
+       .toggle_counter_sig_19(vga_control_unit_toggle_counter_sig[19]),
+       .toggle_counter_sig_20(vga_control_unit_toggle_counter_sig[20]),
+       .toggle_counter_sig_21(vga_control_unit_toggle_counter_sig[21]),
+       .toggle_counter_sig_22(vga_control_unit_toggle_counter_sig[22]),
+       .toggle_counter_sig_23(vga_control_unit_toggle_counter_sig[23]),
+       .toggle_counter_sig_24(vga_control_unit_toggle_counter_sig[24]),
+       .v_enable_sig(vga_driver_unit_v_enable_sig),
+       .un10_column_counter_siglt6_1(vga_driver_unit_COLUMN_COUNT_next_un10_column_counter_siglt6_1),
+       .h_enable_sig(vga_driver_unit_h_enable_sig),
+       .g(vga_control_unit_g),
+       .r(vga_control_unit_r),
+       .b(vga_control_unit_b),
+       .toggle_sig(vga_control_unit_toggle_sig),
+       .un6_dly_counter_0_x(DELAY_RESET_next_un6_dly_counter_0_x),
+       .clk_pin_c(G_33)
+);
+endmodule /* vga */
+
diff --git a/bsp4/Designflow/syn/rev_1/vga.xrf b/bsp4/Designflow/syn/rev_1/vga.xrf
new file mode 100644 (file)
index 0000000..932631e
--- /dev/null
@@ -0,0 +1,343 @@
+vendor_name = Synplicity
+source_file = 0, noname, synplify
+source_file = 1, /opt/synplify/fpga_c200906/lib/vhd/std.vhd, synplify
+source_file = 2, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd, synplify
+source_file = 3, /opt/synplify/fpga_c200906/lib/vhd/std1164.vhd, synplify
+source_file = 4, /opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd, synplify
+source_file = 5, /opt/synplify/fpga_c200906/lib/vhd/arith.vhd, synplify
+source_file = 6, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd, synplify
+source_file = 7, /homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd, synplify
+source_file = 8, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd, synplify
+source_file = 9, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd, synplify
+source_file = 10, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd, synplify
+source_file = 11, /homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd, synplify
+source_file = 12, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd, synplify
+source_file = 13, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd, synplify
+design_name=vga
+instance = port, clk_pin, , vga, 6, 42:7:42:13
+instance = port, reset_pin, , vga, 6, 43:7:43:15
+instance = port, r0_pin, , vga, 6, 45:7:45:12
+instance = port, r1_pin, , vga, 6, 45:15:45:20
+instance = port, r2_pin, , vga, 6, 45:23:45:28
+instance = port, g0_pin, , vga, 6, 46:7:46:12
+instance = port, g1_pin, , vga, 6, 46:15:46:20
+instance = port, g2_pin, , vga, 6, 46:23:46:28
+instance = port, b0_pin, , vga, 6, 47:7:47:12
+instance = port, b1_pin, , vga, 6, 47:15:47:20
+instance = port, hsync_pin, , vga, 6, 48:7:48:15
+instance = port, vsync_pin, , vga, 6, 49:7:49:15
+instance = port, seven_seg_pin[13:0], , vga, 6, 51:7:51:19
+instance = port, d_hsync, , vga, 6, 53:7:53:13
+instance = port, d_vsync, , vga, 6, 53:16:53:22
+instance = port, d_column_counter[9:0], , vga, 6, 54:7:54:22
+instance = port, d_line_counter[8:0], , vga, 6, 55:7:55:20
+instance = port, d_set_column_counter, , vga, 6, 56:7:56:26
+instance = port, d_set_line_counter, , vga, 6, 56:29:56:46
+instance = port, d_hsync_counter[9:0], , vga, 6, 57:7:57:21
+instance = port, d_vsync_counter[9:0], , vga, 6, 58:7:58:21
+instance = port, d_set_hsync_counter, , vga, 6, 59:7:59:25
+instance = port, d_set_vsync_counter, , vga, 6, 59:28:59:46
+instance = port, d_h_enable, , vga, 6, 60:7:60:16
+instance = port, d_v_enable, , vga, 6, 61:7:61:16
+instance = port, d_r, , vga, 6, 62:7:62:9
+instance = port, d_g, , vga, 6, 62:12:62:14
+instance = port, d_b, , vga, 6, 62:17:62:19
+instance = port, d_hsync_state[0:6], , vga, 6, 63:7:63:19
+instance = port, d_vsync_state[0:6], , vga, 6, 64:7:64:19
+instance = port, d_state_clk, , vga, 6, 65:7:65:17
+instance = port, d_toggle, , vga, 6, 66:7:66:14
+instance = port, d_toggle_counter[24:0], , vga, 6, 67:7:67:22
+instance = comp, dly_counter_1_, , vga, 10, 113:4:113:5
+instance = comp, dly_counter_0_, , vga, 10, 113:4:113:5
+instance = comp, reset_pin_in, , vga, 6, 43:7:43:15
+instance = comp, clk_pin_in, , vga, 6, 42:7:42:13
+instance = comp, d_toggle_counter_out_24_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_23_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_22_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_21_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_20_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_19_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_18_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_17_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_16_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_15_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_14_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_13_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_12_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_11_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_10_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_9_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_8_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_7_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_6_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_5_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_4_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_3_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_2_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_1_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_0_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_out, , vga, 6, 66:7:66:14
+instance = comp, d_state_clk_out, , vga, 6, 65:7:65:17
+instance = comp, d_vsync_state_out_0_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_1_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_2_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_3_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_4_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_5_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_6_, , vga, 6, 64:7:64:19
+instance = comp, d_hsync_state_out_0_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_1_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_2_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_3_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_4_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_5_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_6_, , vga, 6, 63:7:63:19
+instance = comp, d_b_out, , vga, 6, 62:17:62:19
+instance = comp, d_g_out, , vga, 6, 62:12:62:14
+instance = comp, d_r_out, , vga, 6, 62:7:62:9
+instance = comp, d_v_enable_out, , vga, 6, 61:7:61:16
+instance = comp, d_h_enable_out, , vga, 6, 60:7:60:16
+instance = comp, d_set_vsync_counter_out, , vga, 6, 59:28:59:46
+instance = comp, d_set_hsync_counter_out, , vga, 6, 59:7:59:25
+instance = comp, d_vsync_counter_out_9_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_8_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_7_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_6_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_5_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_4_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_3_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_2_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_1_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_0_, , vga, 6, 58:7:58:21
+instance = comp, d_hsync_counter_out_9_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_8_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_7_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_6_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_5_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_4_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_3_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_2_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_1_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_0_, , vga, 6, 57:7:57:21
+instance = comp, d_set_line_counter_out, , vga, 6, 56:29:56:46
+instance = comp, d_set_column_counter_out, , vga, 6, 56:7:56:26
+instance = comp, d_line_counter_out_8_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_7_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_6_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_5_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_4_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_3_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_2_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_1_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_0_, , vga, 6, 55:7:55:20
+instance = comp, d_column_counter_out_9_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_8_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_7_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_6_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_5_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_4_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_3_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_2_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_1_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_0_, , vga, 6, 54:7:54:22
+instance = comp, d_vsync_out, , vga, 6, 53:16:53:22
+instance = comp, d_hsync_out, , vga, 6, 53:7:53:13
+instance = comp, seven_seg_pin_tri_13_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_12_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_11_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_10_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_9_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_8_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_7_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_6_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_5_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_4_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_3_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_2_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_1_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_0_, , vga, 6, 51:7:51:19
+instance = comp, vsync_pin_out, , vga, 6, 49:7:49:15
+instance = comp, hsync_pin_out, , vga, 6, 48:7:48:15
+instance = comp, b1_pin_out, , vga, 6, 47:15:47:20
+instance = comp, b0_pin_out, , vga, 6, 47:7:47:12
+instance = comp, g2_pin_out, , vga, 6, 46:23:46:28
+instance = comp, g1_pin_out, , vga, 6, 46:15:46:20
+instance = comp, g0_pin_out, , vga, 6, 46:7:46:12
+instance = comp, r2_pin_out, , vga, 6, 45:23:45:28
+instance = comp, r1_pin_out, , vga, 6, 45:15:45:20
+instance = comp, r0_pin_out, , vga, 6, 45:7:45:12
+instance = comp, vga_driver_unit, , vga, 10, 161:0:161:14
+instance = comp, vga_control_unit, , vga, 10, 186:2:186:17
+design_name=vga_control
+instance = comp, toggle_counter_sig_24_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_23_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_22_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_21_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_20_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_19_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_18_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_17_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_16_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_15_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_14_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_13_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_12_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_11_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_10_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_9_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_8_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_7_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_6_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_5_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_4_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_3_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_2_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_1_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_0_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_sig_Z, , vga_control, 12, 100:4:100:5
+instance = comp, b_Z, , vga_control, 12, 61:4:61:5
+instance = comp, r_Z, , vga_control, 12, 61:4:61:5
+instance = comp, g_Z, , vga_control, 12, 61:4:61:5
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto10, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un17_v_enablelto7, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto7, , vga_control, 12, 76:38:76:60
+instance = comp, DRAW_SQUARE_next_un17_v_enablelto5, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un13_v_enablelto8, , vga_control, 12, 77:10:77:32
+instance = comp, DRAW_SQUARE_next_un13_v_enablelto8_a, , vga_control, 12, 77:10:77:32
+instance = comp, DRAW_SQUARE_next_un9_v_enablelto9, , vga_control, 12, 76:10:76:32
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19_5, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto7, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un9_v_enablelto6, , vga_control, 12, 76:10:76:32
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto3, , vga_control, 12, 76:38:76:60
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19_4, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto7_4, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un17_v_enablelt2, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto5_0, , vga_control, 12, 76:38:76:60
+instance = comp, un2_toggle_counter_next_0_, , vga_control, 12, 116:29:116:52
+design_name=vga_driver
+instance = comp, hsync_counter_0_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_1_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_2_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_3_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_4_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_5_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_6_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_7_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_8_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_9_, , vga_driver, 13, 158:4:158:5
+instance = comp, vsync_counter_0_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_1_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_2_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_3_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_4_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_5_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_6_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_7_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_8_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_9_, , vga_driver, 13, 267:4:267:5
+instance = comp, column_counter_sig_9_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_8_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_7_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_6_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_5_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_4_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_3_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_2_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_1_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_0_, , vga_driver, 13, 97:4:97:5
+instance = comp, hsync_state_6_, , vga_driver, 13, 187:4:187:5
+instance = comp, vsync_state_0_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_1_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_6_, , vga_driver, 13, 300:4:300:5
+instance = comp, line_counter_sig_8_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_7_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_6_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_5_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_4_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_3_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_2_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_1_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_0_, , vga_driver, 13, 125:4:125:5
+instance = comp, v_enable_sig_Z, , vga_driver, 13, 187:4:187:5
+instance = comp, h_enable_sig_Z, , vga_driver, 13, 300:4:300:5
+instance = comp, h_sync_Z, , vga_driver, 13, 187:4:187:5
+instance = comp, v_sync_Z, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_5_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_4_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_3_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_2_, , vga_driver, 13, 300:4:300:5
+instance = comp, hsync_state_5_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_4_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_3_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_2_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_1_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_0_, , vga_driver, 13, 187:4:187:5
+instance = comp, vsync_state_next_2_sqmuxa_cZ, , vga_driver, 13, 97:4:97:5
+instance = comp, un1_hsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 206:4:206:7
+instance = comp, un1_vsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 319:4:319:7
+instance = comp, LINE_COUNT_next_un10_line_counter_siglto8, , vga_driver, 13, 139:9:139:40
+instance = comp, G_2, , vga_driver, 10, 161:0:161:14
+instance = comp, vsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 326:11:326:32
+instance = comp, vsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 331:11:331:33
+instance = comp, vsync_state_next_1_sqmuxa_3_cZ, , vga_driver, 13, 339:11:339:34
+instance = comp, G_16, , vga_driver, 10, 161:0:161:14
+instance = comp, hsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 218:11:218:33
+instance = comp, hsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 213:11:213:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglto9, , vga_driver, 13, 111:9:111:41
+instance = comp, HSYNC_FSM_next_un12_hsync_counter, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_FSM_next_un13_hsync_counter, , vga_driver, 13, 231:11:231:32
+instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9, , vga_driver, 13, 172:9:172:36
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9, , vga_driver, 13, 281:9:281:36
+instance = comp, LINE_COUNT_next_un10_line_counter_siglto5, , vga_driver, 13, 139:9:139:40
+instance = comp, VSYNC_FSM_next_un13_vsync_counter_4, , vga_driver, 13, 331:11:331:33
+instance = comp, VSYNC_FSM_next_un15_vsync_counter_4, , vga_driver, 13, 344:11:344:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6, , vga_driver, 13, 111:9:111:41
+instance = comp, hsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 169:7:169:32
+instance = comp, column_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 111:9:111:41
+instance = comp, line_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 139:9:139:40
+instance = comp, vsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 278:7:278:32
+instance = comp, VSYNC_FSM_next_un14_vsync_counter_8, , vga_driver, 13, 339:11:339:34
+instance = comp, HSYNC_FSM_next_un11_hsync_counter_3, , vga_driver, 13, 218:11:218:33
+instance = comp, HSYNC_FSM_next_un11_hsync_counter_2, , vga_driver, 13, 218:11:218:33
+instance = comp, HSYNC_FSM_next_un12_hsync_counter_4, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_FSM_next_un12_hsync_counter_3, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9_3, , vga_driver, 13, 172:9:172:36
+instance = comp, HSYNC_FSM_next_un13_hsync_counter_2, , vga_driver, 13, 231:11:231:32
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_6, , vga_driver, 13, 281:9:281:36
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_5, , vga_driver, 13, 281:9:281:36
+instance = comp, VSYNC_FSM_next_un13_vsync_counter_3, , vga_driver, 13, 331:11:331:33
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_4, , vga_driver, 13, 213:11:213:32
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_3, , vga_driver, 13, 213:11:213:32
+instance = comp, VSYNC_FSM_next_un15_vsync_counter_3, , vga_driver, 13, 344:11:344:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6_2, , vga_driver, 13, 111:9:111:41
+instance = comp, LINE_COUNT_next_un10_line_counter_siglt4_2, , vga_driver, 13, 139:9:139:40
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_1, , vga_driver, 13, 213:11:213:32
+instance = comp, HSYNC_FSM_next_un13_hsync_counter_7, , vga_driver, 13, 231:11:231:32
+instance = comp, VSYNC_FSM_next_un12_vsync_counter_6, , vga_driver, 13, 326:11:326:32
+instance = comp, VSYNC_FSM_next_un12_vsync_counter_7, , vga_driver, 13, 326:11:326:32
+instance = comp, un1_hsync_state_3_0_cZ, , vga_driver, 13, 206:4:206:7
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6_1, , vga_driver, 13, 111:9:111:41
+instance = comp, un1_vsync_state_2_0_cZ, , vga_driver, 13, 319:4:319:7
+instance = comp, d_set_hsync_counter_cZ, , vga_driver, 13, 248:4:248:7
+instance = comp, d_set_vsync_counter_cZ, , vga_driver, 13, 361:4:361:7
+instance = comp, un1_line_counter_sig_9_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_8_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_7_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_6_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_5_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_4_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_3_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_2_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_a_1_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_1_, , vga_driver, 13, 141:31:141:52
+instance = comp, un2_column_counter_next_9_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_8_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_7_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_6_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_5_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_4_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_3_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_2_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_1_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_0_, , vga_driver, 13, 112:31:112:54
diff --git a/bsp4/Designflow/syn/rev_1/vga_cons.tcl b/bsp4/Designflow/syn/rev_1/vga_cons.tcl
new file mode 100644 (file)
index 0000000..43fc06f
--- /dev/null
@@ -0,0 +1,6 @@
+source "/opt/synplify/fpga_c200906/lib/altera/quartus_cons.tcl"
+syn_create_and_open_prj vga
+source $::quartus(binpath)/prj_asd_import.tcl
+syn_create_and_open_csf vga
+syn_handle_cons vga
+syn_compile_quartus
diff --git a/bsp4/Designflow/syn/rev_1/vga_rm.tcl b/bsp4/Designflow/syn/rev_1/vga_rm.tcl
new file mode 100644 (file)
index 0000000..b20c77f
--- /dev/null
@@ -0,0 +1,12 @@
+set_global_assignment -name TOP_LEVEL_ENTITY "|vga" -remove 
+set_global_assignment -name FAMILY -remove 
+set_global_assignment -name TAO_FILE "myresults.tao" -remove
+set_global_assignment -name SOURCES_PER_DESTINATION_INCLUDE_COUNT "1000" -remove 
+set_global_assignment -name ROUTER_REGISTER_DUPLICATION ON -remove 
+set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF" -remove 
+set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF" -remove 
+set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS "OFF" -remove 
+set_global_assignment -name REMOVE_DUPLICATE_REGISTERS "OFF" -remove 
+set_global_assignment -name REMOVE_DUPLICATE_LOGIC "OFF" -remove 
+#set_global_assignment -name EDA_RESYNTHESIS_TOOL "AMPLIFY" -remove
+create_base_clock clk_pin_setting -fmax 25.175mhz -duty_cycle 50.00 -target clk_pin -disable
diff --git a/bsp4/Designflow/syn/vga.prd b/bsp4/Designflow/syn/vga.prd
new file mode 100644 (file)
index 0000000..ec98ecc
--- /dev/null
@@ -0,0 +1,13 @@
+#-- Synplicity, Inc.
+#-- Version C-2009.06
+#-- Project file /homes/burban/didelu/dide_16/bsp4/Designflow/syn/vga.prd
+#-- Written on Tue Nov  3 17:48:56 2009
+
+#
+### Watch Implementation type ###
+#
+watch_impl -all
+#
+### Watch Implementation properties ###
+#
+watch_prop -clear
diff --git a/bsp4/Designflow/syn/vga.prj b/bsp4/Designflow/syn/vga.prj
new file mode 100644 (file)
index 0000000..2b320f9
--- /dev/null
@@ -0,0 +1,71 @@
+#-- Synplicity, Inc.
+#-- Version C-2009.06
+#-- Project file /homes/burban/didelu/dide_16/bsp4/Designflow/syn/vga.prj
+#-- Written on Tue Nov  3 17:48:56 2009
+
+
+#project files
+add_file -vhdl -lib work "../src/vga_pak.vhd"
+add_file -vhdl -lib work "../src/vga_ent.vhd"
+add_file -vhdl -lib work "../src/vga_arc.vhd"
+add_file -vhdl -lib work "../src/board_driver_ent.vhd"
+add_file -vhdl -lib work "../src/board_driver_arc.vhd"
+add_file -vhdl -lib work "../src/vga_control_ent.vhd"
+add_file -vhdl -lib work "../src/vga_control_arc.vhd"
+add_file -vhdl -lib work "../src/vga_driver_ent.vhd"
+add_file -vhdl -lib work "../src/vga_driver_arc.vhd"
+
+
+#implementation: "rev_1"
+impl -add rev_1 -type fpga
+
+#device options
+set_option -technology STRATIX
+set_option -part EP1S25
+set_option -package FC672
+set_option -speed_grade -6
+set_option -part_companion ""
+
+#compilation/mapping options
+set_option -use_fsm_explorer 0
+set_option -top_module "vga"
+
+# sequential_optimization_options
+set_option -symbolic_fsm_compiler 1
+
+# Compiler Options
+set_option -compiler_compatible 0
+set_option -resource_sharing 1
+
+# mapper_options
+set_option -frequency 25.175
+set_option -write_verilog 0
+set_option -write_vhdl 1
+
+# Altera STRATIX
+set_option -run_prop_extract 1
+set_option -maxfan 500
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -retiming 0
+set_option -no_sequential_opt 0
+set_option -fixgatedclocks 3
+set_option -fixgeneratedclocks 3
+set_option -quartus_version 9.0
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "./rev_1/vga.vqm"
+
+#
+#implementation attributes
+
+set_option -vlog_std v2001
+set_option -project_relative_includes 1
+impl -active "rev_1"
diff --git a/bsp4/Protokolle/notiz b/bsp4/Protokolle/notiz
new file mode 100644 (file)
index 0000000..4bd45dc
--- /dev/null
@@ -0,0 +1,5 @@
+folgende dateien wurden geaendert:
+vga_ent.vhd
+vga_beh_tb.vhd
+vga_control_arc.vhd
+vga_driver_arc.vhd
diff --git a/bsp4/Protokolle/notiz~ b/bsp4/Protokolle/notiz~
new file mode 100644 (file)
index 0000000..b518d6f
--- /dev/null
@@ -0,0 +1,4 @@
+folgende dateien wurden geaendert:
+vga_ent.vhd
+vga_beh_tb.vhd
+vga_control_arc.vhd
diff --git a/bsp4/Protokolle/pics/auslastung.png b/bsp4/Protokolle/pics/auslastung.png
new file mode 100644 (file)
index 0000000..c4966ca
Binary files /dev/null and b/bsp4/Protokolle/pics/auslastung.png differ
diff --git a/bsp4/Protokolle/pics/col-defekt.png b/bsp4/Protokolle/pics/col-defekt.png
new file mode 100644 (file)
index 0000000..ec2518a
Binary files /dev/null and b/bsp4/Protokolle/pics/col-defekt.png differ
diff --git a/bsp4/Protokolle/pics/col-work.png b/bsp4/Protokolle/pics/col-work.png
new file mode 100644 (file)
index 0000000..9499387
Binary files /dev/null and b/bsp4/Protokolle/pics/col-work.png differ
diff --git a/bsp4/Protokolle/pics/logik.JPG b/bsp4/Protokolle/pics/logik.JPG
new file mode 100644 (file)
index 0000000..095f883
Binary files /dev/null and b/bsp4/Protokolle/pics/logik.JPG differ
diff --git a/bsp4/Protokolle/pics/postlayout.png b/bsp4/Protokolle/pics/postlayout.png
new file mode 100644 (file)
index 0000000..5baf205
Binary files /dev/null and b/bsp4/Protokolle/pics/postlayout.png differ
diff --git a/bsp4/Protokolle/pics/prelayoutsim.png b/bsp4/Protokolle/pics/prelayoutsim.png
new file mode 100644 (file)
index 0000000..60f5299
Binary files /dev/null and b/bsp4/Protokolle/pics/prelayoutsim.png differ
diff --git a/bsp4/Protokolle/pics/syntax_fehler.png b/bsp4/Protokolle/pics/syntax_fehler.png
new file mode 100644 (file)
index 0000000..431a277
Binary files /dev/null and b/bsp4/Protokolle/pics/syntax_fehler.png differ