2 -- Written by Synplicity
3 -- Product Version "C-2009.06"
4 -- Program "Synplify Pro", Mapper "map450rc, Build 029R"
5 -- Tue Nov 3 17:21:45 2009
9 -- Written by Synplify Pro version Build 029R
10 -- Tue Nov 3 17:21:45 2009
14 library ieee, stratix;
15 use ieee.std_logic_1164.all;
16 use ieee.numeric_std.all;
18 use synplify.components.all;
19 use stratix.stratix_components.all;
23 column_counter_sig_5 : in std_logic;
24 column_counter_sig_0 : in std_logic;
25 column_counter_sig_1 : in std_logic;
26 column_counter_sig_3 : in std_logic;
27 column_counter_sig_4 : in std_logic;
28 column_counter_sig_2 : in std_logic;
29 column_counter_sig_9 : in std_logic;
30 column_counter_sig_8 : in std_logic;
31 column_counter_sig_7 : in std_logic;
32 column_counter_sig_6 : in std_logic;
33 line_counter_sig_0 : in std_logic;
34 line_counter_sig_1 : in std_logic;
35 line_counter_sig_2 : in std_logic;
36 line_counter_sig_8 : in std_logic;
37 line_counter_sig_3 : in std_logic;
38 line_counter_sig_5 : in std_logic;
39 line_counter_sig_4 : in std_logic;
40 line_counter_sig_7 : in std_logic;
41 line_counter_sig_6 : in std_logic;
42 toggle_counter_sig_0 : out std_logic;
43 toggle_counter_sig_1 : out std_logic;
44 toggle_counter_sig_2 : out std_logic;
45 toggle_counter_sig_3 : out std_logic;
46 toggle_counter_sig_4 : out std_logic;
47 toggle_counter_sig_5 : out std_logic;
48 toggle_counter_sig_6 : out std_logic;
49 toggle_counter_sig_7 : out std_logic;
50 toggle_counter_sig_8 : out std_logic;
51 toggle_counter_sig_9 : out std_logic;
52 toggle_counter_sig_10 : out std_logic;
53 toggle_counter_sig_11 : out std_logic;
54 toggle_counter_sig_12 : out std_logic;
55 toggle_counter_sig_13 : out std_logic;
56 toggle_counter_sig_14 : out std_logic;
57 toggle_counter_sig_15 : out std_logic;
58 toggle_counter_sig_16 : out std_logic;
59 toggle_counter_sig_17 : out std_logic;
60 toggle_counter_sig_18 : out std_logic;
61 toggle_counter_sig_19 : out std_logic;
62 toggle_counter_sig_20 : out std_logic;
63 toggle_counter_sig_21 : out std_logic;
64 toggle_counter_sig_22 : out std_logic;
65 toggle_counter_sig_23 : out std_logic;
66 toggle_counter_sig_24 : out std_logic;
67 v_enable_sig : in std_logic;
68 un10_column_counter_siglt6_1 : in std_logic;
69 h_enable_sig : in std_logic;
73 toggle_sig : out std_logic;
74 un6_dly_counter_0_x : in std_logic;
75 clk_pin_c : in std_logic);
78 architecture beh of vga_control is
79 signal devclrn : std_logic := '1';
80 signal devpor : std_logic := '1';
81 signal devoe : std_logic := '0';
82 signal TOGGLE_COUNTER_SIG_COUT : std_logic_vector(17 downto 1);
83 signal UN2_TOGGLE_COUNTER_NEXT_COUT : std_logic_vector(0 to 0);
84 signal GND : std_logic ;
85 signal TOGGLE_SIG_0_0_0_G1 : std_logic ;
86 signal TOGGLE_SIG_84 : std_logic ;
87 signal UN13_V_ENABLELTO8 : std_logic ;
88 signal UN5_V_ENABLELTO7 : std_logic ;
89 signal UN17_V_ENABLELTO7 : std_logic ;
90 signal B_NEXT_0_G0_5 : std_logic ;
91 signal TOGGLE_SIG_0_0_0_G1_2 : std_logic ;
92 signal UN1_TOGGLE_COUNTER_SIGLTO19 : std_logic ;
93 signal UN1_TOGGLE_COUNTER_SIGLTO19_5 : std_logic ;
94 signal UN1_TOGGLE_COUNTER_SIGLTO10 : std_logic ;
95 signal UN1_TOGGLE_COUNTER_SIGLTO7 : std_logic ;
96 signal B_NEXT_0_G0_3 : std_logic ;
97 signal UN9_V_ENABLELTO9 : std_logic ;
98 signal UN17_V_ENABLELTO5 : std_logic ;
99 signal UN5_V_ENABLELTO5_0 : std_logic ;
100 signal UN5_V_ENABLELTO3 : std_logic ;
101 signal UN17_V_ENABLELT2 : std_logic ;
102 signal UN13_V_ENABLELTO8_A : std_logic ;
103 signal UN9_V_ENABLELTO6 : std_logic ;
104 signal UN1_TOGGLE_COUNTER_SIGLTO19_4 : std_logic ;
105 signal UN1_TOGGLE_COUNTER_SIGLTO7_4 : std_logic ;
106 signal TOGGLE_COUNTER_SIG_59 : std_logic ;
107 signal TOGGLE_COUNTER_SIG_60 : std_logic ;
108 signal TOGGLE_COUNTER_SIG_61 : std_logic ;
109 signal TOGGLE_COUNTER_SIG_62 : std_logic ;
110 signal TOGGLE_COUNTER_SIG_63 : std_logic ;
111 signal TOGGLE_COUNTER_SIG_64 : std_logic ;
112 signal TOGGLE_COUNTER_SIG_65 : std_logic ;
113 signal TOGGLE_COUNTER_SIG_66 : std_logic ;
114 signal TOGGLE_COUNTER_SIG_67 : std_logic ;
115 signal TOGGLE_COUNTER_SIG_68 : std_logic ;
116 signal TOGGLE_COUNTER_SIG_69 : std_logic ;
117 signal TOGGLE_COUNTER_SIG_70 : std_logic ;
118 signal TOGGLE_COUNTER_SIG_71 : std_logic ;
119 signal TOGGLE_COUNTER_SIG_72 : std_logic ;
120 signal TOGGLE_COUNTER_SIG_73 : std_logic ;
121 signal TOGGLE_COUNTER_SIG_74 : std_logic ;
122 signal TOGGLE_COUNTER_SIG_75 : std_logic ;
123 signal TOGGLE_COUNTER_SIG_76 : std_logic ;
124 signal TOGGLE_COUNTER_SIG_77 : std_logic ;
125 signal TOGGLE_COUNTER_SIG_78 : std_logic ;
126 signal TOGGLE_COUNTER_SIG_79 : std_logic ;
127 signal TOGGLE_COUNTER_SIG_80 : std_logic ;
128 signal TOGGLE_COUNTER_SIG_81 : std_logic ;
129 signal TOGGLE_COUNTER_SIG_82 : std_logic ;
130 signal TOGGLE_COUNTER_SIG_83 : std_logic ;
131 signal VCC : std_logic ;
132 signal TOGGLE_SIG_0_0_0_G1_I : std_logic ;
134 \TOGGLE_COUNTER_SIG_24_\: stratix_lcell generic map (
135 operation_mode => "normal",
136 output_mode => "reg_only",
138 sum_lutc_input => "datac",
141 regout => TOGGLE_COUNTER_SIG_83,
144 aclr => un6_dly_counter_0_x,
156 \TOGGLE_COUNTER_SIG_23_\: stratix_lcell generic map (
157 operation_mode => "normal",
158 output_mode => "reg_only",
160 sum_lutc_input => "datac",
163 regout => TOGGLE_COUNTER_SIG_82,
166 aclr => un6_dly_counter_0_x,
178 \TOGGLE_COUNTER_SIG_22_\: stratix_lcell generic map (
179 operation_mode => "normal",
180 output_mode => "reg_only",
182 sum_lutc_input => "datac",
185 regout => TOGGLE_COUNTER_SIG_81,
188 aclr => un6_dly_counter_0_x,
200 \TOGGLE_COUNTER_SIG_21_\: stratix_lcell generic map (
201 operation_mode => "normal",
202 output_mode => "reg_only",
204 sum_lutc_input => "datac",
207 regout => TOGGLE_COUNTER_SIG_80,
210 aclr => un6_dly_counter_0_x,
222 \TOGGLE_COUNTER_SIG_20_\: stratix_lcell generic map (
223 operation_mode => "normal",
224 output_mode => "reg_only",
226 sum_lutc_input => "datac",
229 regout => TOGGLE_COUNTER_SIG_79,
232 aclr => un6_dly_counter_0_x,
244 \TOGGLE_COUNTER_SIG_19_\: stratix_lcell generic map (
245 operation_mode => "normal",
246 output_mode => "reg_only",
248 sum_lutc_input => "cin",
252 regout => TOGGLE_COUNTER_SIG_78,
254 dataa => TOGGLE_COUNTER_SIG_77,
255 datab => TOGGLE_COUNTER_SIG_78,
256 aclr => un6_dly_counter_0_x,
257 sclr => TOGGLE_SIG_0_0_0_G1_I,
258 cin => TOGGLE_COUNTER_SIG_COUT(17),
267 \TOGGLE_COUNTER_SIG_18_\: stratix_lcell generic map (
268 operation_mode => "normal",
269 output_mode => "reg_only",
271 sum_lutc_input => "cin",
275 regout => TOGGLE_COUNTER_SIG_77,
277 dataa => TOGGLE_COUNTER_SIG_77,
278 aclr => un6_dly_counter_0_x,
279 sclr => TOGGLE_SIG_0_0_0_G1_I,
280 cin => TOGGLE_COUNTER_SIG_COUT(16),
290 \TOGGLE_COUNTER_SIG_17_\: stratix_lcell generic map (
291 operation_mode => "arithmetic",
292 output_mode => "reg_and_comb",
294 sum_lutc_input => "cin",
298 regout => TOGGLE_COUNTER_SIG_76,
299 cout => TOGGLE_COUNTER_SIG_COUT(17),
301 dataa => TOGGLE_COUNTER_SIG_75,
302 datab => TOGGLE_COUNTER_SIG_76,
303 aclr => un6_dly_counter_0_x,
304 sclr => TOGGLE_SIG_0_0_0_G1_I,
305 cin => TOGGLE_COUNTER_SIG_COUT(15),
314 \TOGGLE_COUNTER_SIG_16_\: stratix_lcell generic map (
315 operation_mode => "arithmetic",
316 output_mode => "reg_and_comb",
318 sum_lutc_input => "cin",
322 regout => TOGGLE_COUNTER_SIG_75,
323 cout => TOGGLE_COUNTER_SIG_COUT(16),
325 dataa => TOGGLE_COUNTER_SIG_75,
326 datab => TOGGLE_COUNTER_SIG_76,
327 aclr => un6_dly_counter_0_x,
328 sclr => TOGGLE_SIG_0_0_0_G1_I,
329 cin => TOGGLE_COUNTER_SIG_COUT(14),
338 \TOGGLE_COUNTER_SIG_15_\: stratix_lcell generic map (
339 operation_mode => "arithmetic",
340 output_mode => "reg_and_comb",
342 sum_lutc_input => "cin",
346 regout => TOGGLE_COUNTER_SIG_74,
347 cout => TOGGLE_COUNTER_SIG_COUT(15),
349 dataa => TOGGLE_COUNTER_SIG_73,
350 datab => TOGGLE_COUNTER_SIG_74,
351 aclr => un6_dly_counter_0_x,
352 sclr => TOGGLE_SIG_0_0_0_G1_I,
353 cin => TOGGLE_COUNTER_SIG_COUT(13),
362 \TOGGLE_COUNTER_SIG_14_\: stratix_lcell generic map (
363 operation_mode => "arithmetic",
364 output_mode => "reg_and_comb",
366 sum_lutc_input => "cin",
370 regout => TOGGLE_COUNTER_SIG_73,
371 cout => TOGGLE_COUNTER_SIG_COUT(14),
373 dataa => TOGGLE_COUNTER_SIG_73,
374 datab => TOGGLE_COUNTER_SIG_74,
375 aclr => un6_dly_counter_0_x,
376 sclr => TOGGLE_SIG_0_0_0_G1_I,
377 cin => TOGGLE_COUNTER_SIG_COUT(12),
386 \TOGGLE_COUNTER_SIG_13_\: stratix_lcell generic map (
387 operation_mode => "arithmetic",
388 output_mode => "reg_and_comb",
390 sum_lutc_input => "cin",
394 regout => TOGGLE_COUNTER_SIG_72,
395 cout => TOGGLE_COUNTER_SIG_COUT(13),
397 dataa => TOGGLE_COUNTER_SIG_71,
398 datab => TOGGLE_COUNTER_SIG_72,
399 aclr => un6_dly_counter_0_x,
400 sclr => TOGGLE_SIG_0_0_0_G1_I,
401 cin => TOGGLE_COUNTER_SIG_COUT(11),
410 \TOGGLE_COUNTER_SIG_12_\: stratix_lcell generic map (
411 operation_mode => "arithmetic",
412 output_mode => "reg_and_comb",
414 sum_lutc_input => "cin",
418 regout => TOGGLE_COUNTER_SIG_71,
419 cout => TOGGLE_COUNTER_SIG_COUT(12),
421 dataa => TOGGLE_COUNTER_SIG_71,
422 datab => TOGGLE_COUNTER_SIG_72,
423 aclr => un6_dly_counter_0_x,
424 sclr => TOGGLE_SIG_0_0_0_G1_I,
425 cin => TOGGLE_COUNTER_SIG_COUT(10),
434 \TOGGLE_COUNTER_SIG_11_\: stratix_lcell generic map (
435 operation_mode => "arithmetic",
436 output_mode => "reg_and_comb",
438 sum_lutc_input => "cin",
442 regout => TOGGLE_COUNTER_SIG_70,
443 cout => TOGGLE_COUNTER_SIG_COUT(11),
445 dataa => TOGGLE_COUNTER_SIG_69,
446 datab => TOGGLE_COUNTER_SIG_70,
447 aclr => un6_dly_counter_0_x,
448 sclr => TOGGLE_SIG_0_0_0_G1_I,
449 cin => TOGGLE_COUNTER_SIG_COUT(9),
458 \TOGGLE_COUNTER_SIG_10_\: stratix_lcell generic map (
459 operation_mode => "arithmetic",
460 output_mode => "reg_and_comb",
462 sum_lutc_input => "cin",
466 regout => TOGGLE_COUNTER_SIG_69,
467 cout => TOGGLE_COUNTER_SIG_COUT(10),
469 dataa => TOGGLE_COUNTER_SIG_69,
470 datab => TOGGLE_COUNTER_SIG_70,
471 aclr => un6_dly_counter_0_x,
472 sclr => TOGGLE_SIG_0_0_0_G1_I,
473 cin => TOGGLE_COUNTER_SIG_COUT(8),
482 \TOGGLE_COUNTER_SIG_9_\: stratix_lcell generic map (
483 operation_mode => "arithmetic",
484 output_mode => "reg_and_comb",
486 sum_lutc_input => "cin",
490 regout => TOGGLE_COUNTER_SIG_68,
491 cout => TOGGLE_COUNTER_SIG_COUT(9),
493 dataa => TOGGLE_COUNTER_SIG_67,
494 datab => TOGGLE_COUNTER_SIG_68,
495 aclr => un6_dly_counter_0_x,
496 sclr => TOGGLE_SIG_0_0_0_G1_I,
497 cin => TOGGLE_COUNTER_SIG_COUT(7),
506 \TOGGLE_COUNTER_SIG_8_\: stratix_lcell generic map (
507 operation_mode => "arithmetic",
508 output_mode => "reg_and_comb",
510 sum_lutc_input => "cin",
514 regout => TOGGLE_COUNTER_SIG_67,
515 cout => TOGGLE_COUNTER_SIG_COUT(8),
517 dataa => TOGGLE_COUNTER_SIG_67,
518 datab => TOGGLE_COUNTER_SIG_68,
519 aclr => un6_dly_counter_0_x,
520 sclr => TOGGLE_SIG_0_0_0_G1_I,
521 cin => TOGGLE_COUNTER_SIG_COUT(6),
530 \TOGGLE_COUNTER_SIG_7_\: stratix_lcell generic map (
531 operation_mode => "arithmetic",
532 output_mode => "reg_and_comb",
534 sum_lutc_input => "cin",
538 regout => TOGGLE_COUNTER_SIG_66,
539 cout => TOGGLE_COUNTER_SIG_COUT(7),
541 dataa => TOGGLE_COUNTER_SIG_65,
542 datab => TOGGLE_COUNTER_SIG_66,
543 aclr => un6_dly_counter_0_x,
544 sclr => TOGGLE_SIG_0_0_0_G1_I,
545 cin => TOGGLE_COUNTER_SIG_COUT(5),
554 \TOGGLE_COUNTER_SIG_6_\: stratix_lcell generic map (
555 operation_mode => "arithmetic",
556 output_mode => "reg_and_comb",
558 sum_lutc_input => "cin",
562 regout => TOGGLE_COUNTER_SIG_65,
563 cout => TOGGLE_COUNTER_SIG_COUT(6),
565 dataa => TOGGLE_COUNTER_SIG_65,
566 datab => TOGGLE_COUNTER_SIG_66,
567 aclr => un6_dly_counter_0_x,
568 sclr => TOGGLE_SIG_0_0_0_G1_I,
569 cin => TOGGLE_COUNTER_SIG_COUT(4),
578 \TOGGLE_COUNTER_SIG_5_\: stratix_lcell generic map (
579 operation_mode => "arithmetic",
580 output_mode => "reg_and_comb",
582 sum_lutc_input => "cin",
586 regout => TOGGLE_COUNTER_SIG_64,
587 cout => TOGGLE_COUNTER_SIG_COUT(5),
589 dataa => TOGGLE_COUNTER_SIG_63,
590 datab => TOGGLE_COUNTER_SIG_64,
591 aclr => un6_dly_counter_0_x,
592 sclr => TOGGLE_SIG_0_0_0_G1_I,
593 cin => TOGGLE_COUNTER_SIG_COUT(3),
602 \TOGGLE_COUNTER_SIG_4_\: stratix_lcell generic map (
603 operation_mode => "arithmetic",
604 output_mode => "reg_and_comb",
606 sum_lutc_input => "cin",
610 regout => TOGGLE_COUNTER_SIG_63,
611 cout => TOGGLE_COUNTER_SIG_COUT(4),
613 dataa => TOGGLE_COUNTER_SIG_63,
614 datab => TOGGLE_COUNTER_SIG_64,
615 aclr => un6_dly_counter_0_x,
616 sclr => TOGGLE_SIG_0_0_0_G1_I,
617 cin => TOGGLE_COUNTER_SIG_COUT(2),
626 \TOGGLE_COUNTER_SIG_3_\: stratix_lcell generic map (
627 operation_mode => "arithmetic",
628 output_mode => "reg_and_comb",
630 sum_lutc_input => "cin",
634 regout => TOGGLE_COUNTER_SIG_62,
635 cout => TOGGLE_COUNTER_SIG_COUT(3),
637 dataa => TOGGLE_COUNTER_SIG_61,
638 datab => TOGGLE_COUNTER_SIG_62,
639 aclr => un6_dly_counter_0_x,
640 sclr => TOGGLE_SIG_0_0_0_G1_I,
641 cin => TOGGLE_COUNTER_SIG_COUT(1),
650 \TOGGLE_COUNTER_SIG_2_\: stratix_lcell generic map (
651 operation_mode => "arithmetic",
652 output_mode => "reg_and_comb",
654 sum_lutc_input => "cin",
658 regout => TOGGLE_COUNTER_SIG_61,
659 cout => TOGGLE_COUNTER_SIG_COUT(2),
661 dataa => TOGGLE_COUNTER_SIG_61,
662 datab => TOGGLE_COUNTER_SIG_62,
663 aclr => un6_dly_counter_0_x,
664 sclr => TOGGLE_SIG_0_0_0_G1_I,
665 cin => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
674 \TOGGLE_COUNTER_SIG_1_\: stratix_lcell generic map (
675 operation_mode => "arithmetic",
676 output_mode => "reg_and_comb",
678 sum_lutc_input => "datac",
681 regout => TOGGLE_COUNTER_SIG_60,
682 cout => TOGGLE_COUNTER_SIG_COUT(1),
684 dataa => TOGGLE_COUNTER_SIG_59,
685 datab => TOGGLE_COUNTER_SIG_60,
686 aclr => un6_dly_counter_0_x,
687 sclr => TOGGLE_SIG_0_0_0_G1_I,
697 \TOGGLE_COUNTER_SIG_0_\: stratix_lcell generic map (
698 operation_mode => "normal",
699 output_mode => "reg_only",
701 sum_lutc_input => "datac",
704 regout => TOGGLE_COUNTER_SIG_59,
706 dataa => TOGGLE_COUNTER_SIG_59,
707 aclr => un6_dly_counter_0_x,
708 sclr => TOGGLE_SIG_0_0_0_G1_I,
719 TOGGLE_SIG_Z147: stratix_lcell generic map (
720 operation_mode => "normal",
721 output_mode => "reg_only",
723 sum_lutc_input => "datac",
726 regout => TOGGLE_SIG_84,
728 dataa => TOGGLE_SIG_84,
729 datab => TOGGLE_SIG_0_0_0_G1,
730 aclr => un6_dly_counter_0_x,
741 B_Z148: stratix_lcell generic map (
742 operation_mode => "normal",
743 output_mode => "reg_only",
745 sum_lutc_input => "datac",
750 dataa => UN13_V_ENABLELTO8,
751 datab => UN5_V_ENABLELTO7,
752 datac => UN17_V_ENABLELTO7,
753 datad => B_NEXT_0_G0_5,
754 aclr => un6_dly_counter_0_x,
763 R_Z149: stratix_lcell generic map (
764 operation_mode => "normal",
765 output_mode => "reg_only",
767 sum_lutc_input => "datac",
773 aclr => un6_dly_counter_0_x,
785 G_Z150: stratix_lcell generic map (
786 operation_mode => "normal",
787 output_mode => "reg_only",
789 sum_lutc_input => "datac",
795 aclr => un6_dly_counter_0_x,
807 TOGGLE_SIG_0_0_0_G1_Z151: stratix_lcell generic map (
808 operation_mode => "normal",
809 output_mode => "comb_only",
811 sum_lutc_input => "datac",
814 combout => TOGGLE_SIG_0_0_0_G1,
815 dataa => TOGGLE_COUNTER_SIG_79,
816 datab => TOGGLE_COUNTER_SIG_80,
817 datac => TOGGLE_SIG_0_0_0_G1_2,
818 datad => UN1_TOGGLE_COUNTER_SIGLTO19,
829 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19: stratix_lcell generic map (
830 operation_mode => "normal",
831 output_mode => "comb_only",
833 sum_lutc_input => "datac",
836 combout => UN1_TOGGLE_COUNTER_SIGLTO19,
837 dataa => TOGGLE_COUNTER_SIG_70,
838 datab => TOGGLE_COUNTER_SIG_71,
839 datac => UN1_TOGGLE_COUNTER_SIGLTO19_5,
840 datad => UN1_TOGGLE_COUNTER_SIGLTO10,
851 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO10: stratix_lcell generic map (
852 operation_mode => "normal",
853 output_mode => "comb_only",
855 sum_lutc_input => "datac",
858 combout => UN1_TOGGLE_COUNTER_SIGLTO10,
859 dataa => TOGGLE_COUNTER_SIG_67,
860 datab => TOGGLE_COUNTER_SIG_68,
861 datac => TOGGLE_COUNTER_SIG_69,
862 datad => UN1_TOGGLE_COUNTER_SIGLTO7,
873 B_NEXT_0_G0_5_Z154: stratix_lcell generic map (
874 operation_mode => "normal",
875 output_mode => "comb_only",
877 sum_lutc_input => "datac",
880 combout => B_NEXT_0_G0_5,
881 dataa => h_enable_sig,
882 datab => TOGGLE_SIG_84,
883 datac => B_NEXT_0_G0_3,
884 datad => UN9_V_ENABLELTO9,
895 DRAW_SQUARE_NEXT_UN17_V_ENABLELTO7: stratix_lcell generic map (
896 operation_mode => "normal",
897 output_mode => "comb_only",
899 sum_lutc_input => "datac",
902 combout => UN17_V_ENABLELTO7,
903 dataa => line_counter_sig_6,
904 datab => line_counter_sig_7,
905 datac => UN17_V_ENABLELTO5,
917 DRAW_SQUARE_NEXT_UN5_V_ENABLELTO7: stratix_lcell generic map (
918 operation_mode => "normal",
919 output_mode => "comb_only",
921 sum_lutc_input => "datac",
924 combout => UN5_V_ENABLELTO7,
925 dataa => column_counter_sig_6,
926 datab => column_counter_sig_7,
927 datac => UN5_V_ENABLELTO5_0,
928 datad => UN5_V_ENABLELTO3,
939 DRAW_SQUARE_NEXT_UN17_V_ENABLELTO5: stratix_lcell generic map (
940 operation_mode => "normal",
941 output_mode => "comb_only",
943 sum_lutc_input => "datac",
946 combout => UN17_V_ENABLELTO5,
947 dataa => line_counter_sig_4,
948 datab => line_counter_sig_5,
949 datac => line_counter_sig_3,
950 datad => UN17_V_ENABLELT2,
961 DRAW_SQUARE_NEXT_UN13_V_ENABLELTO8: stratix_lcell generic map (
962 operation_mode => "normal",
963 output_mode => "comb_only",
965 sum_lutc_input => "datac",
968 combout => UN13_V_ENABLELTO8,
969 dataa => line_counter_sig_8,
970 datab => line_counter_sig_7,
971 datac => line_counter_sig_6,
972 datad => UN13_V_ENABLELTO8_A,
983 DRAW_SQUARE_NEXT_UN13_V_ENABLELTO8_A: stratix_lcell generic map (
984 operation_mode => "normal",
985 output_mode => "comb_only",
987 sum_lutc_input => "datac",
990 combout => UN13_V_ENABLELTO8_A,
991 dataa => line_counter_sig_2,
992 datab => line_counter_sig_4,
993 datac => line_counter_sig_3,
994 datad => line_counter_sig_5,
1005 DRAW_SQUARE_NEXT_UN9_V_ENABLELTO9: stratix_lcell generic map (
1006 operation_mode => "normal",
1007 output_mode => "comb_only",
1008 synch_mode => "off",
1009 sum_lutc_input => "datac",
1012 combout => UN9_V_ENABLELTO9,
1013 dataa => column_counter_sig_7,
1014 datab => column_counter_sig_8,
1015 datac => column_counter_sig_9,
1016 datad => UN9_V_ENABLELTO6,
1027 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19_5: stratix_lcell generic map (
1028 operation_mode => "normal",
1029 output_mode => "comb_only",
1030 synch_mode => "off",
1031 sum_lutc_input => "datac",
1034 combout => UN1_TOGGLE_COUNTER_SIGLTO19_5,
1035 dataa => TOGGLE_COUNTER_SIG_72,
1036 datab => TOGGLE_COUNTER_SIG_73,
1037 datac => TOGGLE_COUNTER_SIG_74,
1038 datad => UN1_TOGGLE_COUNTER_SIGLTO19_4,
1049 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO7: stratix_lcell generic map (
1050 operation_mode => "normal",
1051 output_mode => "comb_only",
1052 synch_mode => "off",
1053 sum_lutc_input => "datac",
1056 combout => UN1_TOGGLE_COUNTER_SIGLTO7,
1057 dataa => TOGGLE_COUNTER_SIG_61,
1058 datab => TOGGLE_COUNTER_SIG_62,
1059 datac => TOGGLE_COUNTER_SIG_63,
1060 datad => UN1_TOGGLE_COUNTER_SIGLTO7_4,
1071 DRAW_SQUARE_NEXT_UN9_V_ENABLELTO6: stratix_lcell generic map (
1072 operation_mode => "normal",
1073 output_mode => "comb_only",
1074 synch_mode => "off",
1075 sum_lutc_input => "datac",
1078 combout => UN9_V_ENABLELTO6,
1079 dataa => column_counter_sig_2,
1080 datab => column_counter_sig_4,
1081 datac => column_counter_sig_3,
1082 datad => un10_column_counter_siglt6_1,
1093 DRAW_SQUARE_NEXT_UN5_V_ENABLELTO3: stratix_lcell generic map (
1094 operation_mode => "normal",
1095 output_mode => "comb_only",
1096 synch_mode => "off",
1097 sum_lutc_input => "datac",
1100 combout => UN5_V_ENABLELTO3,
1101 dataa => column_counter_sig_1,
1102 datab => column_counter_sig_2,
1103 datac => column_counter_sig_0,
1104 datad => column_counter_sig_3,
1115 TOGGLE_SIG_0_0_0_G1_2_Z165: stratix_lcell generic map (
1116 operation_mode => "normal",
1117 output_mode => "comb_only",
1118 synch_mode => "off",
1119 sum_lutc_input => "datac",
1122 combout => TOGGLE_SIG_0_0_0_G1_2,
1123 dataa => TOGGLE_COUNTER_SIG_81,
1124 datab => TOGGLE_COUNTER_SIG_82,
1125 datac => TOGGLE_COUNTER_SIG_83,
1137 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO19_4: stratix_lcell generic map (
1138 operation_mode => "normal",
1139 output_mode => "comb_only",
1140 synch_mode => "off",
1141 sum_lutc_input => "datac",
1144 combout => UN1_TOGGLE_COUNTER_SIGLTO19_4,
1145 dataa => TOGGLE_COUNTER_SIG_75,
1146 datab => TOGGLE_COUNTER_SIG_76,
1147 datac => TOGGLE_COUNTER_SIG_77,
1148 datad => TOGGLE_COUNTER_SIG_78,
1159 B_NEXT_0_G0_3_Z167: stratix_lcell generic map (
1160 operation_mode => "normal",
1161 output_mode => "comb_only",
1162 synch_mode => "off",
1163 sum_lutc_input => "datac",
1166 combout => B_NEXT_0_G0_3,
1167 dataa => line_counter_sig_8,
1168 datab => v_enable_sig,
1169 datac => column_counter_sig_8,
1170 datad => column_counter_sig_9,
1181 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO7_4: stratix_lcell generic map (
1182 operation_mode => "normal",
1183 output_mode => "comb_only",
1184 synch_mode => "off",
1185 sum_lutc_input => "datac",
1188 combout => UN1_TOGGLE_COUNTER_SIGLTO7_4,
1189 dataa => TOGGLE_COUNTER_SIG_60,
1190 datab => TOGGLE_COUNTER_SIG_64,
1191 datac => TOGGLE_COUNTER_SIG_65,
1192 datad => TOGGLE_COUNTER_SIG_66,
1203 DRAW_SQUARE_NEXT_UN17_V_ENABLELT2: stratix_lcell generic map (
1204 operation_mode => "normal",
1205 output_mode => "comb_only",
1206 synch_mode => "off",
1207 sum_lutc_input => "datac",
1210 combout => UN17_V_ENABLELT2,
1211 dataa => line_counter_sig_1,
1212 datab => line_counter_sig_2,
1213 datac => line_counter_sig_0,
1225 DRAW_SQUARE_NEXT_UN5_V_ENABLELTO5_0: stratix_lcell generic map (
1226 operation_mode => "normal",
1227 output_mode => "comb_only",
1228 synch_mode => "off",
1229 sum_lutc_input => "datac",
1232 combout => UN5_V_ENABLELTO5_0,
1233 dataa => column_counter_sig_5,
1234 datab => column_counter_sig_4,
1247 \UN2_TOGGLE_COUNTER_NEXT_0_\: stratix_lcell generic map (
1248 operation_mode => "arithmetic",
1249 output_mode => "comb_only",
1250 synch_mode => "off",
1251 sum_lutc_input => "datac",
1254 cout => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
1255 dataa => TOGGLE_COUNTER_SIG_59,
1256 datab => TOGGLE_COUNTER_SIG_60,
1271 TOGGLE_SIG_0_0_0_G1_I <= not TOGGLE_SIG_0_0_0_G1;
1272 toggle_counter_sig_0 <= TOGGLE_COUNTER_SIG_59;
1273 toggle_counter_sig_1 <= TOGGLE_COUNTER_SIG_60;
1274 toggle_counter_sig_2 <= TOGGLE_COUNTER_SIG_61;
1275 toggle_counter_sig_3 <= TOGGLE_COUNTER_SIG_62;
1276 toggle_counter_sig_4 <= TOGGLE_COUNTER_SIG_63;
1277 toggle_counter_sig_5 <= TOGGLE_COUNTER_SIG_64;
1278 toggle_counter_sig_6 <= TOGGLE_COUNTER_SIG_65;
1279 toggle_counter_sig_7 <= TOGGLE_COUNTER_SIG_66;
1280 toggle_counter_sig_8 <= TOGGLE_COUNTER_SIG_67;
1281 toggle_counter_sig_9 <= TOGGLE_COUNTER_SIG_68;
1282 toggle_counter_sig_10 <= TOGGLE_COUNTER_SIG_69;
1283 toggle_counter_sig_11 <= TOGGLE_COUNTER_SIG_70;
1284 toggle_counter_sig_12 <= TOGGLE_COUNTER_SIG_71;
1285 toggle_counter_sig_13 <= TOGGLE_COUNTER_SIG_72;
1286 toggle_counter_sig_14 <= TOGGLE_COUNTER_SIG_73;
1287 toggle_counter_sig_15 <= TOGGLE_COUNTER_SIG_74;
1288 toggle_counter_sig_16 <= TOGGLE_COUNTER_SIG_75;
1289 toggle_counter_sig_17 <= TOGGLE_COUNTER_SIG_76;
1290 toggle_counter_sig_18 <= TOGGLE_COUNTER_SIG_77;
1291 toggle_counter_sig_19 <= TOGGLE_COUNTER_SIG_78;
1292 toggle_counter_sig_20 <= TOGGLE_COUNTER_SIG_79;
1293 toggle_counter_sig_21 <= TOGGLE_COUNTER_SIG_80;
1294 toggle_counter_sig_22 <= TOGGLE_COUNTER_SIG_81;
1295 toggle_counter_sig_23 <= TOGGLE_COUNTER_SIG_82;
1296 toggle_counter_sig_24 <= TOGGLE_COUNTER_SIG_83;
1297 toggle_sig <= TOGGLE_SIG_84;
1301 library ieee, stratix;
1302 use ieee.std_logic_1164.all;
1303 use ieee.numeric_std.all;
1305 use synplify.components.all;
1306 use stratix.stratix_components.all;
1308 entity vga_driver is
1310 line_counter_sig_0 : out std_logic;
1311 line_counter_sig_1 : out std_logic;
1312 line_counter_sig_2 : out std_logic;
1313 line_counter_sig_3 : out std_logic;
1314 line_counter_sig_4 : out std_logic;
1315 line_counter_sig_5 : out std_logic;
1316 line_counter_sig_6 : out std_logic;
1317 line_counter_sig_7 : out std_logic;
1318 line_counter_sig_8 : out std_logic;
1319 dly_counter_1 : in std_logic;
1320 dly_counter_0 : in std_logic;
1321 vsync_state_2 : out std_logic;
1322 vsync_state_5 : out std_logic;
1323 vsync_state_3 : out std_logic;
1324 vsync_state_6 : out std_logic;
1325 vsync_state_4 : out std_logic;
1326 vsync_state_1 : out std_logic;
1327 vsync_state_0 : out std_logic;
1328 hsync_state_2 : out std_logic;
1329 hsync_state_4 : out std_logic;
1330 hsync_state_0 : out std_logic;
1331 hsync_state_5 : out std_logic;
1332 hsync_state_1 : out std_logic;
1333 hsync_state_3 : out std_logic;
1334 hsync_state_6 : out std_logic;
1335 column_counter_sig_0 : out std_logic;
1336 column_counter_sig_1 : out std_logic;
1337 column_counter_sig_2 : out std_logic;
1338 column_counter_sig_3 : out std_logic;
1339 column_counter_sig_4 : out std_logic;
1340 column_counter_sig_5 : out std_logic;
1341 column_counter_sig_6 : out std_logic;
1342 column_counter_sig_7 : out std_logic;
1343 column_counter_sig_8 : out std_logic;
1344 column_counter_sig_9 : out std_logic;
1345 vsync_counter_9 : out std_logic;
1346 vsync_counter_8 : out std_logic;
1347 vsync_counter_7 : out std_logic;
1348 vsync_counter_6 : out std_logic;
1349 vsync_counter_5 : out std_logic;
1350 vsync_counter_4 : out std_logic;
1351 vsync_counter_3 : out std_logic;
1352 vsync_counter_2 : out std_logic;
1353 vsync_counter_1 : out std_logic;
1354 vsync_counter_0 : out std_logic;
1355 hsync_counter_9 : out std_logic;
1356 hsync_counter_8 : out std_logic;
1357 hsync_counter_7 : out std_logic;
1358 hsync_counter_6 : out std_logic;
1359 hsync_counter_5 : out std_logic;
1360 hsync_counter_4 : out std_logic;
1361 hsync_counter_3 : out std_logic;
1362 hsync_counter_2 : out std_logic;
1363 hsync_counter_1 : out std_logic;
1364 hsync_counter_0 : out std_logic;
1365 d_set_vsync_counter : out std_logic;
1366 un10_column_counter_siglt6_1 : out std_logic;
1367 v_sync : out std_logic;
1368 h_sync : out std_logic;
1369 h_enable_sig : out std_logic;
1370 v_enable_sig : out std_logic;
1371 reset_pin_c : in std_logic;
1372 un6_dly_counter_0_x : out std_logic;
1373 d_set_hsync_counter : out std_logic;
1374 clk_pin_c : in std_logic);
1377 architecture beh of vga_driver is
1378 signal devclrn : std_logic := '1';
1379 signal devpor : std_logic := '1';
1380 signal devoe : std_logic := '0';
1381 signal HSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
1382 signal VSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
1383 signal UN2_COLUMN_COUNTER_NEXT_COMBOUT : std_logic_vector(9 downto 1);
1384 signal UN1_LINE_COUNTER_SIG_COMBOUT : std_logic_vector(9 downto 1);
1385 signal UN1_LINE_COUNTER_SIG_COUT : std_logic_vector(7 downto 1);
1386 signal UN1_LINE_COUNTER_SIG_A_COUT : std_logic_vector(1 to 1);
1387 signal UN2_COLUMN_COUNTER_NEXT_COUT : std_logic_vector(7 downto 0);
1388 signal HSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
1389 signal G_2_I : std_logic ;
1390 signal UN9_HSYNC_COUNTERLT9 : std_logic ;
1391 signal VSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
1392 signal G_16_I : std_logic ;
1393 signal UN9_VSYNC_COUNTERLT9 : std_logic ;
1394 signal UN10_COLUMN_COUNTER_SIGLTO9 : std_logic ;
1395 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
1396 signal \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\ : std_logic ;
1397 signal UN6_DLY_COUNTER_0_X_57 : std_logic ;
1398 signal VSYNC_STATE_NEXT_2_SQMUXA : std_logic ;
1399 signal UN12_VSYNC_COUNTER_7 : std_logic ;
1400 signal UN13_VSYNC_COUNTER_4 : std_logic ;
1401 signal UN10_LINE_COUNTER_SIGLTO8 : std_logic ;
1402 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
1403 signal V_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
1404 signal H_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
1405 signal H_SYNC_1_0_0_0_G1 : std_logic ;
1406 signal V_SYNC_1_0_0_0_G1 : std_logic ;
1407 signal UN14_VSYNC_COUNTER_8 : std_logic ;
1408 signal \HSYNC_STATE_3_0_0_0__G0_0\ : std_logic ;
1409 signal UN10_HSYNC_COUNTER_3 : std_logic ;
1410 signal UN10_HSYNC_COUNTER_1 : std_logic ;
1411 signal UN10_HSYNC_COUNTER_4 : std_logic ;
1412 signal UN12_HSYNC_COUNTER : std_logic ;
1413 signal UN11_HSYNC_COUNTER_2 : std_logic ;
1414 signal UN11_HSYNC_COUNTER_3 : std_logic ;
1415 signal UN13_HSYNC_COUNTER : std_logic ;
1416 signal VSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
1417 signal VSYNC_STATE_NEXT_1_SQMUXA_3 : std_logic ;
1418 signal UN1_VSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
1419 signal HSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
1420 signal HSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
1421 signal UN1_HSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
1422 signal UN12_VSYNC_COUNTER_6 : std_logic ;
1423 signal UN15_VSYNC_COUNTER_4 : std_logic ;
1424 signal VSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
1425 signal UN10_LINE_COUNTER_SIGLTO5 : std_logic ;
1426 signal UN10_COLUMN_COUNTER_SIGLT6 : std_logic ;
1427 signal UN12_HSYNC_COUNTER_3 : std_logic ;
1428 signal UN12_HSYNC_COUNTER_4 : std_logic ;
1429 signal UN13_HSYNC_COUNTER_2 : std_logic ;
1430 signal UN13_HSYNC_COUNTER_7 : std_logic ;
1431 signal UN9_HSYNC_COUNTERLT9_3 : std_logic ;
1432 signal UN9_VSYNC_COUNTERLT9_5 : std_logic ;
1433 signal UN9_VSYNC_COUNTERLT9_6 : std_logic ;
1434 signal UN10_LINE_COUNTER_SIGLT4_2 : std_logic ;
1435 signal UN13_VSYNC_COUNTER_3 : std_logic ;
1436 signal UN15_VSYNC_COUNTER_3 : std_logic ;
1437 signal UN10_COLUMN_COUNTER_SIGLT6_2 : std_logic ;
1438 signal D_SET_HSYNC_COUNTER_58 : std_logic ;
1439 signal H_SYNC_56 : std_logic ;
1440 signal UN1_HSYNC_STATE_3_0 : std_logic ;
1441 signal V_SYNC_55 : std_logic ;
1442 signal UN1_VSYNC_STATE_2_0 : std_logic ;
1443 signal UN10_COLUMN_COUNTER_SIGLT6_54 : std_logic ;
1444 signal D_SET_VSYNC_COUNTER_53 : std_logic ;
1445 signal VCC : std_logic ;
1446 signal LINE_COUNTER_SIG_0_0 : std_logic ;
1447 signal LINE_COUNTER_SIG_1_0 : std_logic ;
1448 signal LINE_COUNTER_SIG_2_0 : std_logic ;
1449 signal LINE_COUNTER_SIG_3_0 : std_logic ;
1450 signal LINE_COUNTER_SIG_4_0 : std_logic ;
1451 signal LINE_COUNTER_SIG_5_0 : std_logic ;
1452 signal LINE_COUNTER_SIG_6_0 : std_logic ;
1453 signal LINE_COUNTER_SIG_7_0 : std_logic ;
1454 signal LINE_COUNTER_SIG_8_0 : std_logic ;
1455 signal VSYNC_STATE_9 : std_logic ;
1456 signal VSYNC_STATE_10 : std_logic ;
1457 signal VSYNC_STATE_11 : std_logic ;
1458 signal VSYNC_STATE_12 : std_logic ;
1459 signal VSYNC_STATE_13 : std_logic ;
1460 signal VSYNC_STATE_14 : std_logic ;
1461 signal VSYNC_STATE_15 : std_logic ;
1462 signal HSYNC_STATE_16 : std_logic ;
1463 signal HSYNC_STATE_17 : std_logic ;
1464 signal HSYNC_STATE_18 : std_logic ;
1465 signal HSYNC_STATE_19 : std_logic ;
1466 signal HSYNC_STATE_20 : std_logic ;
1467 signal HSYNC_STATE_21 : std_logic ;
1468 signal HSYNC_STATE_22 : std_logic ;
1469 signal COLUMN_COUNTER_SIG_23 : std_logic ;
1470 signal COLUMN_COUNTER_SIG_24 : std_logic ;
1471 signal COLUMN_COUNTER_SIG_25 : std_logic ;
1472 signal COLUMN_COUNTER_SIG_26 : std_logic ;
1473 signal COLUMN_COUNTER_SIG_27 : std_logic ;
1474 signal COLUMN_COUNTER_SIG_28 : std_logic ;
1475 signal COLUMN_COUNTER_SIG_29 : std_logic ;
1476 signal COLUMN_COUNTER_SIG_30 : std_logic ;
1477 signal COLUMN_COUNTER_SIG_31 : std_logic ;
1478 signal COLUMN_COUNTER_SIG_32 : std_logic ;
1479 signal VSYNC_COUNTER_33 : std_logic ;
1480 signal VSYNC_COUNTER_34 : std_logic ;
1481 signal VSYNC_COUNTER_35 : std_logic ;
1482 signal VSYNC_COUNTER_36 : std_logic ;
1483 signal VSYNC_COUNTER_37 : std_logic ;
1484 signal VSYNC_COUNTER_38 : std_logic ;
1485 signal VSYNC_COUNTER_39 : std_logic ;
1486 signal VSYNC_COUNTER_40 : std_logic ;
1487 signal VSYNC_COUNTER_41 : std_logic ;
1488 signal VSYNC_COUNTER_42 : std_logic ;
1489 signal HSYNC_COUNTER_43 : std_logic ;
1490 signal HSYNC_COUNTER_44 : std_logic ;
1491 signal HSYNC_COUNTER_45 : std_logic ;
1492 signal HSYNC_COUNTER_46 : std_logic ;
1493 signal HSYNC_COUNTER_47 : std_logic ;
1494 signal HSYNC_COUNTER_48 : std_logic ;
1495 signal HSYNC_COUNTER_49 : std_logic ;
1496 signal HSYNC_COUNTER_50 : std_logic ;
1497 signal HSYNC_COUNTER_51 : std_logic ;
1498 signal HSYNC_COUNTER_52 : std_logic ;
1499 signal GND : std_logic ;
1500 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
1501 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
1502 signal G_16_I_I : std_logic ;
1503 signal UN9_VSYNC_COUNTERLT9_I : std_logic ;
1504 signal G_2_I_I : std_logic ;
1505 signal UN9_HSYNC_COUNTERLT9_I : std_logic ;
1507 \HSYNC_COUNTER_0_\: stratix_lcell generic map (
1508 operation_mode => "arithmetic",
1509 output_mode => "reg_and_comb",
1511 sum_lutc_input => "datac",
1514 regout => HSYNC_COUNTER_52,
1515 cout => HSYNC_COUNTER_COUT(0),
1517 dataa => HSYNC_COUNTER_52,
1519 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1521 sload => UN9_HSYNC_COUNTERLT9_I,
1530 \HSYNC_COUNTER_1_\: stratix_lcell generic map (
1531 operation_mode => "arithmetic",
1532 output_mode => "reg_and_comb",
1534 sum_lutc_input => "cin",
1538 regout => HSYNC_COUNTER_51,
1539 cout => HSYNC_COUNTER_COUT(1),
1541 dataa => HSYNC_COUNTER_51,
1542 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1544 sload => UN9_HSYNC_COUNTERLT9_I,
1545 cin => HSYNC_COUNTER_COUT(0),
1554 \HSYNC_COUNTER_2_\: stratix_lcell generic map (
1555 operation_mode => "arithmetic",
1556 output_mode => "reg_and_comb",
1558 sum_lutc_input => "cin",
1562 regout => HSYNC_COUNTER_50,
1563 cout => HSYNC_COUNTER_COUT(2),
1565 dataa => HSYNC_COUNTER_50,
1566 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1568 sload => UN9_HSYNC_COUNTERLT9_I,
1569 cin => HSYNC_COUNTER_COUT(1),
1578 \HSYNC_COUNTER_3_\: stratix_lcell generic map (
1579 operation_mode => "arithmetic",
1580 output_mode => "reg_and_comb",
1582 sum_lutc_input => "cin",
1586 regout => HSYNC_COUNTER_49,
1587 cout => HSYNC_COUNTER_COUT(3),
1589 dataa => HSYNC_COUNTER_49,
1590 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1592 sload => UN9_HSYNC_COUNTERLT9_I,
1593 cin => HSYNC_COUNTER_COUT(2),
1602 \HSYNC_COUNTER_4_\: stratix_lcell generic map (
1603 operation_mode => "arithmetic",
1604 output_mode => "reg_and_comb",
1606 sum_lutc_input => "cin",
1610 regout => HSYNC_COUNTER_48,
1611 cout => HSYNC_COUNTER_COUT(4),
1613 dataa => HSYNC_COUNTER_48,
1614 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1616 sload => UN9_HSYNC_COUNTERLT9_I,
1617 cin => HSYNC_COUNTER_COUT(3),
1626 \HSYNC_COUNTER_5_\: stratix_lcell generic map (
1627 operation_mode => "arithmetic",
1628 output_mode => "reg_and_comb",
1630 sum_lutc_input => "cin",
1634 regout => HSYNC_COUNTER_47,
1635 cout => HSYNC_COUNTER_COUT(5),
1637 dataa => HSYNC_COUNTER_47,
1638 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1640 sload => UN9_HSYNC_COUNTERLT9_I,
1641 cin => HSYNC_COUNTER_COUT(4),
1650 \HSYNC_COUNTER_6_\: stratix_lcell generic map (
1651 operation_mode => "arithmetic",
1652 output_mode => "reg_and_comb",
1654 sum_lutc_input => "cin",
1658 regout => HSYNC_COUNTER_46,
1659 cout => HSYNC_COUNTER_COUT(6),
1661 dataa => HSYNC_COUNTER_46,
1662 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1664 sload => UN9_HSYNC_COUNTERLT9_I,
1665 cin => HSYNC_COUNTER_COUT(5),
1674 \HSYNC_COUNTER_7_\: stratix_lcell generic map (
1675 operation_mode => "arithmetic",
1676 output_mode => "reg_and_comb",
1678 sum_lutc_input => "cin",
1682 regout => HSYNC_COUNTER_45,
1683 cout => HSYNC_COUNTER_COUT(7),
1685 dataa => HSYNC_COUNTER_45,
1686 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1688 sload => UN9_HSYNC_COUNTERLT9_I,
1689 cin => HSYNC_COUNTER_COUT(6),
1698 \HSYNC_COUNTER_8_\: stratix_lcell generic map (
1699 operation_mode => "arithmetic",
1700 output_mode => "reg_and_comb",
1702 sum_lutc_input => "cin",
1706 regout => HSYNC_COUNTER_44,
1707 cout => HSYNC_COUNTER_COUT(8),
1709 dataa => HSYNC_COUNTER_44,
1710 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1712 sload => UN9_HSYNC_COUNTERLT9_I,
1713 cin => HSYNC_COUNTER_COUT(7),
1722 \HSYNC_COUNTER_9_\: stratix_lcell generic map (
1723 operation_mode => "normal",
1724 output_mode => "reg_only",
1726 sum_lutc_input => "cin",
1730 regout => HSYNC_COUNTER_43,
1732 dataa => HSYNC_COUNTER_43,
1733 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1735 sload => UN9_HSYNC_COUNTERLT9_I,
1736 cin => HSYNC_COUNTER_COUT(8),
1745 \VSYNC_COUNTER_0_\: stratix_lcell generic map (
1746 operation_mode => "arithmetic",
1747 output_mode => "reg_and_comb",
1749 sum_lutc_input => "datac",
1752 regout => VSYNC_COUNTER_42,
1753 cout => VSYNC_COUNTER_COUT(0),
1755 dataa => VSYNC_COUNTER_42,
1756 datab => D_SET_HSYNC_COUNTER_58,
1757 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1759 sload => UN9_VSYNC_COUNTERLT9_I,
1768 \VSYNC_COUNTER_1_\: stratix_lcell generic map (
1769 operation_mode => "arithmetic",
1770 output_mode => "reg_and_comb",
1772 sum_lutc_input => "cin",
1776 regout => VSYNC_COUNTER_41,
1777 cout => VSYNC_COUNTER_COUT(1),
1779 dataa => VSYNC_COUNTER_41,
1780 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1782 sload => UN9_VSYNC_COUNTERLT9_I,
1783 cin => VSYNC_COUNTER_COUT(0),
1792 \VSYNC_COUNTER_2_\: stratix_lcell generic map (
1793 operation_mode => "arithmetic",
1794 output_mode => "reg_and_comb",
1796 sum_lutc_input => "cin",
1800 regout => VSYNC_COUNTER_40,
1801 cout => VSYNC_COUNTER_COUT(2),
1803 dataa => VSYNC_COUNTER_40,
1804 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1806 sload => UN9_VSYNC_COUNTERLT9_I,
1807 cin => VSYNC_COUNTER_COUT(1),
1816 \VSYNC_COUNTER_3_\: stratix_lcell generic map (
1817 operation_mode => "arithmetic",
1818 output_mode => "reg_and_comb",
1820 sum_lutc_input => "cin",
1824 regout => VSYNC_COUNTER_39,
1825 cout => VSYNC_COUNTER_COUT(3),
1827 dataa => VSYNC_COUNTER_39,
1828 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1830 sload => UN9_VSYNC_COUNTERLT9_I,
1831 cin => VSYNC_COUNTER_COUT(2),
1840 \VSYNC_COUNTER_4_\: stratix_lcell generic map (
1841 operation_mode => "arithmetic",
1842 output_mode => "reg_and_comb",
1844 sum_lutc_input => "cin",
1848 regout => VSYNC_COUNTER_38,
1849 cout => VSYNC_COUNTER_COUT(4),
1851 dataa => VSYNC_COUNTER_38,
1852 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1854 sload => UN9_VSYNC_COUNTERLT9_I,
1855 cin => VSYNC_COUNTER_COUT(3),
1864 \VSYNC_COUNTER_5_\: stratix_lcell generic map (
1865 operation_mode => "arithmetic",
1866 output_mode => "reg_and_comb",
1868 sum_lutc_input => "cin",
1872 regout => VSYNC_COUNTER_37,
1873 cout => VSYNC_COUNTER_COUT(5),
1875 dataa => VSYNC_COUNTER_37,
1876 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1878 sload => UN9_VSYNC_COUNTERLT9_I,
1879 cin => VSYNC_COUNTER_COUT(4),
1888 \VSYNC_COUNTER_6_\: stratix_lcell generic map (
1889 operation_mode => "arithmetic",
1890 output_mode => "reg_and_comb",
1892 sum_lutc_input => "cin",
1896 regout => VSYNC_COUNTER_36,
1897 cout => VSYNC_COUNTER_COUT(6),
1899 dataa => VSYNC_COUNTER_36,
1900 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1902 sload => UN9_VSYNC_COUNTERLT9_I,
1903 cin => VSYNC_COUNTER_COUT(5),
1912 \VSYNC_COUNTER_7_\: stratix_lcell generic map (
1913 operation_mode => "arithmetic",
1914 output_mode => "reg_and_comb",
1916 sum_lutc_input => "cin",
1920 regout => VSYNC_COUNTER_35,
1921 cout => VSYNC_COUNTER_COUT(7),
1923 dataa => VSYNC_COUNTER_35,
1924 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1926 sload => UN9_VSYNC_COUNTERLT9_I,
1927 cin => VSYNC_COUNTER_COUT(6),
1936 \VSYNC_COUNTER_8_\: stratix_lcell generic map (
1937 operation_mode => "arithmetic",
1938 output_mode => "reg_and_comb",
1940 sum_lutc_input => "cin",
1944 regout => VSYNC_COUNTER_34,
1945 cout => VSYNC_COUNTER_COUT(8),
1947 dataa => VSYNC_COUNTER_34,
1948 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1950 sload => UN9_VSYNC_COUNTERLT9_I,
1951 cin => VSYNC_COUNTER_COUT(7),
1960 \VSYNC_COUNTER_9_\: stratix_lcell generic map (
1961 operation_mode => "normal",
1962 output_mode => "reg_only",
1964 sum_lutc_input => "cin",
1968 regout => VSYNC_COUNTER_33,
1970 dataa => VSYNC_COUNTER_33,
1971 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1973 sload => UN9_VSYNC_COUNTERLT9_I,
1974 cin => VSYNC_COUNTER_COUT(8),
1983 \COLUMN_COUNTER_SIG_9_\: stratix_lcell generic map (
1984 operation_mode => "normal",
1985 output_mode => "reg_only",
1987 sum_lutc_input => "datac",
1990 regout => COLUMN_COUNTER_SIG_32,
1992 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
1993 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1994 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2005 \COLUMN_COUNTER_SIG_8_\: stratix_lcell generic map (
2006 operation_mode => "normal",
2007 output_mode => "reg_only",
2008 synch_mode => "off",
2009 sum_lutc_input => "datac",
2012 regout => COLUMN_COUNTER_SIG_31,
2014 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
2015 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2016 datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
2027 \COLUMN_COUNTER_SIG_7_\: stratix_lcell generic map (
2028 operation_mode => "normal",
2029 output_mode => "reg_only",
2030 synch_mode => "off",
2031 sum_lutc_input => "datac",
2034 regout => COLUMN_COUNTER_SIG_30,
2036 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
2037 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2038 datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
2049 \COLUMN_COUNTER_SIG_6_\: stratix_lcell generic map (
2050 operation_mode => "normal",
2051 output_mode => "reg_only",
2053 sum_lutc_input => "datac",
2056 regout => COLUMN_COUNTER_SIG_29,
2058 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
2059 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2060 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2071 \COLUMN_COUNTER_SIG_5_\: stratix_lcell generic map (
2072 operation_mode => "normal",
2073 output_mode => "reg_only",
2075 sum_lutc_input => "datac",
2078 regout => COLUMN_COUNTER_SIG_28,
2080 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
2081 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2082 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2093 \COLUMN_COUNTER_SIG_4_\: stratix_lcell generic map (
2094 operation_mode => "normal",
2095 output_mode => "reg_only",
2097 sum_lutc_input => "datac",
2100 regout => COLUMN_COUNTER_SIG_27,
2102 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
2103 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2104 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2115 \COLUMN_COUNTER_SIG_3_\: stratix_lcell generic map (
2116 operation_mode => "normal",
2117 output_mode => "reg_only",
2119 sum_lutc_input => "datac",
2122 regout => COLUMN_COUNTER_SIG_26,
2124 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
2125 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2126 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2137 \COLUMN_COUNTER_SIG_2_\: stratix_lcell generic map (
2138 operation_mode => "normal",
2139 output_mode => "reg_only",
2141 sum_lutc_input => "datac",
2144 regout => COLUMN_COUNTER_SIG_25,
2146 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
2147 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2148 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2159 \COLUMN_COUNTER_SIG_1_\: stratix_lcell generic map (
2160 operation_mode => "normal",
2161 output_mode => "reg_only",
2163 sum_lutc_input => "datac",
2166 regout => COLUMN_COUNTER_SIG_24,
2168 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
2169 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2170 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2181 \COLUMN_COUNTER_SIG_0_\: stratix_lcell generic map (
2182 operation_mode => "normal",
2183 output_mode => "reg_only",
2185 sum_lutc_input => "datac",
2188 regout => COLUMN_COUNTER_SIG_23,
2190 dataa => COLUMN_COUNTER_SIG_23,
2191 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2192 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2203 \HSYNC_STATE_6_\: stratix_lcell generic map (
2204 operation_mode => "normal",
2205 output_mode => "reg_only",
2206 synch_mode => "off",
2207 sum_lutc_input => "datac",
2210 regout => HSYNC_STATE_22,
2212 datad => UN6_DLY_COUNTER_0_X_57,
2225 \VSYNC_STATE_0_\: stratix_lcell generic map (
2226 operation_mode => "normal",
2227 output_mode => "reg_only",
2228 synch_mode => "off",
2229 sum_lutc_input => "datac",
2232 regout => VSYNC_STATE_15,
2234 dataa => VSYNC_STATE_15,
2235 datab => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
2236 datac => UN6_DLY_COUNTER_0_X_57,
2237 datad => VSYNC_STATE_NEXT_2_SQMUXA,
2247 \VSYNC_STATE_1_\: stratix_lcell generic map (
2248 operation_mode => "normal",
2249 output_mode => "reg_only",
2250 synch_mode => "off",
2251 sum_lutc_input => "datac",
2254 regout => VSYNC_STATE_14,
2256 dataa => VSYNC_STATE_13,
2257 datab => UN12_VSYNC_COUNTER_7,
2258 datac => UN13_VSYNC_COUNTER_4,
2259 datad => UN6_DLY_COUNTER_0_X_57,
2269 \VSYNC_STATE_6_\: stratix_lcell generic map (
2270 operation_mode => "normal",
2271 output_mode => "reg_and_comb",
2272 synch_mode => "off",
2273 sum_lutc_input => "datac",
2276 combout => UN6_DLY_COUNTER_0_X_57,
2277 regout => VSYNC_STATE_12,
2279 dataa => reset_pin_c,
2280 datab => dly_counter_0,
2281 datac => dly_counter_1,
2292 \LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
2293 operation_mode => "normal",
2294 output_mode => "reg_only",
2296 sum_lutc_input => "datac",
2299 regout => LINE_COUNTER_SIG_8_0,
2301 dataa => UN10_LINE_COUNTER_SIGLTO8,
2302 datab => UN1_LINE_COUNTER_SIG_COMBOUT(9),
2303 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2314 \LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
2315 operation_mode => "normal",
2316 output_mode => "reg_only",
2318 sum_lutc_input => "datac",
2321 regout => LINE_COUNTER_SIG_7_0,
2323 dataa => UN10_LINE_COUNTER_SIGLTO8,
2324 datab => UN1_LINE_COUNTER_SIG_COMBOUT(8),
2325 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2336 \LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
2337 operation_mode => "normal",
2338 output_mode => "reg_only",
2340 sum_lutc_input => "datac",
2343 regout => LINE_COUNTER_SIG_6_0,
2345 dataa => UN10_LINE_COUNTER_SIGLTO8,
2346 datab => UN1_LINE_COUNTER_SIG_COMBOUT(7),
2347 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2358 \LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
2359 operation_mode => "normal",
2360 output_mode => "reg_only",
2361 synch_mode => "off",
2362 sum_lutc_input => "datac",
2365 regout => LINE_COUNTER_SIG_5_0,
2367 dataa => UN10_LINE_COUNTER_SIGLTO8,
2368 datab => UN1_LINE_COUNTER_SIG_COMBOUT(6),
2369 datac => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
2380 \LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
2381 operation_mode => "normal",
2382 output_mode => "reg_only",
2384 sum_lutc_input => "datac",
2387 regout => LINE_COUNTER_SIG_4_0,
2389 dataa => UN10_LINE_COUNTER_SIGLTO8,
2390 datab => UN1_LINE_COUNTER_SIG_COMBOUT(5),
2391 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2402 \LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
2403 operation_mode => "normal",
2404 output_mode => "reg_only",
2406 sum_lutc_input => "datac",
2409 regout => LINE_COUNTER_SIG_3_0,
2411 dataa => UN10_LINE_COUNTER_SIGLTO8,
2412 datab => UN1_LINE_COUNTER_SIG_COMBOUT(4),
2413 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2424 \LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
2425 operation_mode => "normal",
2426 output_mode => "reg_only",
2428 sum_lutc_input => "datac",
2431 regout => LINE_COUNTER_SIG_2_0,
2433 dataa => UN10_LINE_COUNTER_SIGLTO8,
2434 datab => UN1_LINE_COUNTER_SIG_COMBOUT(3),
2435 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2446 \LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
2447 operation_mode => "normal",
2448 output_mode => "reg_only",
2450 sum_lutc_input => "datac",
2453 regout => LINE_COUNTER_SIG_1_0,
2455 dataa => UN10_LINE_COUNTER_SIGLTO8,
2456 datab => UN1_LINE_COUNTER_SIG_COMBOUT(2),
2457 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2468 \LINE_COUNTER_SIG_0_\: stratix_lcell generic map (
2469 operation_mode => "normal",
2470 output_mode => "reg_only",
2472 sum_lutc_input => "datac",
2475 regout => LINE_COUNTER_SIG_0_0,
2477 dataa => UN1_LINE_COUNTER_SIG_COMBOUT(1),
2478 datab => UN10_LINE_COUNTER_SIGLTO8,
2479 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2490 V_ENABLE_SIG_Z285: stratix_lcell generic map (
2491 operation_mode => "normal",
2492 output_mode => "reg_only",
2494 sum_lutc_input => "datac",
2497 regout => v_enable_sig,
2499 dataa => HSYNC_STATE_21,
2500 datab => HSYNC_STATE_20,
2501 sclr => UN6_DLY_COUNTER_0_X_57,
2502 ena => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
2512 H_ENABLE_SIG_Z286: stratix_lcell generic map (
2513 operation_mode => "normal",
2514 output_mode => "reg_only",
2516 sum_lutc_input => "datac",
2519 regout => h_enable_sig,
2521 dataa => VSYNC_STATE_11,
2522 datab => VSYNC_STATE_14,
2523 sclr => UN6_DLY_COUNTER_0_X_57,
2524 ena => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
2534 H_SYNC_Z287: stratix_lcell generic map (
2535 operation_mode => "normal",
2536 output_mode => "reg_only",
2537 synch_mode => "off",
2538 sum_lutc_input => "datac",
2541 regout => H_SYNC_56,
2543 dataa => reset_pin_c,
2544 datab => dly_counter_0,
2545 datac => dly_counter_1,
2546 datad => H_SYNC_1_0_0_0_G1,
2556 V_SYNC_Z288: stratix_lcell generic map (
2557 operation_mode => "normal",
2558 output_mode => "reg_only",
2559 synch_mode => "off",
2560 sum_lutc_input => "datac",
2563 regout => V_SYNC_55,
2565 dataa => reset_pin_c,
2566 datab => dly_counter_0,
2567 datac => dly_counter_1,
2568 datad => V_SYNC_1_0_0_0_G1,
2578 \VSYNC_STATE_5_\: stratix_lcell generic map (
2579 operation_mode => "normal",
2580 output_mode => "reg_only",
2582 sum_lutc_input => "datac",
2585 regout => VSYNC_STATE_10,
2587 dataa => VSYNC_STATE_12,
2588 datab => VSYNC_STATE_15,
2589 sclr => UN6_DLY_COUNTER_0_X_57,
2590 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2600 \VSYNC_STATE_4_\: stratix_lcell generic map (
2601 operation_mode => "normal",
2602 output_mode => "reg_only",
2604 sum_lutc_input => "datac",
2607 regout => VSYNC_STATE_13,
2609 dataa => VSYNC_COUNTER_42,
2610 datab => VSYNC_COUNTER_33,
2611 datac => VSYNC_STATE_10,
2612 datad => UN14_VSYNC_COUNTER_8,
2613 sclr => UN6_DLY_COUNTER_0_X_57,
2614 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2622 \VSYNC_STATE_3_\: stratix_lcell generic map (
2623 operation_mode => "normal",
2624 output_mode => "reg_only",
2626 sum_lutc_input => "datac",
2629 regout => VSYNC_STATE_11,
2631 dataa => VSYNC_STATE_14,
2632 sclr => UN6_DLY_COUNTER_0_X_57,
2633 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2644 \VSYNC_STATE_2_\: stratix_lcell generic map (
2645 operation_mode => "normal",
2646 output_mode => "reg_only",
2648 sum_lutc_input => "datac",
2651 regout => VSYNC_STATE_9,
2653 dataa => VSYNC_COUNTER_42,
2654 datab => VSYNC_COUNTER_33,
2655 datac => VSYNC_STATE_11,
2656 datad => UN14_VSYNC_COUNTER_8,
2657 sclr => UN6_DLY_COUNTER_0_X_57,
2658 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2666 \HSYNC_STATE_5_\: stratix_lcell generic map (
2667 operation_mode => "normal",
2668 output_mode => "reg_only",
2670 sum_lutc_input => "datac",
2673 regout => HSYNC_STATE_19,
2675 dataa => HSYNC_STATE_22,
2676 datab => HSYNC_STATE_18,
2677 sclr => UN6_DLY_COUNTER_0_X_57,
2678 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2688 \HSYNC_STATE_4_\: stratix_lcell generic map (
2689 operation_mode => "normal",
2690 output_mode => "reg_only",
2692 sum_lutc_input => "datac",
2695 regout => HSYNC_STATE_17,
2697 dataa => HSYNC_STATE_19,
2698 datab => UN10_HSYNC_COUNTER_3,
2699 datac => UN10_HSYNC_COUNTER_1,
2700 datad => UN10_HSYNC_COUNTER_4,
2701 sclr => UN6_DLY_COUNTER_0_X_57,
2702 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2710 \HSYNC_STATE_3_\: stratix_lcell generic map (
2711 operation_mode => "normal",
2712 output_mode => "reg_only",
2714 sum_lutc_input => "datac",
2717 regout => HSYNC_STATE_21,
2719 dataa => HSYNC_STATE_20,
2720 sclr => UN6_DLY_COUNTER_0_X_57,
2721 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2732 \HSYNC_STATE_2_\: stratix_lcell generic map (
2733 operation_mode => "normal",
2734 output_mode => "reg_only",
2736 sum_lutc_input => "datac",
2739 regout => HSYNC_STATE_16,
2741 dataa => HSYNC_STATE_21,
2742 datab => UN12_HSYNC_COUNTER,
2743 sclr => UN6_DLY_COUNTER_0_X_57,
2744 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2754 \HSYNC_STATE_1_\: stratix_lcell generic map (
2755 operation_mode => "normal",
2756 output_mode => "reg_only",
2758 sum_lutc_input => "datac",
2761 regout => HSYNC_STATE_20,
2763 dataa => HSYNC_STATE_17,
2764 datab => UN11_HSYNC_COUNTER_2,
2765 datac => UN10_HSYNC_COUNTER_1,
2766 datad => UN11_HSYNC_COUNTER_3,
2767 sclr => UN6_DLY_COUNTER_0_X_57,
2768 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2776 \HSYNC_STATE_0_\: stratix_lcell generic map (
2777 operation_mode => "normal",
2778 output_mode => "reg_only",
2780 sum_lutc_input => "datac",
2783 regout => HSYNC_STATE_18,
2785 dataa => HSYNC_STATE_16,
2786 datab => UN13_HSYNC_COUNTER,
2787 sclr => UN6_DLY_COUNTER_0_X_57,
2788 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2798 VSYNC_STATE_NEXT_2_SQMUXA_Z299: stratix_lcell generic map (
2799 operation_mode => "normal",
2800 output_mode => "comb_only",
2801 synch_mode => "off",
2802 sum_lutc_input => "datac",
2805 combout => VSYNC_STATE_NEXT_2_SQMUXA,
2806 dataa => UN6_DLY_COUNTER_0_X_57,
2807 datab => VSYNC_STATE_NEXT_1_SQMUXA_1,
2808 datac => VSYNC_STATE_NEXT_1_SQMUXA_3,
2809 datad => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
2820 \HSYNC_STATE_3_0_0_0__G0_0_Z300\: stratix_lcell generic map (
2821 operation_mode => "normal",
2822 output_mode => "comb_only",
2823 synch_mode => "off",
2824 sum_lutc_input => "datac",
2827 combout => \HSYNC_STATE_3_0_0_0__G0_0\,
2828 dataa => HSYNC_STATE_NEXT_1_SQMUXA_1,
2829 datab => HSYNC_STATE_NEXT_1_SQMUXA_2,
2830 datac => UN6_DLY_COUNTER_0_X_57,
2831 datad => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
2842 UN1_HSYNC_STATE_NEXT_1_SQMUXA_0_Z301: stratix_lcell generic map (
2843 operation_mode => "normal",
2844 output_mode => "comb_only",
2845 synch_mode => "off",
2846 sum_lutc_input => "datac",
2849 combout => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
2850 dataa => HSYNC_STATE_16,
2851 datab => HSYNC_STATE_21,
2852 datac => UN13_HSYNC_COUNTER,
2853 datad => UN12_HSYNC_COUNTER,
2864 UN1_VSYNC_STATE_NEXT_1_SQMUXA_0_Z302: stratix_lcell generic map (
2865 operation_mode => "normal",
2866 output_mode => "comb_only",
2867 synch_mode => "off",
2868 sum_lutc_input => "datac",
2871 combout => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
2872 dataa => VSYNC_STATE_9,
2873 datab => UN12_VSYNC_COUNTER_6,
2874 datac => UN15_VSYNC_COUNTER_4,
2875 datad => VSYNC_STATE_NEXT_1_SQMUXA_2,
2886 \VSYNC_STATE_3_IV_0_0__G0_0_A3_0_Z303\: stratix_lcell generic map (
2887 operation_mode => "normal",
2888 output_mode => "comb_only",
2889 synch_mode => "off",
2890 sum_lutc_input => "datac",
2893 combout => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
2894 dataa => VSYNC_STATE_9,
2895 datab => UN12_VSYNC_COUNTER_6,
2896 datac => UN15_VSYNC_COUNTER_4,
2908 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO8: stratix_lcell generic map (
2909 operation_mode => "normal",
2910 output_mode => "comb_only",
2911 synch_mode => "off",
2912 sum_lutc_input => "datac",
2915 combout => UN10_LINE_COUNTER_SIGLTO8,
2916 dataa => LINE_COUNTER_SIG_7_0,
2917 datab => LINE_COUNTER_SIG_8_0,
2918 datac => LINE_COUNTER_SIG_6_0,
2919 datad => UN10_LINE_COUNTER_SIGLTO5,
2930 G_2: stratix_lcell generic map (
2931 operation_mode => "normal",
2932 output_mode => "comb_only",
2933 synch_mode => "off",
2934 sum_lutc_input => "datac",
2938 dataa => HSYNC_STATE_18,
2939 datab => HSYNC_STATE_22,
2940 datac => UN9_HSYNC_COUNTERLT9,
2941 datad => UN6_DLY_COUNTER_0_X_57,
2952 VSYNC_STATE_NEXT_1_SQMUXA_1_Z306: stratix_lcell generic map (
2953 operation_mode => "normal",
2954 output_mode => "comb_only",
2955 synch_mode => "off",
2956 sum_lutc_input => "datac",
2959 combout => VSYNC_STATE_NEXT_1_SQMUXA_1,
2960 dataa => VSYNC_COUNTER_42,
2961 datab => VSYNC_COUNTER_33,
2962 datac => VSYNC_STATE_10,
2963 datad => UN14_VSYNC_COUNTER_8,
2974 VSYNC_STATE_NEXT_1_SQMUXA_2_Z307: stratix_lcell generic map (
2975 operation_mode => "normal",
2976 output_mode => "comb_only",
2977 synch_mode => "off",
2978 sum_lutc_input => "datac",
2981 combout => VSYNC_STATE_NEXT_1_SQMUXA_2,
2982 dataa => VSYNC_STATE_13,
2983 datab => UN12_VSYNC_COUNTER_7,
2984 datac => UN13_VSYNC_COUNTER_4,
2996 VSYNC_STATE_NEXT_1_SQMUXA_3_Z308: stratix_lcell generic map (
2997 operation_mode => "normal",
2998 output_mode => "comb_only",
2999 synch_mode => "off",
3000 sum_lutc_input => "datac",
3003 combout => VSYNC_STATE_NEXT_1_SQMUXA_3,
3004 dataa => VSYNC_COUNTER_42,
3005 datab => VSYNC_COUNTER_33,
3006 datac => VSYNC_STATE_11,
3007 datad => UN14_VSYNC_COUNTER_8,
3018 G_16: stratix_lcell generic map (
3019 operation_mode => "normal",
3020 output_mode => "comb_only",
3021 synch_mode => "off",
3022 sum_lutc_input => "datac",
3026 dataa => VSYNC_STATE_15,
3027 datab => VSYNC_STATE_12,
3028 datac => UN9_VSYNC_COUNTERLT9,
3029 datad => UN6_DLY_COUNTER_0_X_57,
3040 HSYNC_STATE_NEXT_1_SQMUXA_2_Z310: stratix_lcell generic map (
3041 operation_mode => "normal",
3042 output_mode => "comb_only",
3043 synch_mode => "off",
3044 sum_lutc_input => "datac",
3047 combout => HSYNC_STATE_NEXT_1_SQMUXA_2,
3048 dataa => HSYNC_STATE_17,
3049 datab => UN11_HSYNC_COUNTER_2,
3050 datac => UN10_HSYNC_COUNTER_1,
3051 datad => UN11_HSYNC_COUNTER_3,
3062 HSYNC_STATE_NEXT_1_SQMUXA_1_Z311: stratix_lcell generic map (
3063 operation_mode => "normal",
3064 output_mode => "comb_only",
3065 synch_mode => "off",
3066 sum_lutc_input => "datac",
3069 combout => HSYNC_STATE_NEXT_1_SQMUXA_1,
3070 dataa => HSYNC_STATE_19,
3071 datab => UN10_HSYNC_COUNTER_3,
3072 datac => UN10_HSYNC_COUNTER_1,
3073 datad => UN10_HSYNC_COUNTER_4,
3084 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLTO9: stratix_lcell generic map (
3085 operation_mode => "normal",
3086 output_mode => "comb_only",
3087 synch_mode => "off",
3088 sum_lutc_input => "datac",
3091 combout => UN10_COLUMN_COUNTER_SIGLTO9,
3092 dataa => COLUMN_COUNTER_SIG_30,
3093 datab => COLUMN_COUNTER_SIG_31,
3094 datac => COLUMN_COUNTER_SIG_32,
3095 datad => UN10_COLUMN_COUNTER_SIGLT6,
3106 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER: stratix_lcell generic map (
3107 operation_mode => "normal",
3108 output_mode => "comb_only",
3109 synch_mode => "off",
3110 sum_lutc_input => "datac",
3113 combout => UN12_HSYNC_COUNTER,
3114 dataa => HSYNC_COUNTER_52,
3115 datab => HSYNC_COUNTER_51,
3116 datac => UN12_HSYNC_COUNTER_3,
3117 datad => UN12_HSYNC_COUNTER_4,
3128 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER: stratix_lcell generic map (
3129 operation_mode => "normal",
3130 output_mode => "comb_only",
3131 synch_mode => "off",
3132 sum_lutc_input => "datac",
3135 combout => UN13_HSYNC_COUNTER,
3136 dataa => HSYNC_COUNTER_46,
3137 datab => HSYNC_COUNTER_45,
3138 datac => UN13_HSYNC_COUNTER_2,
3139 datad => UN13_HSYNC_COUNTER_7,
3150 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9: stratix_lcell generic map (
3151 operation_mode => "normal",
3152 output_mode => "comb_only",
3153 synch_mode => "off",
3154 sum_lutc_input => "datac",
3157 combout => UN9_HSYNC_COUNTERLT9,
3158 dataa => HSYNC_COUNTER_44,
3159 datab => HSYNC_COUNTER_43,
3160 datac => UN9_HSYNC_COUNTERLT9_3,
3161 datad => UN13_HSYNC_COUNTER_7,
3172 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9: stratix_lcell generic map (
3173 operation_mode => "normal",
3174 output_mode => "comb_only",
3175 synch_mode => "off",
3176 sum_lutc_input => "datac",
3179 combout => UN9_VSYNC_COUNTERLT9,
3180 dataa => VSYNC_COUNTER_38,
3181 datab => VSYNC_COUNTER_37,
3182 datac => UN9_VSYNC_COUNTERLT9_5,
3183 datad => UN9_VSYNC_COUNTERLT9_6,
3194 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO5: stratix_lcell generic map (
3195 operation_mode => "normal",
3196 output_mode => "comb_only",
3197 synch_mode => "off",
3198 sum_lutc_input => "datac",
3201 combout => UN10_LINE_COUNTER_SIGLTO5,
3202 dataa => LINE_COUNTER_SIG_1_0,
3203 datab => LINE_COUNTER_SIG_2_0,
3204 datac => LINE_COUNTER_SIG_5_0,
3205 datad => UN10_LINE_COUNTER_SIGLT4_2,
3216 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_4: stratix_lcell generic map (
3217 operation_mode => "normal",
3218 output_mode => "comb_only",
3219 synch_mode => "off",
3220 sum_lutc_input => "datac",
3223 combout => UN13_VSYNC_COUNTER_4,
3224 dataa => VSYNC_COUNTER_42,
3225 datab => VSYNC_COUNTER_37,
3226 datac => UN13_VSYNC_COUNTER_3,
3238 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_4: stratix_lcell generic map (
3239 operation_mode => "normal",
3240 output_mode => "comb_only",
3241 synch_mode => "off",
3242 sum_lutc_input => "datac",
3245 combout => UN15_VSYNC_COUNTER_4,
3246 dataa => VSYNC_COUNTER_41,
3247 datab => VSYNC_COUNTER_38,
3248 datac => UN15_VSYNC_COUNTER_3,
3260 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6: stratix_lcell generic map (
3261 operation_mode => "normal",
3262 output_mode => "comb_only",
3263 synch_mode => "off",
3264 sum_lutc_input => "datac",
3267 combout => UN10_COLUMN_COUNTER_SIGLT6,
3268 dataa => COLUMN_COUNTER_SIG_23,
3269 datab => COLUMN_COUNTER_SIG_24,
3270 datac => UN10_COLUMN_COUNTER_SIGLT6_54,
3271 datad => UN10_COLUMN_COUNTER_SIGLT6_2,
3282 HSYNC_COUNTER_NEXT_1_SQMUXA_Z321: stratix_lcell generic map (
3283 operation_mode => "normal",
3284 output_mode => "comb_only",
3285 synch_mode => "off",
3286 sum_lutc_input => "datac",
3289 combout => HSYNC_COUNTER_NEXT_1_SQMUXA,
3290 dataa => reset_pin_c,
3291 datab => dly_counter_0,
3292 datac => dly_counter_1,
3293 datad => D_SET_HSYNC_COUNTER_58,
3304 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_Z322: stratix_lcell generic map (
3305 operation_mode => "normal",
3306 output_mode => "comb_only",
3307 synch_mode => "off",
3308 sum_lutc_input => "datac",
3311 combout => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
3312 dataa => reset_pin_c,
3313 datab => dly_counter_0,
3314 datac => dly_counter_1,
3315 datad => HSYNC_STATE_20,
3326 H_SYNC_1_0_0_0_G1_Z323: stratix_lcell generic map (
3327 operation_mode => "normal",
3328 output_mode => "comb_only",
3329 synch_mode => "off",
3330 sum_lutc_input => "datac",
3333 combout => H_SYNC_1_0_0_0_G1,
3334 dataa => HSYNC_STATE_16,
3336 datac => HSYNC_STATE_17,
3337 datad => UN1_HSYNC_STATE_3_0,
3348 LINE_COUNTER_NEXT_0_SQMUXA_1_1_Z324: stratix_lcell generic map (
3349 operation_mode => "normal",
3350 output_mode => "comb_only",
3351 synch_mode => "off",
3352 sum_lutc_input => "datac",
3355 combout => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
3356 dataa => reset_pin_c,
3357 datab => dly_counter_0,
3358 datac => dly_counter_1,
3359 datad => VSYNC_STATE_14,
3370 V_SYNC_1_0_0_0_G1_Z325: stratix_lcell generic map (
3371 operation_mode => "normal",
3372 output_mode => "comb_only",
3373 synch_mode => "off",
3374 sum_lutc_input => "datac",
3377 combout => V_SYNC_1_0_0_0_G1,
3378 dataa => VSYNC_STATE_9,
3380 datac => VSYNC_STATE_13,
3381 datad => UN1_VSYNC_STATE_2_0,
3392 H_ENABLE_SIG_1_0_0_0_G0_I_O4_Z326: stratix_lcell generic map (
3393 operation_mode => "normal",
3394 output_mode => "comb_only",
3395 synch_mode => "off",
3396 sum_lutc_input => "datac",
3399 combout => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
3400 dataa => VSYNC_STATE_13,
3401 datab => VSYNC_STATE_10,
3402 datac => UN6_DLY_COUNTER_0_X_57,
3414 VSYNC_COUNTER_NEXT_1_SQMUXA_Z327: stratix_lcell generic map (
3415 operation_mode => "normal",
3416 output_mode => "comb_only",
3417 synch_mode => "off",
3418 sum_lutc_input => "datac",
3421 combout => VSYNC_COUNTER_NEXT_1_SQMUXA,
3422 dataa => reset_pin_c,
3423 datab => dly_counter_0,
3424 datac => dly_counter_1,
3425 datad => D_SET_VSYNC_COUNTER_53,
3436 VSYNC_FSM_NEXT_UN14_VSYNC_COUNTER_8: stratix_lcell generic map (
3437 operation_mode => "normal",
3438 output_mode => "comb_only",
3439 synch_mode => "off",
3440 sum_lutc_input => "datac",
3443 combout => UN14_VSYNC_COUNTER_8,
3444 dataa => UN12_VSYNC_COUNTER_6,
3445 datab => UN12_VSYNC_COUNTER_7,
3458 V_ENABLE_SIG_1_0_0_0_G0_I_O4_Z329: stratix_lcell generic map (
3459 operation_mode => "normal",
3460 output_mode => "comb_only",
3461 synch_mode => "off",
3462 sum_lutc_input => "datac",
3465 combout => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
3466 dataa => HSYNC_STATE_17,
3467 datab => HSYNC_STATE_19,
3468 datac => UN6_DLY_COUNTER_0_X_57,
3480 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_3: stratix_lcell generic map (
3481 operation_mode => "normal",
3482 output_mode => "comb_only",
3483 synch_mode => "off",
3484 sum_lutc_input => "datac",
3487 combout => UN11_HSYNC_COUNTER_3,
3488 dataa => HSYNC_COUNTER_52,
3489 datab => HSYNC_COUNTER_51,
3490 datac => HSYNC_COUNTER_49,
3491 datad => HSYNC_COUNTER_48,
3502 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_2: stratix_lcell generic map (
3503 operation_mode => "normal",
3504 output_mode => "comb_only",
3505 synch_mode => "off",
3506 sum_lutc_input => "datac",
3509 combout => UN11_HSYNC_COUNTER_2,
3510 dataa => HSYNC_COUNTER_50,
3511 datab => HSYNC_COUNTER_45,
3512 datac => HSYNC_COUNTER_46,
3524 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_4: stratix_lcell generic map (
3525 operation_mode => "normal",
3526 output_mode => "comb_only",
3527 synch_mode => "off",
3528 sum_lutc_input => "datac",
3531 combout => UN12_HSYNC_COUNTER_4,
3532 dataa => HSYNC_COUNTER_46,
3533 datab => HSYNC_COUNTER_45,
3534 datac => HSYNC_COUNTER_50,
3535 datad => HSYNC_COUNTER_48,
3546 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_3: stratix_lcell generic map (
3547 operation_mode => "normal",
3548 output_mode => "comb_only",
3549 synch_mode => "off",
3550 sum_lutc_input => "datac",
3553 combout => UN12_HSYNC_COUNTER_3,
3554 dataa => HSYNC_COUNTER_43,
3555 datab => HSYNC_COUNTER_47,
3556 datac => HSYNC_COUNTER_44,
3557 datad => HSYNC_COUNTER_49,
3568 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9_3: stratix_lcell generic map (
3569 operation_mode => "normal",
3570 output_mode => "comb_only",
3571 synch_mode => "off",
3572 sum_lutc_input => "datac",
3575 combout => UN9_HSYNC_COUNTERLT9_3,
3576 dataa => HSYNC_COUNTER_46,
3577 datab => HSYNC_COUNTER_45,
3578 datac => HSYNC_COUNTER_48,
3579 datad => HSYNC_COUNTER_47,
3590 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_2: stratix_lcell generic map (
3591 operation_mode => "normal",
3592 output_mode => "comb_only",
3593 synch_mode => "off",
3594 sum_lutc_input => "datac",
3597 combout => UN13_HSYNC_COUNTER_2,
3598 dataa => HSYNC_COUNTER_44,
3599 datab => HSYNC_COUNTER_43,
3600 datac => HSYNC_COUNTER_48,
3601 datad => HSYNC_COUNTER_47,
3612 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_6: stratix_lcell generic map (
3613 operation_mode => "normal",
3614 output_mode => "comb_only",
3615 synch_mode => "off",
3616 sum_lutc_input => "datac",
3619 combout => UN9_VSYNC_COUNTERLT9_6,
3620 dataa => VSYNC_COUNTER_40,
3621 datab => VSYNC_COUNTER_39,
3622 datac => VSYNC_COUNTER_42,
3623 datad => VSYNC_COUNTER_41,
3634 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_5: stratix_lcell generic map (
3635 operation_mode => "normal",
3636 output_mode => "comb_only",
3637 synch_mode => "off",
3638 sum_lutc_input => "datac",
3641 combout => UN9_VSYNC_COUNTERLT9_5,
3642 dataa => VSYNC_COUNTER_34,
3643 datab => VSYNC_COUNTER_33,
3644 datac => VSYNC_COUNTER_36,
3645 datad => VSYNC_COUNTER_35,
3656 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_3: stratix_lcell generic map (
3657 operation_mode => "normal",
3658 output_mode => "comb_only",
3659 synch_mode => "off",
3660 sum_lutc_input => "datac",
3663 combout => UN13_VSYNC_COUNTER_3,
3664 dataa => VSYNC_COUNTER_36,
3665 datab => VSYNC_COUNTER_35,
3666 datac => VSYNC_COUNTER_34,
3667 datad => VSYNC_COUNTER_33,
3678 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_4: stratix_lcell generic map (
3679 operation_mode => "normal",
3680 output_mode => "comb_only",
3681 synch_mode => "off",
3682 sum_lutc_input => "datac",
3685 combout => UN10_HSYNC_COUNTER_4,
3686 dataa => HSYNC_COUNTER_48,
3687 datab => HSYNC_COUNTER_46,
3688 datac => HSYNC_COUNTER_51,
3689 datad => HSYNC_COUNTER_49,
3700 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_3: stratix_lcell generic map (
3701 operation_mode => "normal",
3702 output_mode => "comb_only",
3703 synch_mode => "off",
3704 sum_lutc_input => "datac",
3707 combout => UN10_HSYNC_COUNTER_3,
3708 dataa => HSYNC_COUNTER_52,
3709 datab => HSYNC_COUNTER_45,
3710 datac => HSYNC_COUNTER_50,
3722 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_3: stratix_lcell generic map (
3723 operation_mode => "normal",
3724 output_mode => "comb_only",
3725 synch_mode => "off",
3726 sum_lutc_input => "datac",
3729 combout => UN15_VSYNC_COUNTER_3,
3730 dataa => VSYNC_COUNTER_33,
3731 datab => VSYNC_COUNTER_40,
3732 datac => VSYNC_COUNTER_39,
3733 datad => VSYNC_COUNTER_42,
3744 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_2: stratix_lcell generic map (
3745 operation_mode => "normal",
3746 output_mode => "comb_only",
3747 synch_mode => "off",
3748 sum_lutc_input => "datac",
3751 combout => UN10_COLUMN_COUNTER_SIGLT6_2,
3752 dataa => COLUMN_COUNTER_SIG_25,
3753 datab => COLUMN_COUNTER_SIG_26,
3754 datac => COLUMN_COUNTER_SIG_27,
3766 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLT4_2: stratix_lcell generic map (
3767 operation_mode => "normal",
3768 output_mode => "comb_only",
3769 synch_mode => "off",
3770 sum_lutc_input => "datac",
3773 combout => UN10_LINE_COUNTER_SIGLT4_2,
3774 dataa => LINE_COUNTER_SIG_0_0,
3775 datab => LINE_COUNTER_SIG_4_0,
3776 datac => LINE_COUNTER_SIG_3_0,
3788 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_1: stratix_lcell generic map (
3789 operation_mode => "normal",
3790 output_mode => "comb_only",
3791 synch_mode => "off",
3792 sum_lutc_input => "datac",
3795 combout => UN10_HSYNC_COUNTER_1,
3796 dataa => HSYNC_COUNTER_47,
3797 datab => HSYNC_COUNTER_44,
3798 datac => HSYNC_COUNTER_43,
3810 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_7: stratix_lcell generic map (
3811 operation_mode => "normal",
3812 output_mode => "comb_only",
3813 synch_mode => "off",
3814 sum_lutc_input => "datac",
3817 combout => UN13_HSYNC_COUNTER_7,
3818 dataa => HSYNC_COUNTER_50,
3819 datab => HSYNC_COUNTER_49,
3820 datac => HSYNC_COUNTER_52,
3821 datad => HSYNC_COUNTER_51,
3832 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_6: stratix_lcell generic map (
3833 operation_mode => "normal",
3834 output_mode => "comb_only",
3835 synch_mode => "off",
3836 sum_lutc_input => "datac",
3839 combout => UN12_VSYNC_COUNTER_6,
3840 dataa => VSYNC_COUNTER_35,
3841 datab => VSYNC_COUNTER_34,
3842 datac => VSYNC_COUNTER_37,
3843 datad => VSYNC_COUNTER_36,
3854 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_7: stratix_lcell generic map (
3855 operation_mode => "normal",
3856 output_mode => "comb_only",
3857 synch_mode => "off",
3858 sum_lutc_input => "datac",
3861 combout => UN12_VSYNC_COUNTER_7,
3862 dataa => VSYNC_COUNTER_39,
3863 datab => VSYNC_COUNTER_38,
3864 datac => VSYNC_COUNTER_41,
3865 datad => VSYNC_COUNTER_40,
3876 UN1_HSYNC_STATE_3_0_Z348: stratix_lcell generic map (
3877 operation_mode => "normal",
3878 output_mode => "comb_only",
3879 synch_mode => "off",
3880 sum_lutc_input => "datac",
3883 combout => UN1_HSYNC_STATE_3_0,
3884 dataa => HSYNC_STATE_21,
3885 datab => HSYNC_STATE_20,
3898 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_1: stratix_lcell generic map (
3899 operation_mode => "normal",
3900 output_mode => "comb_only",
3901 synch_mode => "off",
3902 sum_lutc_input => "datac",
3905 combout => UN10_COLUMN_COUNTER_SIGLT6_54,
3906 dataa => COLUMN_COUNTER_SIG_29,
3907 datab => COLUMN_COUNTER_SIG_28,
3920 UN1_VSYNC_STATE_2_0_Z350: stratix_lcell generic map (
3921 operation_mode => "normal",
3922 output_mode => "comb_only",
3923 synch_mode => "off",
3924 sum_lutc_input => "datac",
3927 combout => UN1_VSYNC_STATE_2_0,
3928 dataa => VSYNC_STATE_11,
3929 datab => VSYNC_STATE_14,
3942 D_SET_HSYNC_COUNTER_Z351: stratix_lcell generic map (
3943 operation_mode => "normal",
3944 output_mode => "comb_only",
3945 synch_mode => "off",
3946 sum_lutc_input => "datac",
3949 combout => D_SET_HSYNC_COUNTER_58,
3950 dataa => HSYNC_STATE_22,
3951 datab => HSYNC_STATE_18,
3964 D_SET_VSYNC_COUNTER_Z352: stratix_lcell generic map (
3965 operation_mode => "normal",
3966 output_mode => "comb_only",
3967 synch_mode => "off",
3968 sum_lutc_input => "datac",
3971 combout => D_SET_VSYNC_COUNTER_53,
3972 dataa => VSYNC_STATE_12,
3973 datab => VSYNC_STATE_15,
3986 \UN1_LINE_COUNTER_SIG_9_\: stratix_lcell generic map (
3987 operation_mode => "normal",
3988 output_mode => "comb_only",
3989 synch_mode => "off",
3990 sum_lutc_input => "cin",
3994 combout => UN1_LINE_COUNTER_SIG_COMBOUT(9),
3995 dataa => LINE_COUNTER_SIG_7_0,
3996 datab => LINE_COUNTER_SIG_8_0,
3997 cin => UN1_LINE_COUNTER_SIG_COUT(7),
4009 \UN1_LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
4010 operation_mode => "normal",
4011 output_mode => "comb_only",
4012 synch_mode => "off",
4013 sum_lutc_input => "cin",
4017 combout => UN1_LINE_COUNTER_SIG_COMBOUT(8),
4018 dataa => LINE_COUNTER_SIG_7_0,
4019 cin => UN1_LINE_COUNTER_SIG_COUT(6),
4032 \UN1_LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
4033 operation_mode => "arithmetic",
4034 output_mode => "comb_only",
4035 synch_mode => "off",
4036 sum_lutc_input => "cin",
4040 combout => UN1_LINE_COUNTER_SIG_COMBOUT(7),
4041 cout => UN1_LINE_COUNTER_SIG_COUT(7),
4042 dataa => LINE_COUNTER_SIG_5_0,
4043 datab => LINE_COUNTER_SIG_6_0,
4044 cin => UN1_LINE_COUNTER_SIG_COUT(5),
4056 \UN1_LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
4057 operation_mode => "arithmetic",
4058 output_mode => "comb_only",
4059 synch_mode => "off",
4060 sum_lutc_input => "cin",
4064 combout => UN1_LINE_COUNTER_SIG_COMBOUT(6),
4065 cout => UN1_LINE_COUNTER_SIG_COUT(6),
4066 dataa => LINE_COUNTER_SIG_5_0,
4067 datab => LINE_COUNTER_SIG_6_0,
4068 cin => UN1_LINE_COUNTER_SIG_COUT(4),
4080 \UN1_LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
4081 operation_mode => "arithmetic",
4082 output_mode => "comb_only",
4083 synch_mode => "off",
4084 sum_lutc_input => "cin",
4088 combout => UN1_LINE_COUNTER_SIG_COMBOUT(5),
4089 cout => UN1_LINE_COUNTER_SIG_COUT(5),
4090 dataa => LINE_COUNTER_SIG_3_0,
4091 datab => LINE_COUNTER_SIG_4_0,
4092 cin => UN1_LINE_COUNTER_SIG_COUT(3),
4104 \UN1_LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
4105 operation_mode => "arithmetic",
4106 output_mode => "comb_only",
4107 synch_mode => "off",
4108 sum_lutc_input => "cin",
4112 combout => UN1_LINE_COUNTER_SIG_COMBOUT(4),
4113 cout => UN1_LINE_COUNTER_SIG_COUT(4),
4114 dataa => LINE_COUNTER_SIG_3_0,
4115 datab => LINE_COUNTER_SIG_4_0,
4116 cin => UN1_LINE_COUNTER_SIG_COUT(2),
4128 \UN1_LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
4129 operation_mode => "arithmetic",
4130 output_mode => "comb_only",
4131 synch_mode => "off",
4132 sum_lutc_input => "cin",
4136 combout => UN1_LINE_COUNTER_SIG_COMBOUT(3),
4137 cout => UN1_LINE_COUNTER_SIG_COUT(3),
4138 dataa => LINE_COUNTER_SIG_1_0,
4139 datab => LINE_COUNTER_SIG_2_0,
4140 cin => UN1_LINE_COUNTER_SIG_COUT(1),
4152 \UN1_LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
4153 operation_mode => "arithmetic",
4154 output_mode => "comb_only",
4155 synch_mode => "off",
4156 sum_lutc_input => "cin",
4160 combout => UN1_LINE_COUNTER_SIG_COMBOUT(2),
4161 cout => UN1_LINE_COUNTER_SIG_COUT(2),
4162 dataa => LINE_COUNTER_SIG_1_0,
4163 datab => LINE_COUNTER_SIG_2_0,
4164 cin => UN1_LINE_COUNTER_SIG_A_COUT(1),
4176 \UN1_LINE_COUNTER_SIG_A_1_\: stratix_lcell generic map (
4177 operation_mode => "arithmetic",
4178 output_mode => "comb_only",
4179 synch_mode => "off",
4180 sum_lutc_input => "datac",
4183 cout => UN1_LINE_COUNTER_SIG_A_COUT(1),
4184 dataa => D_SET_HSYNC_COUNTER_58,
4185 datab => LINE_COUNTER_SIG_0_0,
4198 \UN1_LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
4199 operation_mode => "arithmetic",
4200 output_mode => "comb_only",
4201 synch_mode => "off",
4202 sum_lutc_input => "datac",
4205 combout => UN1_LINE_COUNTER_SIG_COMBOUT(1),
4206 cout => UN1_LINE_COUNTER_SIG_COUT(1),
4207 dataa => D_SET_HSYNC_COUNTER_58,
4208 datab => LINE_COUNTER_SIG_0_0,
4221 \UN2_COLUMN_COUNTER_NEXT_9_\: stratix_lcell generic map (
4222 operation_mode => "normal",
4223 output_mode => "comb_only",
4224 synch_mode => "off",
4225 sum_lutc_input => "cin",
4229 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
4230 dataa => COLUMN_COUNTER_SIG_31,
4231 datab => COLUMN_COUNTER_SIG_32,
4232 cin => UN2_COLUMN_COUNTER_NEXT_COUT(7),
4244 \UN2_COLUMN_COUNTER_NEXT_8_\: stratix_lcell generic map (
4245 operation_mode => "normal",
4246 output_mode => "comb_only",
4247 synch_mode => "off",
4248 sum_lutc_input => "cin",
4252 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
4253 dataa => COLUMN_COUNTER_SIG_31,
4254 cin => UN2_COLUMN_COUNTER_NEXT_COUT(6),
4267 \UN2_COLUMN_COUNTER_NEXT_7_\: stratix_lcell generic map (
4268 operation_mode => "arithmetic",
4269 output_mode => "comb_only",
4270 synch_mode => "off",
4271 sum_lutc_input => "cin",
4275 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
4276 cout => UN2_COLUMN_COUNTER_NEXT_COUT(7),
4277 dataa => COLUMN_COUNTER_SIG_29,
4278 datab => COLUMN_COUNTER_SIG_30,
4279 cin => UN2_COLUMN_COUNTER_NEXT_COUT(5),
4291 \UN2_COLUMN_COUNTER_NEXT_6_\: stratix_lcell generic map (
4292 operation_mode => "arithmetic",
4293 output_mode => "comb_only",
4294 synch_mode => "off",
4295 sum_lutc_input => "cin",
4299 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
4300 cout => UN2_COLUMN_COUNTER_NEXT_COUT(6),
4301 dataa => COLUMN_COUNTER_SIG_29,
4302 datab => COLUMN_COUNTER_SIG_30,
4303 cin => UN2_COLUMN_COUNTER_NEXT_COUT(4),
4315 \UN2_COLUMN_COUNTER_NEXT_5_\: stratix_lcell generic map (
4316 operation_mode => "arithmetic",
4317 output_mode => "comb_only",
4318 synch_mode => "off",
4319 sum_lutc_input => "cin",
4323 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
4324 cout => UN2_COLUMN_COUNTER_NEXT_COUT(5),
4325 dataa => COLUMN_COUNTER_SIG_27,
4326 datab => COLUMN_COUNTER_SIG_28,
4327 cin => UN2_COLUMN_COUNTER_NEXT_COUT(3),
4339 \UN2_COLUMN_COUNTER_NEXT_4_\: stratix_lcell generic map (
4340 operation_mode => "arithmetic",
4341 output_mode => "comb_only",
4342 synch_mode => "off",
4343 sum_lutc_input => "cin",
4347 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
4348 cout => UN2_COLUMN_COUNTER_NEXT_COUT(4),
4349 dataa => COLUMN_COUNTER_SIG_27,
4350 datab => COLUMN_COUNTER_SIG_28,
4351 cin => UN2_COLUMN_COUNTER_NEXT_COUT(2),
4363 \UN2_COLUMN_COUNTER_NEXT_3_\: stratix_lcell generic map (
4364 operation_mode => "arithmetic",
4365 output_mode => "comb_only",
4366 synch_mode => "off",
4367 sum_lutc_input => "cin",
4371 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
4372 cout => UN2_COLUMN_COUNTER_NEXT_COUT(3),
4373 dataa => COLUMN_COUNTER_SIG_25,
4374 datab => COLUMN_COUNTER_SIG_26,
4375 cin => UN2_COLUMN_COUNTER_NEXT_COUT(1),
4387 \UN2_COLUMN_COUNTER_NEXT_2_\: stratix_lcell generic map (
4388 operation_mode => "arithmetic",
4389 output_mode => "comb_only",
4390 synch_mode => "off",
4391 sum_lutc_input => "cin",
4395 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
4396 cout => UN2_COLUMN_COUNTER_NEXT_COUT(2),
4397 dataa => COLUMN_COUNTER_SIG_25,
4398 datab => COLUMN_COUNTER_SIG_26,
4399 cin => UN2_COLUMN_COUNTER_NEXT_COUT(0),
4411 \UN2_COLUMN_COUNTER_NEXT_1_\: stratix_lcell generic map (
4412 operation_mode => "arithmetic",
4413 output_mode => "comb_only",
4414 synch_mode => "off",
4415 sum_lutc_input => "datac",
4418 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
4419 cout => UN2_COLUMN_COUNTER_NEXT_COUT(1),
4420 dataa => COLUMN_COUNTER_SIG_23,
4421 datab => COLUMN_COUNTER_SIG_24,
4434 \UN2_COLUMN_COUNTER_NEXT_0_\: stratix_lcell generic map (
4435 operation_mode => "arithmetic",
4436 output_mode => "comb_only",
4437 synch_mode => "off",
4438 sum_lutc_input => "datac",
4441 cout => UN2_COLUMN_COUNTER_NEXT_COUT(0),
4442 dataa => COLUMN_COUNTER_SIG_23,
4443 datab => COLUMN_COUNTER_SIG_24,
4458 LINE_COUNTER_NEXT_0_SQMUXA_1_1_I <= not LINE_COUNTER_NEXT_0_SQMUXA_1_1;
4459 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I <= not COLUMN_COUNTER_NEXT_0_SQMUXA_1_1;
4460 G_16_I_I <= not G_16_I;
4461 UN9_VSYNC_COUNTERLT9_I <= not UN9_VSYNC_COUNTERLT9;
4462 G_2_I_I <= not G_2_I;
4463 UN9_HSYNC_COUNTERLT9_I <= not UN9_HSYNC_COUNTERLT9;
4464 line_counter_sig_0 <= LINE_COUNTER_SIG_0_0;
4465 line_counter_sig_1 <= LINE_COUNTER_SIG_1_0;
4466 line_counter_sig_2 <= LINE_COUNTER_SIG_2_0;
4467 line_counter_sig_3 <= LINE_COUNTER_SIG_3_0;
4468 line_counter_sig_4 <= LINE_COUNTER_SIG_4_0;
4469 line_counter_sig_5 <= LINE_COUNTER_SIG_5_0;
4470 line_counter_sig_6 <= LINE_COUNTER_SIG_6_0;
4471 line_counter_sig_7 <= LINE_COUNTER_SIG_7_0;
4472 line_counter_sig_8 <= LINE_COUNTER_SIG_8_0;
4473 vsync_state_2 <= VSYNC_STATE_9;
4474 vsync_state_5 <= VSYNC_STATE_10;
4475 vsync_state_3 <= VSYNC_STATE_11;
4476 vsync_state_6 <= VSYNC_STATE_12;
4477 vsync_state_4 <= VSYNC_STATE_13;
4478 vsync_state_1 <= VSYNC_STATE_14;
4479 vsync_state_0 <= VSYNC_STATE_15;
4480 hsync_state_2 <= HSYNC_STATE_16;
4481 hsync_state_4 <= HSYNC_STATE_17;
4482 hsync_state_0 <= HSYNC_STATE_18;
4483 hsync_state_5 <= HSYNC_STATE_19;
4484 hsync_state_1 <= HSYNC_STATE_20;
4485 hsync_state_3 <= HSYNC_STATE_21;
4486 hsync_state_6 <= HSYNC_STATE_22;
4487 column_counter_sig_0 <= COLUMN_COUNTER_SIG_23;
4488 column_counter_sig_1 <= COLUMN_COUNTER_SIG_24;
4489 column_counter_sig_2 <= COLUMN_COUNTER_SIG_25;
4490 column_counter_sig_3 <= COLUMN_COUNTER_SIG_26;
4491 column_counter_sig_4 <= COLUMN_COUNTER_SIG_27;
4492 column_counter_sig_5 <= COLUMN_COUNTER_SIG_28;
4493 column_counter_sig_6 <= COLUMN_COUNTER_SIG_29;
4494 column_counter_sig_7 <= COLUMN_COUNTER_SIG_30;
4495 column_counter_sig_8 <= COLUMN_COUNTER_SIG_31;
4496 column_counter_sig_9 <= COLUMN_COUNTER_SIG_32;
4497 vsync_counter_9 <= VSYNC_COUNTER_33;
4498 vsync_counter_8 <= VSYNC_COUNTER_34;
4499 vsync_counter_7 <= VSYNC_COUNTER_35;
4500 vsync_counter_6 <= VSYNC_COUNTER_36;
4501 vsync_counter_5 <= VSYNC_COUNTER_37;
4502 vsync_counter_4 <= VSYNC_COUNTER_38;
4503 vsync_counter_3 <= VSYNC_COUNTER_39;
4504 vsync_counter_2 <= VSYNC_COUNTER_40;
4505 vsync_counter_1 <= VSYNC_COUNTER_41;
4506 vsync_counter_0 <= VSYNC_COUNTER_42;
4507 hsync_counter_9 <= HSYNC_COUNTER_43;
4508 hsync_counter_8 <= HSYNC_COUNTER_44;
4509 hsync_counter_7 <= HSYNC_COUNTER_45;
4510 hsync_counter_6 <= HSYNC_COUNTER_46;
4511 hsync_counter_5 <= HSYNC_COUNTER_47;
4512 hsync_counter_4 <= HSYNC_COUNTER_48;
4513 hsync_counter_3 <= HSYNC_COUNTER_49;
4514 hsync_counter_2 <= HSYNC_COUNTER_50;
4515 hsync_counter_1 <= HSYNC_COUNTER_51;
4516 hsync_counter_0 <= HSYNC_COUNTER_52;
4517 d_set_vsync_counter <= D_SET_VSYNC_COUNTER_53;
4518 un10_column_counter_siglt6_1 <= UN10_COLUMN_COUNTER_SIGLT6_54;
4519 v_sync <= V_SYNC_55;
4520 h_sync <= H_SYNC_56;
4521 un6_dly_counter_0_x <= UN6_DLY_COUNTER_0_X_57;
4522 d_set_hsync_counter <= D_SET_HSYNC_COUNTER_58;
4526 library ieee, stratix;
4527 use ieee.std_logic_1164.all;
4528 use ieee.numeric_std.all;
4530 use synplify.components.all;
4531 use stratix.stratix_components.all;
4535 clk_pin : in std_logic;
4536 reset_pin : in std_logic;
4537 r0_pin : out std_logic;
4538 r1_pin : out std_logic;
4539 r2_pin : out std_logic;
4540 g0_pin : out std_logic;
4541 g1_pin : out std_logic;
4542 g2_pin : out std_logic;
4543 b0_pin : out std_logic;
4544 b1_pin : out std_logic;
4545 hsync_pin : out std_logic;
4546 vsync_pin : out std_logic;
4547 seven_seg_pin : out std_logic_vector(13 downto 0);
4548 d_hsync : out std_logic;
4549 d_vsync : out std_logic;
4550 d_column_counter : out std_logic_vector(9 downto 0);
4551 d_line_counter : out std_logic_vector(8 downto 0);
4552 d_set_column_counter : out std_logic;
4553 d_set_line_counter : out std_logic;
4554 d_hsync_counter : out std_logic_vector(9 downto 0);
4555 d_vsync_counter : out std_logic_vector(9 downto 0);
4556 d_set_hsync_counter : out std_logic;
4557 d_set_vsync_counter : out std_logic;
4558 d_h_enable : out std_logic;
4559 d_v_enable : out std_logic;
4560 d_r : out std_logic;
4561 d_g : out std_logic;
4562 d_b : out std_logic;
4563 d_hsync_state : out std_logic_vector(0 to 6);
4564 d_vsync_state : out std_logic_vector(0 to 6);
4565 d_state_clk : out std_logic;
4566 d_toggle : out std_logic;
4567 d_toggle_counter : out std_logic_vector(24 downto 0));
4570 architecture beh of vga is
4571 signal devclrn : std_logic := '1';
4572 signal devpor : std_logic := '1';
4573 signal devoe : std_logic := '0';
4574 signal DLY_COUNTER : std_logic_vector(1 downto 0);
4575 signal \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\ : std_logic_vector(9 downto 0);
4576 signal \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\ : std_logic_vector(8 downto 0);
4577 signal \VGA_DRIVER_UNIT.HSYNC_COUNTER\ : std_logic_vector(9 downto 0);
4578 signal \VGA_DRIVER_UNIT.VSYNC_COUNTER\ : std_logic_vector(9 downto 0);
4579 signal \VGA_DRIVER_UNIT.HSYNC_STATE\ : std_logic_vector(6 downto 0);
4580 signal \VGA_DRIVER_UNIT.VSYNC_STATE\ : std_logic_vector(6 downto 0);
4581 signal \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\ : std_logic_vector(24 downto 0);
4582 signal SEVEN_SEG_PINZ : std_logic_vector(13 downto 0);
4583 signal D_COLUMN_COUNTERZ : std_logic_vector(9 downto 0);
4584 signal D_LINE_COUNTERZ : std_logic_vector(8 downto 0);
4585 signal D_HSYNC_COUNTERZ : std_logic_vector(9 downto 0);
4586 signal D_VSYNC_COUNTERZ : std_logic_vector(9 downto 0);
4587 signal D_HSYNC_STATEZ : std_logic_vector(6 downto 0);
4588 signal D_VSYNC_STATEZ : std_logic_vector(6 downto 0);
4589 signal D_TOGGLE_COUNTERZ : std_logic_vector(24 downto 0);
4590 signal VCC : std_logic ;
4591 signal GND : std_logic ;
4592 signal \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\ : std_logic ;
4593 signal \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\ : std_logic ;
4594 signal \VGA_DRIVER_UNIT.H_SYNC\ : std_logic ;
4595 signal \VGA_DRIVER_UNIT.V_SYNC\ : std_logic ;
4596 signal \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\ : std_logic ;
4597 signal \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\ : std_logic ;
4598 signal \VGA_DRIVER_UNIT.H_ENABLE_SIG\ : std_logic ;
4599 signal \VGA_DRIVER_UNIT.V_ENABLE_SIG\ : std_logic ;
4600 signal \VGA_CONTROL_UNIT.R\ : std_logic ;
4601 signal \VGA_CONTROL_UNIT.G\ : std_logic ;
4602 signal \VGA_CONTROL_UNIT.B\ : std_logic ;
4603 signal G_33 : std_logic ;
4604 signal \VGA_CONTROL_UNIT.TOGGLE_SIG\ : std_logic ;
4605 signal CLK_PIN_C : std_logic ;
4606 signal RESET_PIN_C : std_logic ;
4607 signal CLK_PIN_INTERNAL : std_logic ;
4608 signal RESET_PIN_INTERNAL : std_logic ;
4609 signal N_1 : std_logic ;
4610 signal N_2 : std_logic ;
4611 signal N_85_0 : std_logic ;
4612 signal N_86_0 : std_logic ;
4613 signal N_87_0 : std_logic ;
4614 signal N_88_0 : std_logic ;
4615 signal N_89_0 : std_logic ;
4616 signal N_90_0 : std_logic ;
4617 signal N_91_0 : std_logic ;
4618 signal N_92_0 : std_logic ;
4619 signal N_93_0 : std_logic ;
4620 signal N_94_0 : std_logic ;
4621 signal N_95_0 : std_logic ;
4622 signal N_96_0 : std_logic ;
4623 signal N_97_0 : std_logic ;
4624 signal N_98_0 : std_logic ;
4625 signal N_99_0 : std_logic ;
4626 signal N_100_0 : std_logic ;
4627 signal N_101_0 : std_logic ;
4628 signal N_102_0 : std_logic ;
4629 signal N_103_0 : std_logic ;
4630 signal N_104_0 : std_logic ;
4631 signal N_105_0 : std_logic ;
4632 signal N_106_0 : std_logic ;
4633 signal N_107_0 : std_logic ;
4634 signal N_108_0 : std_logic ;
4635 signal N_109_0 : std_logic ;
4636 signal N_110_0 : std_logic ;
4637 signal N_111_0 : std_logic ;
4638 signal N_112_0 : std_logic ;
4639 signal N_113_0 : std_logic ;
4640 signal N_114_0 : std_logic ;
4641 signal N_115_0 : std_logic ;
4642 signal N_116_0 : std_logic ;
4643 signal N_117_0 : std_logic ;
4644 signal N_118 : std_logic ;
4645 signal N_119 : std_logic ;
4646 signal N_120 : std_logic ;
4647 signal N_121 : std_logic ;
4648 signal N_122 : std_logic ;
4649 signal N_123 : std_logic ;
4650 signal N_124 : std_logic ;
4651 signal N_125 : std_logic ;
4652 signal N_126 : std_logic ;
4653 signal N_127 : std_logic ;
4654 signal N_128 : std_logic ;
4655 signal N_129 : std_logic ;
4656 signal N_130 : std_logic ;
4657 signal N_131 : std_logic ;
4658 signal N_132 : std_logic ;
4659 signal N_133 : std_logic ;
4660 signal N_134 : std_logic ;
4661 signal N_135 : std_logic ;
4662 signal N_136 : std_logic ;
4663 signal N_137 : std_logic ;
4664 signal N_138 : std_logic ;
4665 signal N_139 : std_logic ;
4666 signal N_140 : std_logic ;
4667 signal N_141 : std_logic ;
4668 signal N_142 : std_logic ;
4669 signal N_143 : std_logic ;
4670 signal N_144 : std_logic ;
4671 signal N_145 : std_logic ;
4672 signal N_146 : std_logic ;
4673 signal N_147 : std_logic ;
4674 signal N_148 : std_logic ;
4675 signal N_149 : std_logic ;
4676 signal N_150 : std_logic ;
4677 signal N_151 : std_logic ;
4678 signal N_152 : std_logic ;
4679 signal N_153 : std_logic ;
4680 signal N_154 : std_logic ;
4681 signal N_155 : std_logic ;
4682 signal N_156 : std_logic ;
4683 signal N_157 : std_logic ;
4684 signal N_158 : std_logic ;
4685 signal N_159 : std_logic ;
4686 signal N_160 : std_logic ;
4687 signal N_161 : std_logic ;
4688 signal N_162 : std_logic ;
4689 signal N_163 : std_logic ;
4690 signal N_164 : std_logic ;
4691 signal N_165 : std_logic ;
4692 signal N_166 : std_logic ;
4693 signal N_167 : std_logic ;
4694 signal N_168 : std_logic ;
4695 signal N_169 : std_logic ;
4696 signal N_170 : std_logic ;
4697 signal N_171 : std_logic ;
4698 signal N_172 : std_logic ;
4699 signal N_173 : std_logic ;
4700 signal N_174 : std_logic ;
4701 signal N_175 : std_logic ;
4702 signal N_176 : std_logic ;
4703 signal N_177 : std_logic ;
4704 signal N_178 : std_logic ;
4705 signal N_179 : std_logic ;
4706 signal N_180 : std_logic ;
4707 signal N_181 : std_logic ;
4708 signal N_182 : std_logic ;
4709 signal N_183 : std_logic ;
4710 signal N_184 : std_logic ;
4711 signal N_185 : std_logic ;
4712 signal N_186 : std_logic ;
4713 signal N_187 : std_logic ;
4714 signal N_188 : std_logic ;
4715 signal N_189 : std_logic ;
4716 signal N_190 : std_logic ;
4717 signal N_191 : std_logic ;
4718 signal N_192 : std_logic ;
4719 signal N_193 : std_logic ;
4720 signal N_194 : std_logic ;
4721 signal N_195 : std_logic ;
4722 signal N_196 : std_logic ;
4723 signal N_197 : std_logic ;
4724 signal N_198 : std_logic ;
4725 signal N_199 : std_logic ;
4726 signal R0_PINZ : std_logic ;
4727 signal R1_PINZ : std_logic ;
4728 signal R2_PINZ : std_logic ;
4729 signal G0_PINZ : std_logic ;
4730 signal G1_PINZ : std_logic ;
4731 signal G2_PINZ : std_logic ;
4732 signal B0_PINZ : std_logic ;
4733 signal B1_PINZ : std_logic ;
4734 signal HSYNC_PINZ : std_logic ;
4735 signal VSYNC_PINZ : std_logic ;
4736 signal D_HSYNCZ : std_logic ;
4737 signal D_VSYNCZ : std_logic ;
4738 signal D_SET_COLUMN_COUNTERZ : std_logic ;
4739 signal D_SET_LINE_COUNTERZ : std_logic ;
4740 signal D_SET_HSYNC_COUNTERZ : std_logic ;
4741 signal D_SET_VSYNC_COUNTERZ : std_logic ;
4742 signal D_H_ENABLEZ : std_logic ;
4743 signal D_V_ENABLEZ : std_logic ;
4744 signal D_RZ : std_logic ;
4745 signal D_GZ : std_logic ;
4746 signal D_BZ : std_logic ;
4747 signal D_STATE_CLKZ : std_logic ;
4748 signal D_TOGGLEZ : std_logic ;
4749 component vga_driver
4751 line_counter_sig_0 : out std_logic;
4752 line_counter_sig_1 : out std_logic;
4753 line_counter_sig_2 : out std_logic;
4754 line_counter_sig_3 : out std_logic;
4755 line_counter_sig_4 : out std_logic;
4756 line_counter_sig_5 : out std_logic;
4757 line_counter_sig_6 : out std_logic;
4758 line_counter_sig_7 : out std_logic;
4759 line_counter_sig_8 : out std_logic;
4760 dly_counter_1 : in std_logic;
4761 dly_counter_0 : in std_logic;
4762 vsync_state_2 : out std_logic;
4763 vsync_state_5 : out std_logic;
4764 vsync_state_3 : out std_logic;
4765 vsync_state_6 : out std_logic;
4766 vsync_state_4 : out std_logic;
4767 vsync_state_1 : out std_logic;
4768 vsync_state_0 : out std_logic;
4769 hsync_state_2 : out std_logic;
4770 hsync_state_4 : out std_logic;
4771 hsync_state_0 : out std_logic;
4772 hsync_state_5 : out std_logic;
4773 hsync_state_1 : out std_logic;
4774 hsync_state_3 : out std_logic;
4775 hsync_state_6 : out std_logic;
4776 column_counter_sig_0 : out std_logic;
4777 column_counter_sig_1 : out std_logic;
4778 column_counter_sig_2 : out std_logic;
4779 column_counter_sig_3 : out std_logic;
4780 column_counter_sig_4 : out std_logic;
4781 column_counter_sig_5 : out std_logic;
4782 column_counter_sig_6 : out std_logic;
4783 column_counter_sig_7 : out std_logic;
4784 column_counter_sig_8 : out std_logic;
4785 column_counter_sig_9 : out std_logic;
4786 vsync_counter_9 : out std_logic;
4787 vsync_counter_8 : out std_logic;
4788 vsync_counter_7 : out std_logic;
4789 vsync_counter_6 : out std_logic;
4790 vsync_counter_5 : out std_logic;
4791 vsync_counter_4 : out std_logic;
4792 vsync_counter_3 : out std_logic;
4793 vsync_counter_2 : out std_logic;
4794 vsync_counter_1 : out std_logic;
4795 vsync_counter_0 : out std_logic;
4796 hsync_counter_9 : out std_logic;
4797 hsync_counter_8 : out std_logic;
4798 hsync_counter_7 : out std_logic;
4799 hsync_counter_6 : out std_logic;
4800 hsync_counter_5 : out std_logic;
4801 hsync_counter_4 : out std_logic;
4802 hsync_counter_3 : out std_logic;
4803 hsync_counter_2 : out std_logic;
4804 hsync_counter_1 : out std_logic;
4805 hsync_counter_0 : out std_logic;
4806 d_set_vsync_counter : out std_logic;
4807 un10_column_counter_siglt6_1 : out std_logic;
4808 v_sync : out std_logic;
4809 h_sync : out std_logic;
4810 h_enable_sig : out std_logic;
4811 v_enable_sig : out std_logic;
4812 reset_pin_c : in std_logic;
4813 un6_dly_counter_0_x : out std_logic;
4814 d_set_hsync_counter : out std_logic;
4815 clk_pin_c : in std_logic );
4817 component vga_control
4819 column_counter_sig_5 : in std_logic;
4820 column_counter_sig_0 : in std_logic;
4821 column_counter_sig_1 : in std_logic;
4822 column_counter_sig_3 : in std_logic;
4823 column_counter_sig_4 : in std_logic;
4824 column_counter_sig_2 : in std_logic;
4825 column_counter_sig_9 : in std_logic;
4826 column_counter_sig_8 : in std_logic;
4827 column_counter_sig_7 : in std_logic;
4828 column_counter_sig_6 : in std_logic;
4829 line_counter_sig_0 : in std_logic;
4830 line_counter_sig_1 : in std_logic;
4831 line_counter_sig_2 : in std_logic;
4832 line_counter_sig_8 : in std_logic;
4833 line_counter_sig_3 : in std_logic;
4834 line_counter_sig_5 : in std_logic;
4835 line_counter_sig_4 : in std_logic;
4836 line_counter_sig_7 : in std_logic;
4837 line_counter_sig_6 : in std_logic;
4838 toggle_counter_sig_0 : out std_logic;
4839 toggle_counter_sig_1 : out std_logic;
4840 toggle_counter_sig_2 : out std_logic;
4841 toggle_counter_sig_3 : out std_logic;
4842 toggle_counter_sig_4 : out std_logic;
4843 toggle_counter_sig_5 : out std_logic;
4844 toggle_counter_sig_6 : out std_logic;
4845 toggle_counter_sig_7 : out std_logic;
4846 toggle_counter_sig_8 : out std_logic;
4847 toggle_counter_sig_9 : out std_logic;
4848 toggle_counter_sig_10 : out std_logic;
4849 toggle_counter_sig_11 : out std_logic;
4850 toggle_counter_sig_12 : out std_logic;
4851 toggle_counter_sig_13 : out std_logic;
4852 toggle_counter_sig_14 : out std_logic;
4853 toggle_counter_sig_15 : out std_logic;
4854 toggle_counter_sig_16 : out std_logic;
4855 toggle_counter_sig_17 : out std_logic;
4856 toggle_counter_sig_18 : out std_logic;
4857 toggle_counter_sig_19 : out std_logic;
4858 toggle_counter_sig_20 : out std_logic;
4859 toggle_counter_sig_21 : out std_logic;
4860 toggle_counter_sig_22 : out std_logic;
4861 toggle_counter_sig_23 : out std_logic;
4862 toggle_counter_sig_24 : out std_logic;
4863 v_enable_sig : in std_logic;
4864 un10_column_counter_siglt6_1 : in std_logic;
4865 h_enable_sig : in std_logic;
4869 toggle_sig : out std_logic;
4870 un6_dly_counter_0_x : in std_logic;
4871 clk_pin_c : in std_logic );
4876 \DLY_COUNTER_1_\: stratix_lcell generic map (
4877 operation_mode => "normal",
4878 output_mode => "reg_only",
4879 synch_mode => "off",
4880 sum_lutc_input => "datac",
4883 regout => DLY_COUNTER(1),
4885 dataa => RESET_PIN_C,
4886 datab => DLY_COUNTER(0),
4887 datac => DLY_COUNTER(1),
4898 \DLY_COUNTER_0_\: stratix_lcell generic map (
4899 operation_mode => "normal",
4900 output_mode => "reg_only",
4901 synch_mode => "off",
4902 sum_lutc_input => "datac",
4905 regout => DLY_COUNTER(0),
4907 dataa => RESET_PIN_C,
4908 datab => DLY_COUNTER(0),
4909 datac => DLY_COUNTER(1),
4920 RESET_PIN_IN: stratix_io generic map (
4921 operation_mode => "input"
4925 combout => RESET_PIN_C,
4934 CLK_PIN_IN: stratix_io generic map (
4935 operation_mode => "input"
4939 combout => CLK_PIN_C,
4948 \D_TOGGLE_COUNTER_OUT_24_\: stratix_io generic map (
4949 operation_mode => "output"
4952 padio => D_TOGGLE_COUNTERZ(24),
4953 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
4962 \D_TOGGLE_COUNTER_OUT_23_\: stratix_io generic map (
4963 operation_mode => "output"
4966 padio => D_TOGGLE_COUNTERZ(23),
4967 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
4976 \D_TOGGLE_COUNTER_OUT_22_\: stratix_io generic map (
4977 operation_mode => "output"
4980 padio => D_TOGGLE_COUNTERZ(22),
4981 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
4990 \D_TOGGLE_COUNTER_OUT_21_\: stratix_io generic map (
4991 operation_mode => "output"
4994 padio => D_TOGGLE_COUNTERZ(21),
4995 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
5004 \D_TOGGLE_COUNTER_OUT_20_\: stratix_io generic map (
5005 operation_mode => "output"
5008 padio => D_TOGGLE_COUNTERZ(20),
5009 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
5018 \D_TOGGLE_COUNTER_OUT_19_\: stratix_io generic map (
5019 operation_mode => "output"
5022 padio => D_TOGGLE_COUNTERZ(19),
5023 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
5032 \D_TOGGLE_COUNTER_OUT_18_\: stratix_io generic map (
5033 operation_mode => "output"
5036 padio => D_TOGGLE_COUNTERZ(18),
5037 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
5046 \D_TOGGLE_COUNTER_OUT_17_\: stratix_io generic map (
5047 operation_mode => "output"
5050 padio => D_TOGGLE_COUNTERZ(17),
5051 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
5060 \D_TOGGLE_COUNTER_OUT_16_\: stratix_io generic map (
5061 operation_mode => "output"
5064 padio => D_TOGGLE_COUNTERZ(16),
5065 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
5074 \D_TOGGLE_COUNTER_OUT_15_\: stratix_io generic map (
5075 operation_mode => "output"
5078 padio => D_TOGGLE_COUNTERZ(15),
5079 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
5088 \D_TOGGLE_COUNTER_OUT_14_\: stratix_io generic map (
5089 operation_mode => "output"
5092 padio => D_TOGGLE_COUNTERZ(14),
5093 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
5102 \D_TOGGLE_COUNTER_OUT_13_\: stratix_io generic map (
5103 operation_mode => "output"
5106 padio => D_TOGGLE_COUNTERZ(13),
5107 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
5116 \D_TOGGLE_COUNTER_OUT_12_\: stratix_io generic map (
5117 operation_mode => "output"
5120 padio => D_TOGGLE_COUNTERZ(12),
5121 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
5130 \D_TOGGLE_COUNTER_OUT_11_\: stratix_io generic map (
5131 operation_mode => "output"
5134 padio => D_TOGGLE_COUNTERZ(11),
5135 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
5144 \D_TOGGLE_COUNTER_OUT_10_\: stratix_io generic map (
5145 operation_mode => "output"
5148 padio => D_TOGGLE_COUNTERZ(10),
5149 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
5158 \D_TOGGLE_COUNTER_OUT_9_\: stratix_io generic map (
5159 operation_mode => "output"
5162 padio => D_TOGGLE_COUNTERZ(9),
5163 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
5172 \D_TOGGLE_COUNTER_OUT_8_\: stratix_io generic map (
5173 operation_mode => "output"
5176 padio => D_TOGGLE_COUNTERZ(8),
5177 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
5186 \D_TOGGLE_COUNTER_OUT_7_\: stratix_io generic map (
5187 operation_mode => "output"
5190 padio => D_TOGGLE_COUNTERZ(7),
5191 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
5200 \D_TOGGLE_COUNTER_OUT_6_\: stratix_io generic map (
5201 operation_mode => "output"
5204 padio => D_TOGGLE_COUNTERZ(6),
5205 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
5214 \D_TOGGLE_COUNTER_OUT_5_\: stratix_io generic map (
5215 operation_mode => "output"
5218 padio => D_TOGGLE_COUNTERZ(5),
5219 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
5228 \D_TOGGLE_COUNTER_OUT_4_\: stratix_io generic map (
5229 operation_mode => "output"
5232 padio => D_TOGGLE_COUNTERZ(4),
5233 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
5242 \D_TOGGLE_COUNTER_OUT_3_\: stratix_io generic map (
5243 operation_mode => "output"
5246 padio => D_TOGGLE_COUNTERZ(3),
5247 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
5256 \D_TOGGLE_COUNTER_OUT_2_\: stratix_io generic map (
5257 operation_mode => "output"
5260 padio => D_TOGGLE_COUNTERZ(2),
5261 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
5270 \D_TOGGLE_COUNTER_OUT_1_\: stratix_io generic map (
5271 operation_mode => "output"
5274 padio => D_TOGGLE_COUNTERZ(1),
5275 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
5284 \D_TOGGLE_COUNTER_OUT_0_\: stratix_io generic map (
5285 operation_mode => "output"
5288 padio => D_TOGGLE_COUNTERZ(0),
5289 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
5298 D_TOGGLE_OUT: stratix_io generic map (
5299 operation_mode => "output"
5303 datain => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
5312 D_STATE_CLK_OUT: stratix_io generic map (
5313 operation_mode => "output"
5316 padio => D_STATE_CLKZ,
5326 \D_VSYNC_STATE_OUT_0_\: stratix_io generic map (
5327 operation_mode => "output"
5330 padio => D_VSYNC_STATEZ(0),
5331 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
5340 \D_VSYNC_STATE_OUT_1_\: stratix_io generic map (
5341 operation_mode => "output"
5344 padio => D_VSYNC_STATEZ(1),
5345 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
5354 \D_VSYNC_STATE_OUT_2_\: stratix_io generic map (
5355 operation_mode => "output"
5358 padio => D_VSYNC_STATEZ(2),
5359 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
5368 \D_VSYNC_STATE_OUT_3_\: stratix_io generic map (
5369 operation_mode => "output"
5372 padio => D_VSYNC_STATEZ(3),
5373 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
5382 \D_VSYNC_STATE_OUT_4_\: stratix_io generic map (
5383 operation_mode => "output"
5386 padio => D_VSYNC_STATEZ(4),
5387 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
5396 \D_VSYNC_STATE_OUT_5_\: stratix_io generic map (
5397 operation_mode => "output"
5400 padio => D_VSYNC_STATEZ(5),
5401 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
5410 \D_VSYNC_STATE_OUT_6_\: stratix_io generic map (
5411 operation_mode => "output"
5414 padio => D_VSYNC_STATEZ(6),
5415 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
5424 \D_HSYNC_STATE_OUT_0_\: stratix_io generic map (
5425 operation_mode => "output"
5428 padio => D_HSYNC_STATEZ(0),
5429 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
5438 \D_HSYNC_STATE_OUT_1_\: stratix_io generic map (
5439 operation_mode => "output"
5442 padio => D_HSYNC_STATEZ(1),
5443 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
5452 \D_HSYNC_STATE_OUT_2_\: stratix_io generic map (
5453 operation_mode => "output"
5456 padio => D_HSYNC_STATEZ(2),
5457 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
5466 \D_HSYNC_STATE_OUT_3_\: stratix_io generic map (
5467 operation_mode => "output"
5470 padio => D_HSYNC_STATEZ(3),
5471 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
5480 \D_HSYNC_STATE_OUT_4_\: stratix_io generic map (
5481 operation_mode => "output"
5484 padio => D_HSYNC_STATEZ(4),
5485 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
5494 \D_HSYNC_STATE_OUT_5_\: stratix_io generic map (
5495 operation_mode => "output"
5498 padio => D_HSYNC_STATEZ(5),
5499 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
5508 \D_HSYNC_STATE_OUT_6_\: stratix_io generic map (
5509 operation_mode => "output"
5512 padio => D_HSYNC_STATEZ(6),
5513 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
5522 D_B_OUT: stratix_io generic map (
5523 operation_mode => "output"
5527 datain => \VGA_CONTROL_UNIT.B\,
5536 D_G_OUT: stratix_io generic map (
5537 operation_mode => "output"
5541 datain => \VGA_CONTROL_UNIT.G\,
5550 D_R_OUT: stratix_io generic map (
5551 operation_mode => "output"
5555 datain => \VGA_CONTROL_UNIT.R\,
5564 D_V_ENABLE_OUT: stratix_io generic map (
5565 operation_mode => "output"
5568 padio => D_V_ENABLEZ,
5569 datain => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
5578 D_H_ENABLE_OUT: stratix_io generic map (
5579 operation_mode => "output"
5582 padio => D_H_ENABLEZ,
5583 datain => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
5592 D_SET_VSYNC_COUNTER_OUT: stratix_io generic map (
5593 operation_mode => "output"
5596 padio => D_SET_VSYNC_COUNTERZ,
5597 datain => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
5606 D_SET_HSYNC_COUNTER_OUT: stratix_io generic map (
5607 operation_mode => "output"
5610 padio => D_SET_HSYNC_COUNTERZ,
5611 datain => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
5620 \D_VSYNC_COUNTER_OUT_9_\: stratix_io generic map (
5621 operation_mode => "output"
5624 padio => D_VSYNC_COUNTERZ(9),
5625 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
5634 \D_VSYNC_COUNTER_OUT_8_\: stratix_io generic map (
5635 operation_mode => "output"
5638 padio => D_VSYNC_COUNTERZ(8),
5639 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
5648 \D_VSYNC_COUNTER_OUT_7_\: stratix_io generic map (
5649 operation_mode => "output"
5652 padio => D_VSYNC_COUNTERZ(7),
5653 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
5662 \D_VSYNC_COUNTER_OUT_6_\: stratix_io generic map (
5663 operation_mode => "output"
5666 padio => D_VSYNC_COUNTERZ(6),
5667 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
5676 \D_VSYNC_COUNTER_OUT_5_\: stratix_io generic map (
5677 operation_mode => "output"
5680 padio => D_VSYNC_COUNTERZ(5),
5681 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
5690 \D_VSYNC_COUNTER_OUT_4_\: stratix_io generic map (
5691 operation_mode => "output"
5694 padio => D_VSYNC_COUNTERZ(4),
5695 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
5704 \D_VSYNC_COUNTER_OUT_3_\: stratix_io generic map (
5705 operation_mode => "output"
5708 padio => D_VSYNC_COUNTERZ(3),
5709 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
5718 \D_VSYNC_COUNTER_OUT_2_\: stratix_io generic map (
5719 operation_mode => "output"
5722 padio => D_VSYNC_COUNTERZ(2),
5723 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
5732 \D_VSYNC_COUNTER_OUT_1_\: stratix_io generic map (
5733 operation_mode => "output"
5736 padio => D_VSYNC_COUNTERZ(1),
5737 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
5746 \D_VSYNC_COUNTER_OUT_0_\: stratix_io generic map (
5747 operation_mode => "output"
5750 padio => D_VSYNC_COUNTERZ(0),
5751 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
5760 \D_HSYNC_COUNTER_OUT_9_\: stratix_io generic map (
5761 operation_mode => "output"
5764 padio => D_HSYNC_COUNTERZ(9),
5765 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
5774 \D_HSYNC_COUNTER_OUT_8_\: stratix_io generic map (
5775 operation_mode => "output"
5778 padio => D_HSYNC_COUNTERZ(8),
5779 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
5788 \D_HSYNC_COUNTER_OUT_7_\: stratix_io generic map (
5789 operation_mode => "output"
5792 padio => D_HSYNC_COUNTERZ(7),
5793 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
5802 \D_HSYNC_COUNTER_OUT_6_\: stratix_io generic map (
5803 operation_mode => "output"
5806 padio => D_HSYNC_COUNTERZ(6),
5807 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
5816 \D_HSYNC_COUNTER_OUT_5_\: stratix_io generic map (
5817 operation_mode => "output"
5820 padio => D_HSYNC_COUNTERZ(5),
5821 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
5830 \D_HSYNC_COUNTER_OUT_4_\: stratix_io generic map (
5831 operation_mode => "output"
5834 padio => D_HSYNC_COUNTERZ(4),
5835 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
5844 \D_HSYNC_COUNTER_OUT_3_\: stratix_io generic map (
5845 operation_mode => "output"
5848 padio => D_HSYNC_COUNTERZ(3),
5849 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
5858 \D_HSYNC_COUNTER_OUT_2_\: stratix_io generic map (
5859 operation_mode => "output"
5862 padio => D_HSYNC_COUNTERZ(2),
5863 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
5872 \D_HSYNC_COUNTER_OUT_1_\: stratix_io generic map (
5873 operation_mode => "output"
5876 padio => D_HSYNC_COUNTERZ(1),
5877 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
5886 \D_HSYNC_COUNTER_OUT_0_\: stratix_io generic map (
5887 operation_mode => "output"
5890 padio => D_HSYNC_COUNTERZ(0),
5891 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
5900 D_SET_LINE_COUNTER_OUT: stratix_io generic map (
5901 operation_mode => "output"
5904 padio => D_SET_LINE_COUNTERZ,
5905 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
5914 D_SET_COLUMN_COUNTER_OUT: stratix_io generic map (
5915 operation_mode => "output"
5918 padio => D_SET_COLUMN_COUNTERZ,
5919 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
5928 \D_LINE_COUNTER_OUT_8_\: stratix_io generic map (
5929 operation_mode => "output"
5932 padio => D_LINE_COUNTERZ(8),
5933 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
5942 \D_LINE_COUNTER_OUT_7_\: stratix_io generic map (
5943 operation_mode => "output"
5946 padio => D_LINE_COUNTERZ(7),
5947 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
5956 \D_LINE_COUNTER_OUT_6_\: stratix_io generic map (
5957 operation_mode => "output"
5960 padio => D_LINE_COUNTERZ(6),
5961 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
5970 \D_LINE_COUNTER_OUT_5_\: stratix_io generic map (
5971 operation_mode => "output"
5974 padio => D_LINE_COUNTERZ(5),
5975 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
5984 \D_LINE_COUNTER_OUT_4_\: stratix_io generic map (
5985 operation_mode => "output"
5988 padio => D_LINE_COUNTERZ(4),
5989 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
5998 \D_LINE_COUNTER_OUT_3_\: stratix_io generic map (
5999 operation_mode => "output"
6002 padio => D_LINE_COUNTERZ(3),
6003 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
6012 \D_LINE_COUNTER_OUT_2_\: stratix_io generic map (
6013 operation_mode => "output"
6016 padio => D_LINE_COUNTERZ(2),
6017 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
6026 \D_LINE_COUNTER_OUT_1_\: stratix_io generic map (
6027 operation_mode => "output"
6030 padio => D_LINE_COUNTERZ(1),
6031 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
6040 \D_LINE_COUNTER_OUT_0_\: stratix_io generic map (
6041 operation_mode => "output"
6044 padio => D_LINE_COUNTERZ(0),
6045 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6054 \D_COLUMN_COUNTER_OUT_9_\: stratix_io generic map (
6055 operation_mode => "output"
6058 padio => D_COLUMN_COUNTERZ(9),
6059 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6068 \D_COLUMN_COUNTER_OUT_8_\: stratix_io generic map (
6069 operation_mode => "output"
6072 padio => D_COLUMN_COUNTERZ(8),
6073 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6082 \D_COLUMN_COUNTER_OUT_7_\: stratix_io generic map (
6083 operation_mode => "output"
6086 padio => D_COLUMN_COUNTERZ(7),
6087 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6096 \D_COLUMN_COUNTER_OUT_6_\: stratix_io generic map (
6097 operation_mode => "output"
6100 padio => D_COLUMN_COUNTERZ(6),
6101 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6110 \D_COLUMN_COUNTER_OUT_5_\: stratix_io generic map (
6111 operation_mode => "output"
6114 padio => D_COLUMN_COUNTERZ(5),
6115 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6124 \D_COLUMN_COUNTER_OUT_4_\: stratix_io generic map (
6125 operation_mode => "output"
6128 padio => D_COLUMN_COUNTERZ(4),
6129 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6138 \D_COLUMN_COUNTER_OUT_3_\: stratix_io generic map (
6139 operation_mode => "output"
6142 padio => D_COLUMN_COUNTERZ(3),
6143 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6152 \D_COLUMN_COUNTER_OUT_2_\: stratix_io generic map (
6153 operation_mode => "output"
6156 padio => D_COLUMN_COUNTERZ(2),
6157 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6166 \D_COLUMN_COUNTER_OUT_1_\: stratix_io generic map (
6167 operation_mode => "output"
6170 padio => D_COLUMN_COUNTERZ(1),
6171 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6180 \D_COLUMN_COUNTER_OUT_0_\: stratix_io generic map (
6181 operation_mode => "output"
6184 padio => D_COLUMN_COUNTERZ(0),
6185 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6194 D_VSYNC_OUT: stratix_io generic map (
6195 operation_mode => "output"
6199 datain => \VGA_DRIVER_UNIT.V_SYNC\,
6208 D_HSYNC_OUT: stratix_io generic map (
6209 operation_mode => "output"
6213 datain => \VGA_DRIVER_UNIT.H_SYNC\,
6222 \SEVEN_SEG_PIN_TRI_13_\: stratix_io generic map (
6223 operation_mode => "output"
6226 padio => SEVEN_SEG_PINZ(13),
6236 \SEVEN_SEG_PIN_OUT_12_\: stratix_io generic map (
6237 operation_mode => "output"
6240 padio => SEVEN_SEG_PINZ(12),
6241 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6250 \SEVEN_SEG_PIN_OUT_11_\: stratix_io generic map (
6251 operation_mode => "output"
6254 padio => SEVEN_SEG_PINZ(11),
6255 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6264 \SEVEN_SEG_PIN_OUT_10_\: stratix_io generic map (
6265 operation_mode => "output"
6268 padio => SEVEN_SEG_PINZ(10),
6269 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6278 \SEVEN_SEG_PIN_OUT_9_\: stratix_io generic map (
6279 operation_mode => "output"
6282 padio => SEVEN_SEG_PINZ(9),
6283 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6292 \SEVEN_SEG_PIN_OUT_8_\: stratix_io generic map (
6293 operation_mode => "output"
6296 padio => SEVEN_SEG_PINZ(8),
6297 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6306 \SEVEN_SEG_PIN_OUT_7_\: stratix_io generic map (
6307 operation_mode => "output"
6310 padio => SEVEN_SEG_PINZ(7),
6311 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6320 \SEVEN_SEG_PIN_TRI_6_\: stratix_io generic map (
6321 operation_mode => "output"
6324 padio => SEVEN_SEG_PINZ(6),
6334 \SEVEN_SEG_PIN_TRI_5_\: stratix_io generic map (
6335 operation_mode => "output"
6338 padio => SEVEN_SEG_PINZ(5),
6348 \SEVEN_SEG_PIN_TRI_4_\: stratix_io generic map (
6349 operation_mode => "output"
6352 padio => SEVEN_SEG_PINZ(4),
6362 \SEVEN_SEG_PIN_TRI_3_\: stratix_io generic map (
6363 operation_mode => "output"
6366 padio => SEVEN_SEG_PINZ(3),
6376 \SEVEN_SEG_PIN_OUT_2_\: stratix_io generic map (
6377 operation_mode => "output"
6380 padio => SEVEN_SEG_PINZ(2),
6381 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6390 \SEVEN_SEG_PIN_OUT_1_\: stratix_io generic map (
6391 operation_mode => "output"
6394 padio => SEVEN_SEG_PINZ(1),
6395 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6404 \SEVEN_SEG_PIN_TRI_0_\: stratix_io generic map (
6405 operation_mode => "output"
6408 padio => SEVEN_SEG_PINZ(0),
6418 VSYNC_PIN_OUT: stratix_io generic map (
6419 operation_mode => "output"
6422 padio => VSYNC_PINZ,
6423 datain => \VGA_DRIVER_UNIT.V_SYNC\,
6432 HSYNC_PIN_OUT: stratix_io generic map (
6433 operation_mode => "output"
6436 padio => HSYNC_PINZ,
6437 datain => \VGA_DRIVER_UNIT.H_SYNC\,
6446 B1_PIN_OUT: stratix_io generic map (
6447 operation_mode => "output"
6451 datain => \VGA_CONTROL_UNIT.B\,
6460 B0_PIN_OUT: stratix_io generic map (
6461 operation_mode => "output"
6465 datain => \VGA_CONTROL_UNIT.B\,
6474 G2_PIN_OUT: stratix_io generic map (
6475 operation_mode => "output"
6479 datain => \VGA_CONTROL_UNIT.G\,
6488 G1_PIN_OUT: stratix_io generic map (
6489 operation_mode => "output"
6493 datain => \VGA_CONTROL_UNIT.G\,
6502 G0_PIN_OUT: stratix_io generic map (
6503 operation_mode => "output"
6507 datain => \VGA_CONTROL_UNIT.G\,
6516 R2_PIN_OUT: stratix_io generic map (
6517 operation_mode => "output"
6521 datain => \VGA_CONTROL_UNIT.R\,
6530 R1_PIN_OUT: stratix_io generic map (
6531 operation_mode => "output"
6535 datain => \VGA_CONTROL_UNIT.R\,
6544 R0_PIN_OUT: stratix_io generic map (
6545 operation_mode => "output"
6549 datain => \VGA_CONTROL_UNIT.R\,
6559 VGA_DRIVER_UNIT: vga_driver port map (
6560 line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6561 line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
6562 line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
6563 line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
6564 line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
6565 line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
6566 line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
6567 line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
6568 line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
6569 dly_counter_1 => DLY_COUNTER(1),
6570 dly_counter_0 => DLY_COUNTER(0),
6571 vsync_state_2 => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
6572 vsync_state_5 => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
6573 vsync_state_3 => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
6574 vsync_state_6 => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
6575 vsync_state_4 => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
6576 vsync_state_1 => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
6577 vsync_state_0 => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
6578 hsync_state_2 => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
6579 hsync_state_4 => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
6580 hsync_state_0 => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
6581 hsync_state_5 => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
6582 hsync_state_1 => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
6583 hsync_state_3 => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
6584 hsync_state_6 => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
6585 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6586 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6587 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6588 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6589 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6590 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6591 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6592 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6593 column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6594 column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6595 vsync_counter_9 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
6596 vsync_counter_8 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
6597 vsync_counter_7 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
6598 vsync_counter_6 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
6599 vsync_counter_5 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
6600 vsync_counter_4 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
6601 vsync_counter_3 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
6602 vsync_counter_2 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
6603 vsync_counter_1 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
6604 vsync_counter_0 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
6605 hsync_counter_9 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
6606 hsync_counter_8 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
6607 hsync_counter_7 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
6608 hsync_counter_6 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
6609 hsync_counter_5 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
6610 hsync_counter_4 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
6611 hsync_counter_3 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
6612 hsync_counter_2 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
6613 hsync_counter_1 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
6614 hsync_counter_0 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
6615 d_set_vsync_counter => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
6616 un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
6617 v_sync => \VGA_DRIVER_UNIT.V_SYNC\,
6618 h_sync => \VGA_DRIVER_UNIT.H_SYNC\,
6619 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
6620 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
6621 reset_pin_c => RESET_PIN_C,
6622 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6623 d_set_hsync_counter => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
6624 clk_pin_c => CLK_PIN_C);
6625 VGA_CONTROL_UNIT: vga_control port map (
6626 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6627 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6628 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6629 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6630 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6631 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6632 column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6633 column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6634 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6635 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6636 line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6637 line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
6638 line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
6639 line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
6640 line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
6641 line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
6642 line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
6643 line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
6644 line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
6645 toggle_counter_sig_0 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
6646 toggle_counter_sig_1 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
6647 toggle_counter_sig_2 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
6648 toggle_counter_sig_3 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
6649 toggle_counter_sig_4 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
6650 toggle_counter_sig_5 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
6651 toggle_counter_sig_6 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
6652 toggle_counter_sig_7 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
6653 toggle_counter_sig_8 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
6654 toggle_counter_sig_9 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
6655 toggle_counter_sig_10 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
6656 toggle_counter_sig_11 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
6657 toggle_counter_sig_12 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
6658 toggle_counter_sig_13 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
6659 toggle_counter_sig_14 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
6660 toggle_counter_sig_15 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
6661 toggle_counter_sig_16 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
6662 toggle_counter_sig_17 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
6663 toggle_counter_sig_18 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
6664 toggle_counter_sig_19 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
6665 toggle_counter_sig_20 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
6666 toggle_counter_sig_21 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
6667 toggle_counter_sig_22 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
6668 toggle_counter_sig_23 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
6669 toggle_counter_sig_24 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
6670 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
6671 un10_column_counter_siglt6_1 => \VGA_DRIVER_UNIT.COLUMN_COUNT_NEXT.UN10_COLUMN_COUNTER_SIGLT6_1\,
6672 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
6673 g => \VGA_CONTROL_UNIT.G\,
6674 r => \VGA_CONTROL_UNIT.R\,
6675 b => \VGA_CONTROL_UNIT.B\,
6676 toggle_sig => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
6677 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6678 clk_pin_c => CLK_PIN_C);
6679 N_1 <= CLK_PIN_INTERNAL;
6680 N_2 <= RESET_PIN_INTERNAL;
6689 N_93_0 <= HSYNC_PINZ;
6690 N_94_0 <= VSYNC_PINZ;
6691 N_95_0 <= SEVEN_SEG_PINZ(0);
6692 N_96_0 <= SEVEN_SEG_PINZ(1);
6693 N_97_0 <= SEVEN_SEG_PINZ(2);
6694 N_98_0 <= SEVEN_SEG_PINZ(3);
6695 N_99_0 <= SEVEN_SEG_PINZ(4);
6696 N_100_0 <= SEVEN_SEG_PINZ(5);
6697 N_101_0 <= SEVEN_SEG_PINZ(6);
6698 N_102_0 <= SEVEN_SEG_PINZ(7);
6699 N_103_0 <= SEVEN_SEG_PINZ(8);
6700 N_104_0 <= SEVEN_SEG_PINZ(9);
6701 N_105_0 <= SEVEN_SEG_PINZ(10);
6702 N_106_0 <= SEVEN_SEG_PINZ(11);
6703 N_107_0 <= SEVEN_SEG_PINZ(12);
6704 N_108_0 <= SEVEN_SEG_PINZ(13);
6705 N_109_0 <= D_HSYNCZ;
6706 N_110_0 <= D_VSYNCZ;
6707 N_111_0 <= D_COLUMN_COUNTERZ(0);
6708 N_112_0 <= D_COLUMN_COUNTERZ(1);
6709 N_113_0 <= D_COLUMN_COUNTERZ(2);
6710 N_114_0 <= D_COLUMN_COUNTERZ(3);
6711 N_115_0 <= D_COLUMN_COUNTERZ(4);
6712 N_116_0 <= D_COLUMN_COUNTERZ(5);
6713 N_117_0 <= D_COLUMN_COUNTERZ(6);
6714 N_118 <= D_COLUMN_COUNTERZ(7);
6715 N_119 <= D_COLUMN_COUNTERZ(8);
6716 N_120 <= D_COLUMN_COUNTERZ(9);
6717 N_121 <= D_LINE_COUNTERZ(0);
6718 N_122 <= D_LINE_COUNTERZ(1);
6719 N_123 <= D_LINE_COUNTERZ(2);
6720 N_124 <= D_LINE_COUNTERZ(3);
6721 N_125 <= D_LINE_COUNTERZ(4);
6722 N_126 <= D_LINE_COUNTERZ(5);
6723 N_127 <= D_LINE_COUNTERZ(6);
6724 N_128 <= D_LINE_COUNTERZ(7);
6725 N_129 <= D_LINE_COUNTERZ(8);
6726 N_130 <= D_SET_COLUMN_COUNTERZ;
6727 N_131 <= D_SET_LINE_COUNTERZ;
6728 N_132 <= D_HSYNC_COUNTERZ(0);
6729 N_133 <= D_HSYNC_COUNTERZ(1);
6730 N_134 <= D_HSYNC_COUNTERZ(2);
6731 N_135 <= D_HSYNC_COUNTERZ(3);
6732 N_136 <= D_HSYNC_COUNTERZ(4);
6733 N_137 <= D_HSYNC_COUNTERZ(5);
6734 N_138 <= D_HSYNC_COUNTERZ(6);
6735 N_139 <= D_HSYNC_COUNTERZ(7);
6736 N_140 <= D_HSYNC_COUNTERZ(8);
6737 N_141 <= D_HSYNC_COUNTERZ(9);
6738 N_142 <= D_VSYNC_COUNTERZ(0);
6739 N_143 <= D_VSYNC_COUNTERZ(1);
6740 N_144 <= D_VSYNC_COUNTERZ(2);
6741 N_145 <= D_VSYNC_COUNTERZ(3);
6742 N_146 <= D_VSYNC_COUNTERZ(4);
6743 N_147 <= D_VSYNC_COUNTERZ(5);
6744 N_148 <= D_VSYNC_COUNTERZ(6);
6745 N_149 <= D_VSYNC_COUNTERZ(7);
6746 N_150 <= D_VSYNC_COUNTERZ(8);
6747 N_151 <= D_VSYNC_COUNTERZ(9);
6748 N_152 <= D_SET_HSYNC_COUNTERZ;
6749 N_153 <= D_SET_VSYNC_COUNTERZ;
6750 N_154 <= D_H_ENABLEZ;
6751 N_155 <= D_V_ENABLEZ;
6755 N_159 <= D_HSYNC_STATEZ(6);
6756 N_160 <= D_HSYNC_STATEZ(5);
6757 N_161 <= D_HSYNC_STATEZ(4);
6758 N_162 <= D_HSYNC_STATEZ(3);
6759 N_163 <= D_HSYNC_STATEZ(2);
6760 N_164 <= D_HSYNC_STATEZ(1);
6761 N_165 <= D_HSYNC_STATEZ(0);
6762 N_166 <= D_VSYNC_STATEZ(6);
6763 N_167 <= D_VSYNC_STATEZ(5);
6764 N_168 <= D_VSYNC_STATEZ(4);
6765 N_169 <= D_VSYNC_STATEZ(3);
6766 N_170 <= D_VSYNC_STATEZ(2);
6767 N_171 <= D_VSYNC_STATEZ(1);
6768 N_172 <= D_VSYNC_STATEZ(0);
6769 N_173 <= D_STATE_CLKZ;
6771 N_175 <= D_TOGGLE_COUNTERZ(0);
6772 N_176 <= D_TOGGLE_COUNTERZ(1);
6773 N_177 <= D_TOGGLE_COUNTERZ(2);
6774 N_178 <= D_TOGGLE_COUNTERZ(3);
6775 N_179 <= D_TOGGLE_COUNTERZ(4);
6776 N_180 <= D_TOGGLE_COUNTERZ(5);
6777 N_181 <= D_TOGGLE_COUNTERZ(6);
6778 N_182 <= D_TOGGLE_COUNTERZ(7);
6779 N_183 <= D_TOGGLE_COUNTERZ(8);
6780 N_184 <= D_TOGGLE_COUNTERZ(9);
6781 N_185 <= D_TOGGLE_COUNTERZ(10);
6782 N_186 <= D_TOGGLE_COUNTERZ(11);
6783 N_187 <= D_TOGGLE_COUNTERZ(12);
6784 N_188 <= D_TOGGLE_COUNTERZ(13);
6785 N_189 <= D_TOGGLE_COUNTERZ(14);
6786 N_190 <= D_TOGGLE_COUNTERZ(15);
6787 N_191 <= D_TOGGLE_COUNTERZ(16);
6788 N_192 <= D_TOGGLE_COUNTERZ(17);
6789 N_193 <= D_TOGGLE_COUNTERZ(18);
6790 N_194 <= D_TOGGLE_COUNTERZ(19);
6791 N_195 <= D_TOGGLE_COUNTERZ(20);
6792 N_196 <= D_TOGGLE_COUNTERZ(21);
6793 N_197 <= D_TOGGLE_COUNTERZ(22);
6794 N_198 <= D_TOGGLE_COUNTERZ(23);
6795 N_199 <= D_TOGGLE_COUNTERZ(24);
6804 hsync_pin <= N_93_0;
6805 vsync_pin <= N_94_0;
6806 seven_seg_pin(0) <= N_95_0;
6807 seven_seg_pin(1) <= N_96_0;
6808 seven_seg_pin(2) <= N_97_0;
6809 seven_seg_pin(3) <= N_98_0;
6810 seven_seg_pin(4) <= N_99_0;
6811 seven_seg_pin(5) <= N_100_0;
6812 seven_seg_pin(6) <= N_101_0;
6813 seven_seg_pin(7) <= N_102_0;
6814 seven_seg_pin(8) <= N_103_0;
6815 seven_seg_pin(9) <= N_104_0;
6816 seven_seg_pin(10) <= N_105_0;
6817 seven_seg_pin(11) <= N_106_0;
6818 seven_seg_pin(12) <= N_107_0;
6819 seven_seg_pin(13) <= N_108_0;
6822 d_column_counter(0) <= N_111_0;
6823 d_column_counter(1) <= N_112_0;
6824 d_column_counter(2) <= N_113_0;
6825 d_column_counter(3) <= N_114_0;
6826 d_column_counter(4) <= N_115_0;
6827 d_column_counter(5) <= N_116_0;
6828 d_column_counter(6) <= N_117_0;
6829 d_column_counter(7) <= N_118;
6830 d_column_counter(8) <= N_119;
6831 d_column_counter(9) <= N_120;
6832 d_line_counter(0) <= N_121;
6833 d_line_counter(1) <= N_122;
6834 d_line_counter(2) <= N_123;
6835 d_line_counter(3) <= N_124;
6836 d_line_counter(4) <= N_125;
6837 d_line_counter(5) <= N_126;
6838 d_line_counter(6) <= N_127;
6839 d_line_counter(7) <= N_128;
6840 d_line_counter(8) <= N_129;
6841 d_set_column_counter <= N_130;
6842 d_set_line_counter <= N_131;
6843 d_hsync_counter(0) <= N_132;
6844 d_hsync_counter(1) <= N_133;
6845 d_hsync_counter(2) <= N_134;
6846 d_hsync_counter(3) <= N_135;
6847 d_hsync_counter(4) <= N_136;
6848 d_hsync_counter(5) <= N_137;
6849 d_hsync_counter(6) <= N_138;
6850 d_hsync_counter(7) <= N_139;
6851 d_hsync_counter(8) <= N_140;
6852 d_hsync_counter(9) <= N_141;
6853 d_vsync_counter(0) <= N_142;
6854 d_vsync_counter(1) <= N_143;
6855 d_vsync_counter(2) <= N_144;
6856 d_vsync_counter(3) <= N_145;
6857 d_vsync_counter(4) <= N_146;
6858 d_vsync_counter(5) <= N_147;
6859 d_vsync_counter(6) <= N_148;
6860 d_vsync_counter(7) <= N_149;
6861 d_vsync_counter(8) <= N_150;
6862 d_vsync_counter(9) <= N_151;
6863 d_set_hsync_counter <= N_152;
6864 d_set_vsync_counter <= N_153;
6865 d_h_enable <= N_154;
6866 d_v_enable <= N_155;
6870 d_hsync_state(6) <= N_159;
6871 d_hsync_state(5) <= N_160;
6872 d_hsync_state(4) <= N_161;
6873 d_hsync_state(3) <= N_162;
6874 d_hsync_state(2) <= N_163;
6875 d_hsync_state(1) <= N_164;
6876 d_hsync_state(0) <= N_165;
6877 d_vsync_state(6) <= N_166;
6878 d_vsync_state(5) <= N_167;
6879 d_vsync_state(4) <= N_168;
6880 d_vsync_state(3) <= N_169;
6881 d_vsync_state(2) <= N_170;
6882 d_vsync_state(1) <= N_171;
6883 d_vsync_state(0) <= N_172;
6884 d_state_clk <= N_173;
6886 d_toggle_counter(0) <= N_175;
6887 d_toggle_counter(1) <= N_176;
6888 d_toggle_counter(2) <= N_177;
6889 d_toggle_counter(3) <= N_178;
6890 d_toggle_counter(4) <= N_179;
6891 d_toggle_counter(5) <= N_180;
6892 d_toggle_counter(6) <= N_181;
6893 d_toggle_counter(7) <= N_182;
6894 d_toggle_counter(8) <= N_183;
6895 d_toggle_counter(9) <= N_184;
6896 d_toggle_counter(10) <= N_185;
6897 d_toggle_counter(11) <= N_186;
6898 d_toggle_counter(12) <= N_187;
6899 d_toggle_counter(13) <= N_188;
6900 d_toggle_counter(14) <= N_189;
6901 d_toggle_counter(15) <= N_190;
6902 d_toggle_counter(16) <= N_191;
6903 d_toggle_counter(17) <= N_192;
6904 d_toggle_counter(18) <= N_193;
6905 d_toggle_counter(19) <= N_194;
6906 d_toggle_counter(20) <= N_195;
6907 d_toggle_counter(21) <= N_196;
6908 d_toggle_counter(22) <= N_197;
6909 d_toggle_counter(23) <= N_198;
6910 d_toggle_counter(24) <= N_199;
6911 CLK_PIN_INTERNAL <= clk_pin;
6912 RESET_PIN_INTERNAL <= reset_pin;