vcom -work work ../src/r2_w_ram.vhd
vcom -work work ../src/r2_w_ram_b.vhd
vcom -work work ../src/common_pkg.vhd
+vcom -work work ../src/extension_pkg.vhd
vcom -work work ../src/core_pkg.vhd
vcom -work work ../src/decoder.vhd
vcom -work work ../src/decoder_b.vhd
vcom -work work ../src/alu_pkg.vhd
vcom -work work ../src/extension_pkg.vhd
-vcom -work work ../src/gpm_pkg.vhd
vcom -work work ../src/exec_op.vhd
vcom -work work ../src/exec_op/add_op_b.vhd
vcom -work work ../src/alu.vhd
vcom -work work ../src/alu_b.vhd
vcom -work work ../src/extension_pkg.vhd
-vcom -work work ../src/gpm_pkg.vhd
+#vcom -work work ../src/gpm_pkg.vhd
#vcom -work work ../src/gpm.vhd
#vcom -work work ../src/gpm_b.vhd
-vcom -work work ../src/extension_pkg.vhd
vcom -work work ../src/extension.vhd
vcom -work work ../src/extension_b.vhd
\r
use work.common_pkg.all;\r
use work.alu_pkg.all;\r
+use work.extension_pkg.all;\r
\r
entity alu is\r
--some modules won't need all inputs\r
shift_inst : entity work.exec_op(shift_op)\r
port map(clk,reset,left_o, right_o, op_detail, alu_state, shift_result);\r
\r
-calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result, prog_cnt,brpr)\r
+calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result, prog_cnt,brpr, pval)\r
variable result_v : alu_result_rec;\r
variable res_prod : std_logic;\r
variable cond_met : std_logic;\r
addr <= add_result.result;\r
data <= right_operand;\r
\r
+ pinc <= '0';\r
+ pwr_en <= '0';\r
+ paddr <= (others =>'0');\r
+ \r
result_v.result := add_result.result;\r
\r
case cond is\r
use IEEE.numeric_std.all;
use work.common_pkg.all;
+use work.extension_pkg.all;
package core_pkg is
use work.common_pkg.all;
use work.core_pkg.all;
+use work.extension_pkg.all;
entity core_top is
signal hword_pin : std_logic;
signal byte_s_pin : std_logic;
- signal gpm_in_pin : ext_mod_rec;
+ signal gpm_in_pin : extmod_rec;
signal gpm_out_pin : gp_register_t;
signal nop_pin : std_logic;
use work.common_pkg.all;
use work.alu_pkg.all;
-use work.gpm_pkg.all;
+--use work.gpm_pkg.all;
use work.extension_pkg.all;
architecture behav of execute_stage is
begin
if (reset = RESET_VALUE) then
reg.status <= (others=>'0');
- reg.pointers <= (others => (std_logic_vector(to_unsigned(DATA_END_ADDR,DATA_ADDR_WIDTH)));
+ reg.preg <= (others => (std_logic_vector(to_unsigned(DATA_END_ADDR,reg.preg(0)'length))));
elsif rising_edge(clk) then
reg <= reg_nxt;
end if;
end process syn;
-asyn : process (clk, reset, reg, psw_nxt, ext_reg, pval, pwr_en, pinc, paddr)
+asyn : process (clk, reset, reg, psw_nxt, ext_reg, pwr_en, pinc, paddr)
variable reg_nxt_v : gpm_internal;
variable incb : gp_register_t;
- variable sel_pval : gp_register_t;
+ variable sel_pval : std_logic_vector(reg.preg(0)'range);
variable data_out_v : gp_register_t;
variable data_v : gp_register_t;
case ext_reg.addr(1 downto 0) is
when "00" =>
if ext_reg.byte_en(0) = '1' then
- reg_nxt_v.psw := (data_v(0), data_v(1), data_v(3), data_v(2));
- psw <= reg_nxt_v.psw;
+ reg_nxt_v.status := (data_v(0), data_v(1), data_v(3), data_v(2));
+ psw <= reg_nxt_v.status;
end if;
when "01" =>
--STACK_POINTER
tmp_data := (others =>'0');
- tmp_data(tmp_data'high downto BYTE_ADDR) := reg.preg(0);
+ tmp_data(tmp_data'high downto BYTEADDR) := reg.preg(0);
if ext_reg.byte_en(0) = '1' then
tmp_data(byte_t'range) := data_v(byte_t'range);
end if;
if ext_reg.byte_en(1) = '1' then
- tmp_data((2*byte_t'length-1) downto byte_t'length) := data_v(2*byte_t'length-1) downto byte_t'length);
+ tmp_data((2*byte_t'length-1) downto byte_t'length) := data_v((2*byte_t'length-1) downto byte_t'length);
end if;
if ext_reg.byte_en(2) = '1' then
- tmp_data((3*byte_t'length-1) downto 2*byte_t'length) := data_v(3*byte_t'length-1) downto 2*byte_t'length);
+ tmp_data((3*byte_t'length-1) downto 2*byte_t'length) := data_v((3*byte_t'length-1) downto 2*byte_t'length);
end if;
if ext_reg.byte_en(3) = '1' then
- tmp_data((4*byte_t'length-1) downto 3*byte_t'length) := data_v(4*byte_t'length-1) downto 3*byte_t'length);
+ tmp_data((4*byte_t'length-1) downto 3*byte_t'length) := data_v((4*byte_t'length-1) downto 3*byte_t'length);
end if;
- reg_nxt_v.preg(0) := tmp_data(tmp_data'high downto BYTE_ADDR);
+ reg_nxt_v.preg(0) := tmp_data(tmp_data'high downto BYTEADDR);
when others => null;
end case;
end if;
- if (ext_reg.sel = '1') and wr_en = '0' then
+ if (ext_reg.sel = '1') and ext_reg.wr_en = '0' then
case ext_reg.addr(1 downto 0) is
when "00" =>
if ext_reg.byte_en(0) = '1' then
- data_out_v(3 downto 0) <= (reg.status.sign, reg.status.carry, reg.status.oflo, reg.status.zero);
+ data_out_v(3 downto 0) := (reg.status.sign, reg.status.carry, reg.status.oflo, reg.status.zero);
end if;
when "01" =>
--STACK_POINTER
- data_out_v(data_out_v'high downto BYTE_ADDR) := reg.preg(0);
+ data_out_v(data_out_v'high downto BYTEADDR) := reg.preg(0);
when others => null;
end case;
end if;
-
- sel_pval := reg_nxt_v.preg(unsigned(paddr));
+ sel_pval:= reg_nxt_v.preg(to_integer(unsigned(paddr)));
if pwr_en = '1' then
reg_nxt_v.preg(to_integer(unsigned(paddr))) := std_logic_vector(unsigned(sel_pval)+unsigned(incb));
data_out <= data_out_v;
pval <= (others =>'0');
- pval(pval'high downto BYTE_ADDR) <= sel_pval;
+ pval(pval'high downto BYTEADDR) <= sel_pval;
end process asyn;
end behav;
signal dmem_wr_en_pin : std_logic;
signal hword_pin : std_logic;
signal byte_s_pin : std_logic;
+
+ signal gpm_in_pin : extmod_rec;
+ signal gpm_out_pin : gp_register_t;
signal nop_pin : std_logic;
);
exec_st : execute_stage
generic map('0')
- port map(sys_clk_pin, sys_res_n_pin,to_next_stage_pin,reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, result_pin, result_addr_pin,addr_pin,
- data_pin, alu_jump_pin,brpr_pin, wr_en_pin, dmem_pin,dmem_wr_en_pin,hword_pin,byte_s_pin);
+ port map(sys_clk_pin, sys_res_n_pin,to_next_stage_pin,reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, gpm_in_pin, result_pin, result_addr_pin,addr_pin,
+ data_pin, alu_jump_pin,brpr_pin, wr_en_pin, dmem_pin,dmem_wr_en_pin,hword_pin,byte_s_pin, gpm_out_pin);
writeback_st : writeback_stage
generic map('0', '1')