reg_w_addr : in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
reg_wr_data : in gp_register_t;
reg_we : in std_logic;
+ nop : in std_logic;
--Data outputs
-- reg1_rd_data : out gp_register_t;
signal dmem_wr_en_pin : std_logic;
signal hword_pin : std_logic;
signal byte_s_pin : std_logic;
+ signal nop_pin : std_logic;
begin
reg_w_addr => reg_w_addr_pin, --: in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
reg_wr_data => reg_wr_data_pin, --: in gp_register_t;
reg_we => reg_we_pin, --: in std_logic;
+ nop => nop_pin,
--Data outputs
branch_prediction_res => prediction_result_pin, --: instruction_word_t;
--end process;
result <= result_pin;
+ nop_pin <= (alu_jump_bit_pin xor brpr_pin);
end behav;
reg_w_addr : in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
reg_wr_data : in gp_register_t;
reg_we : in std_logic;
+ nop : in std_logic;
--Data outputs
-- reg1_rd_data : out gp_register_t;
instr_s.op_detail(IMM_OPT) := '1';
end if;
+ instr_s.op_detail(NO_DST_OPT) := '1';
+ instr_s.op_group := ADDSUB_OP;
+ instr_s.op_detail(SUB_OPT) := '1';
end if;
signal dmem_wr_en_pin : std_logic;
signal hword_pin : std_logic;
signal byte_s_pin : std_logic;
+ signal nop_pin : std_logic;
begin
reg_w_addr => reg_w_addr_pin, --: in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
reg_wr_data => reg_wr_data_pin, --: in gp_register_t;
reg_we => reg_we_pin, --: in std_logic;
+ nop => nop_pin,
--Data outputs
branch_prediction_res => prediction_result_pin, --: instruction_word_t;
reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, jump_result_pin, alu_jump_bit_pin);
+ nop_pin <= (alu_jump_bit_pin xor brpr_pin);
-------------------------------------------------------------------------------
-- generate simulation clock
1 => x"00000001",
2 => x"FFFFFFFF",
3 => x"00000003",
- others=> x"00000000");
+ others=> (others => '0'));
begin
process(clk)
2 => "11100000000010000001100000000000", -- r1 = r0 + r3 (always)
3 => "11100000101000000001000000000000",
4 => "11100001000110010111011001101100",
- others => x"E0000000");
+ others => x"F0000000");
begin
process(clk)