init
authorBernhard Urban <lewurm@gmx.net>
Fri, 11 Dec 2009 21:59:40 +0000 (22:59 +0100)
committerBernhard Urban <lewurm@gmx.net>
Fri, 11 Dec 2009 22:00:40 +0000 (23:00 +0100)
17 files changed:
.gitignore [new file with mode: 0644]
Flash.c [new file with mode: 0644]
Flash.h [new file with mode: 0644]
MAIN.c [new file with mode: 0644]
Makefile [new file with mode: 0644]
RLT.c [new file with mode: 0644]
RLT.h [new file with mode: 0644]
Start91460.asm [new file with mode: 0644]
changes_to_HWM.txt [new file with mode: 0644]
cscope.out [new file with mode: 0644]
mb91465k.asm [new file with mode: 0644]
mb91465k.h [new file with mode: 0644]
readme.txt [new file with mode: 0644]
tags [new file with mode: 0644]
uart.c [new file with mode: 0644]
vectors.c [new file with mode: 0644]
vectors.h [new file with mode: 0644]

diff --git a/.gitignore b/.gitignore
new file mode 100644 (file)
index 0000000..ecaf1aa
--- /dev/null
@@ -0,0 +1,6 @@
+*.map
+*.obj
+*.mhx
+*.abs
+*.swp
+.deps/*
diff --git a/Flash.c b/Flash.c
new file mode 100644 (file)
index 0000000..36dbd6b
--- /dev/null
+++ b/Flash.c
@@ -0,0 +1,328 @@
+/*****************************************************************************/\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*****************************************************************************/\r
+\r
+#include "Flash.h"\r
+#include "mb91465k.h"\r
+\r
+static unsigned int IFlag;\r
+\r
+#pragma section CODE=IRAM,attr=CODE\r
+       \r
+\r
+void FLASH_PrepareWriteHalfWordMode()\r
+{\r
+       /*      Set FLASH Access Mode via BootROM Routine       */\r
+       /*      For details refer to the Hardware Manual or Data Sheet */\r
+#pragma asm\r
+       ST              RP,@-R15\r
+       STM0    (R4,R5)\r
+       STM1    (R12)\r
+       LDI             #0x01,R4        ; Set FLASH to 16Bit read/write Mode\r
+       LDI             #0x04,R5        ; Go 4 times through delay loop (64MHz CLKB)\r
+       LDI             #0xBF60,R12\r
+       CALL    @R12\r
+       LDM1    (R12)\r
+       LDM0    (R4,R5)\r
+       LD              @R15+,RP\r
+#pragma endasm\r
+       \r
+       /*      Set the FLASH Interface to Write Timing */\r
+       /*      For details refer to the Hardware Manual or Data Sheet */\r
+       /*      Setting shown here is for CLKB = 64MHz  */\r
+       FMWT_ATD = 1;\r
+       FMWT_WEXH = 0;\r
+       FMWT_WTC = 8;\r
+}\r
+\r
+void FLASH_PrepareReadMode()\r
+{\r
+       /*      Set FLASH Access Mode via BootROM Routine       */\r
+       /*      For details refer to the Hardware Manual or Data Sheet */\r
+#pragma asm\r
+       ST              RP,@-R15\r
+       STM0    (R4,R5)\r
+       STM1    (R12)\r
+       LDI             #0x00,R4        ; Set FLASH to 32Bit read/write Mode\r
+       LDI             #0x04,R5        ; Go 4 times through delay loop (64MHz CLKB)\r
+       LDI             #0xBF60,R12\r
+       CALL    @R12\r
+       LDM1    (R12)\r
+       LDM0    (R4,R5)\r
+       LD              @R15+,RP\r
+#pragma endasm\r
+       \r
+       /*      Set the FLASH Interface to Read Timing  */\r
+       /*      For details refer to the Hardware Manual or Data Sheet */\r
+       /*      Setting shown here is for CLKB = 64MHz  */\r
+       FMWT_ATD = 1;\r
+       FMWT_EQ = 3;\r
+       FMWT_WTC = 4;\r
+}              \r
+               \r
+       \r
+unsigned char FLASH_SectorErase(unsigned int secadr)\r
+{\r
+       unsigned char flag = 0;\r
+       volatile unsigned int value = 0;\r
+       \r
+       /*      Disable Interrupts if necessary */\r
+       IFlag = FLASH_SaveDisableInterruptFlag();\r
+\r
+       /*      Set FLASH access mode to 16Bit Write Mode       */\r
+       FLASH_PrepareWriteHalfWordMode();\r
+                                       \r
+       secadr |= 0x0003;\r
+       \r
+       /*      Start FLASH Sector Erase Sequence       */\r
+       *hseq_1 = 0x00AA;\r
+       *hseq_2 = 0x0055;\r
+       *hseq_1 = 0x0080;\r
+       *hseq_1 = 0x00AA;\r
+       *hseq_2 = 0x0055;\r
+       *(unsigned  short int *)secadr = 0x0030;\r
+\r
+       /*      Wait for the Auto Algorithm to start    */\r
+       while( !( *(unsigned  short int *)secadr & SETIMR ) )\r
+       {\r
+               /*      Feed the Hardware Watchdog      */\r
+               HWWD_CL = 0;\r
+               \r
+               /*      Check for Pending Interrupts    */\r
+               if( FLASH_CheckPendingInterrupt() )\r
+               {               \r
+                       /*      Wait for Sector Erase Suspend   */\r
+                       FLASH_SuspendSectorErase(secadr);\r
+                       \r
+               /*      Set FLASH access mode to 32Bit Read Mode        */\r
+               FLASH_PrepareReadMode();\r
+                       \r
+                       /*      Restore the original Interrupt Flag     */\r
+                       FLASH_RestoreInterruptFlag(IFlag);\r
+                                               \r
+                       /*      Keep on checking for pending Interrupts */\r
+                       while( FLASH_CheckPendingInterrupt() ) HWWD_CL = 0;\r
+                       \r
+                       /*      Disable Interrupts if necessary */\r
+                       IFlag = FLASH_SaveDisableInterruptFlag();\r
+                       \r
+                       /*      Set FLASH access mode to 16Bit Write Mode       */\r
+               FLASH_PrepareWriteHalfWordMode();\r
+                                               \r
+                       /*      Sector Erase Resume     */\r
+                       FLASH_ResumeSectorErase(secadr);\r
+               }               \r
+       }\r
+\r
+       /*      Wait for the Auto Algorithm to finish   */\r
+       while( flag == 0 )\r
+       {\r
+               /* Feed Hardware Watchdog */\r
+               HWWD_CL = 0;\r
+\r
+               /*      Check for Pending Interrupts    */\r
+               if( FLASH_CheckPendingInterrupt() )\r
+               {               \r
+                       /*      Sector Erase Suspend    */\r
+                       FLASH_SuspendSectorErase(secadr);\r
+                       \r
+               /*      Set FLASH access mode to 32Bit Read Mode        */\r
+               FLASH_PrepareReadMode();\r
+                                       \r
+                       /*      Restore the original Interrupt Flag     */\r
+                       FLASH_RestoreInterruptFlag(IFlag);\r
+                                                                       \r
+                       /*      Keep on checking for pending Interrupts */\r
+                       while( FLASH_CheckPendingInterrupt() ) HWWD_CL = 0;\r
+\r
+                       /*      Disable Interrupts if necessary */\r
+                       IFlag = FLASH_SaveDisableInterruptFlag();\r
+                       \r
+                       /*      Set FLASH access mode to 16Bit Write Mode       */\r
+               FLASH_PrepareWriteHalfWordMode();\r
+                                               \r
+                       /*      Sector Erase Resume     */\r
+                       FLASH_ResumeSectorErase(secadr);\r
+               }               \r
+               \r
+                       \r
+               /*      Check the Hardware Sequence Flags       */                              \r
+               if( ( *(unsigned short int *)secadr /* value */ & DPOLL ) )\r
+               {\r
+                       flag = 1;\r
+               }\r
+               if( ( *(unsigned short int *)secadr /* value */ & TLOVER ) )\r
+               {\r
+                       if( ( *(unsigned short int *)secadr /* value */ & DPOLL ) )\r
+                       {\r
+                               flag = 1;\r
+                       }\r
+                       else\r
+                       {\r
+                               /*      Reset FLASH     */\r
+                               FLASH_ReadReset();\r
+                               \r
+                               flag = 2;\r
+                       }\r
+               }\r
+       }\r
+       \r
+\r
+       /*      Restore the original Interrupt Flag     */\r
+       FLASH_RestoreInterruptFlag(IFlag);\r
+       \r
+       /*      Set FLASH access mode to 32Bit Read Mode        */\r
+       FLASH_PrepareReadMode();\r
+               \r
+       return flag;\r
+}\r
+\r
+unsigned char FLASH_SectorBlankCheck(unsigned int secaddr, unsigned int size)\r
+{\r
+       unsigned int count;\r
+       unsigned char empty_flag = 0;\r
+       unsigned int addr = secaddr;\r
+               \r
+       /*      Clear FIXE bit to see FLASH memory content instead of fixed reset vector        */\r
+       FMCS_FIXE = 0;\r
+       \r
+       for(count = 0; count < size; count ++)\r
+       {\r
+               /*      Clear Hardware Watchdog */\r
+               HWWD_CL = 0;\r
+               if( *(unsigned int *)addr != 0xFFFFFFFF ) empty_flag = 1;\r
+               addr += 4;\r
+       }\r
+       \r
+       /*      Set FIXE bit to see fixed reset vector  */\r
+       FMCS_FIXE = 1;\r
+\r
+       if( empty_flag != 0 )\r
+       {\r
+               return 2;\r
+       }\r
+       \r
+       return 1;\r
+}\r
+\r
+unsigned char FLASH_WriteHalfWord(unsigned int adr, unsigned short int data)\r
+{\r
+       unsigned char flag = 0;\r
+\r
+       /*      Disable Interrupts if necessary */\r
+       IFlag = FLASH_SaveDisableInterruptFlag();\r
+                       \r
+       /*      Set FLASH access mode to 16Bit Write Mode       */\r
+       FLASH_PrepareWriteHalfWordMode();\r
+       \r
+       /*      Start Write FLASH Sequence      */\r
+       *hseq_1 = 0x00AA;\r
+       *hseq_2 = 0x0055;\r
+       *hseq_1 = 0x00A0;\r
+       *((volatile unsigned short int *)adr) = data;\r
+       \r
+       /*      Wait for the Auto Algorithm to finish   */\r
+       while( flag == 0 )\r
+       {\r
+               /* Feed Hardware Watchdog */\r
+               HWWD_CL = 0;\r
+               \r
+               if( ( *(volatile unsigned short int *)adr & DPOLL ) == (data & DPOLL) )\r
+               {\r
+                       flag = 1;\r
+               }\r
+               if( ( *(volatile unsigned short int *)adr & TLOVER ) == TLOVER )\r
+               {\r
+                       if( ( *(volatile unsigned short int *)adr & DPOLL ) == (data & DPOLL) )\r
+                       {\r
+                               flag = 1;\r
+                       }\r
+                       else\r
+                       {\r
+                               /*      Reset FLASH (keep in mind 16Bit access to FLASH)        */\r
+                               *hseq_1 = 0x00F0;       // Keep in Mind (16Bit access)\r
+                               \r
+                               flag = 2;\r
+                       }\r
+               }\r
+       }\r
+\r
+       /*      Set FLASH access mode to 32Bit Read Mode        */\r
+       FLASH_PrepareReadMode();\r
+       \r
+       /*      Restore the original Interrupt Flag     */\r
+       FLASH_RestoreInterruptFlag(IFlag);\r
+       \r
+       return flag;\r
+}\r
+\r
+\r
+unsigned char FLASH_ReadReset()\r
+{\r
+       *hseq_1 = 0x00F0;\r
+       \r
+       return 1;\r
+}\r
+\r
+#pragma asm\r
+_FLASH_SaveDisableInterruptFlag:\r
+       STM0 (R0)\r
+       MOV PS,R4\r
+       LDI     #0x00000010,R0  \r
+       AND R0,R4                       ; Store Original Flag\r
+       ANDCCR #0xFFFFFFEF      ; Clear Interrupt Flag\r
+       LDM0 (R0)       \r
+       RET\r
+#pragma endasm\r
+\r
+\r
+#pragma asm\r
+_FLASH_RestoreInterruptFlag:\r
+       STM0 (R0)\r
+       MOV PS,R0                       ; Get current PS\r
+       OR R4,R0                        ; Set Flag as saved\r
+       MOV R0,PS                       ; Write back PS\r
+       LDM0 (R0)\r
+       RET\r
+#pragma endasm\r
+\r
+\r
+unsigned char FLASH_SuspendSectorErase(unsigned int secaddr)\r
+{              \r
+       /* Write Sector Erase Suspend Command   */\r
+       *(volatile unsigned short int *)secaddr = 0x00B0;\r
+\r
+       /*      Wait for the FLASH macro to suspend sector erase        */              \r
+       while(!(*(unsigned short int *)secaddr /* value */ & DPOLL) && (*(unsigned short int *)secaddr /* value */ & SETIMR))\r
+       {\r
+               HWWD_CL=0;\r
+       }\r
+       \r
+       return 1;\r
+}\r
+\r
+unsigned char FLASH_ResumeSectorErase(unsigned int secaddr)\r
+{\r
+       /*      Write the Sector Erase Resume Command   */\r
+       *(volatile unsigned short int *)secaddr = 0x0030;\r
+       \r
+       /*      Wait for the FLASH Macro to resume sector erase */              \r
+       while((*(unsigned short int *)secaddr /*value */ & DPOLL) && !(*(unsigned short int *)secaddr /*value */ & SETIMR))\r
+       {\r
+               HWWD_CL=0;\r
+       }\r
+       \r
+       return 1;               \r
+}\r
+\r
+unsigned char FLASH_CheckPendingInterrupt()\r
+{\r
+       /*      Poll for Pending Interrupts which are needed here       */\r
+       if(TMCSR0_UF) return 1; /* in this sample the only interrupt source supervised is Reload Timer 0        */\r
+       \r
+       /*      and return 1 when an Interrupt is pending       */\r
+       return 0;\r
+}\r
diff --git a/Flash.h b/Flash.h
new file mode 100644 (file)
index 0000000..75016d2
--- /dev/null
+++ b/Flash.h
@@ -0,0 +1,34 @@
+/*****************************************************************************/\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*****************************************************************************/\r
+\r
+#ifndef __FLASH_H__\r
+#define __FLASH_H__\r
+\r
+#include "MB91465K.H"\r
+\r
+#define hseq_1 ((volatile unsigned short int *)0x000A1557)\r
+#define hseq_2 ((volatile unsigned short int *)0x000A0AAF)\r
+\r
+\r
+#define DPOLL 0x0080\r
+#define TLOVER 0x0020\r
+#define SETIMR 0x0008\r
+\r
+void FLASH_PrepareWriteHalfWordMode();\r
+void FLASH_PrepareReadMode();\r
+unsigned char FLASH_WriteHalfWord(unsigned int adr, unsigned short int data);\r
+unsigned char FLASH_SectorErase(unsigned int sec_adr);\r
+unsigned char FLASH_ChipErase(void);\r
+unsigned char FLASH_SectorBlankCheck(unsigned int secaddr, unsigned int size);\r
+unsigned char FLASH_ReadReset(void);\r
+unsigned char FLASH_SuspendSectorErase(unsigned int secaddr);\r
+unsigned char FLASH_ResumeSectorErase(unsigned int secaddr);\r
+unsigned int FLASH_SaveDisableInterruptFlag(void);\r
+void FLASH_RestoreInterruptFlag(unsigned int flag);\r
+unsigned char FLASH_CheckPendingInterrupt(void);\r
+\r
+#endif /* __FLASH_H__  */\r
diff --git a/MAIN.c b/MAIN.c
new file mode 100644 (file)
index 0000000..2972877
--- /dev/null
+++ b/MAIN.c
@@ -0,0 +1,198 @@
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*------------------------------------------------------------------------\r
+  MAIN.C\r
+  - description\r
+  - See README.TXT for project description and disclaimer.\r
+\r
+  06.10.06  1.01   UMa    changed includes\r
+-------------------------------------------------------------------------*/\r
+\r
\r
+/*************************@INCLUDE_START************************/\r
+#include "mb91465k.h"\r
+#include "vectors.h"\r
+#include "RLT.h"\r
+#include "Flash.h"\r
+/**************************@INCLUDE_END*************************/\r
+\r
+/*********************@GLOBAL_VARIABLES_START*******************/\r
+/**********************@GLOBAL_VARIABLES_END********************/\r
+\r
+\r
+/*******************@FUNCTION_DECLARATION_START*****************/\r
+\r
+/*********************@FUNCTION_HEADER_START*********************\r
+*@FUNCTION NAME:    main()                                      *\r
+*                                                               *\r
+*@DESCRIPTION:      The main function controls the program flow *\r
+*                                                               *\r
+*@PARAMETER:        none                                        *\r
+*                                                               *\r
+*@RETURN:           none                                        *\r
+*                                                               *\r
+***********************@FUNCTION_HEADER_END*********************/\r
+\r
+\r
+void main(void)\r
+{\r
+    unsigned char error = 0;\r
+       unsigned char global_error = 0; \r
+       unsigned int i;\r
+       \r
+       /*      Enable Clock Monitor    */\r
+       CSCFG_MONCKI = 1;\r
+       CMCFG = 0x0D;\r
+               \r
+    __EI();                    /* enable interrupts */\r
+    __set_il(31);              /* allow all levels */\r
+    InitIrqLevels();           /* init interrupts */\r
+\r
+    PORTEN = 0x3;              /* enable I/O Ports */\r
+                               /* This feature is not supported by MB91V460A */\r
+                               /* For all other devices the I/O Ports must be enabled*/\r
+\r
+       /*      Enable LEDs     */\r
+       DDR27 = 0xFF;\r
+       PDR27 = 0x00;\r
+\r
+       /*      Initialize Reload Timer Channel 0       */\r
+       RLT_InitializeTimer(0, RLT_RUMMODE_RELOAD, RLT_CLOCKMODE_DIV32, RLT_TRIGGER_SOFTWARE, RLT_OUTOUTMODE_HIGHLEVEL);\r
+       RLT_SetReloadValue(0,0x1388);   // CLKP/32, 0.01s Interval => 0x1388 counts\r
+       RLT_EnableInterrupt(0);\r
+       RLT_TriggerTimer(0);\r
+                \r
+       /*      Initialize UART4        */\r
+       InitUart4();\r
+\r
+       /*      Output Welcome Message  */\r
+       Puts4(" \n\n");\r
+       Puts4("\n\n********** Welcome to FUJITSU FLASH Programming Demo **********\n");\r
+\r
+       /*      Do BlankCheck on Sector at 0xA0000      */\r
+       Puts4("Blank Check of FLASH Sector at 0xA0000 ... ");\r
+       error = FLASH_SectorBlankCheck(0xA0000, 0x4000);\r
+       if( error == 1 )\r
+       {\r
+               Puts4("done.\n");\r
+       }\r
+       else\r
+       {\r
+               Puts4("failed.\n");\r
+       }\r
+               \r
+       /*      Show Current Content of 0xA0000 ... 0xA001F     */\r
+       i=0;\r
+       Puts4("\nCurrent Content of FLASH at 0xA0000 ... 0xA001F:\n");\r
+       while(i < 0x20)\r
+       {\r
+               Puts4("0x"); Puthex4( *(unsigned char *)(0xA0000 + i), 2); Puts4("  ");\r
+               i++;\r
+               if( (i % 0x10) == 0 ) Puts4("\n");\r
+       }\r
+       Puts4("\n");\r
+       \r
+       /*      SectorErase of FLASH Memory     0xA0000*/\r
+       Puts4("Sector Erase of 0xA0000 ... ");\r
+       error = FLASH_SectorErase(0xA0000);\r
+       error = FLASH_SectorErase(0xA0004);\r
+       if( error == 1 )\r
+       {\r
+               Puts4("done.\n");\r
+       }\r
+       else\r
+       {\r
+               global_error = 1;\r
+               Puts4("failed.\n");\r
+       }\r
+\r
+       /*      Do BlankCheck on Sectors at 0xA0000 */\r
+       Puts4("Blank Check of FLASH Sector at 0xA0000 ... ");\r
+       error = FLASH_SectorBlankCheck(0xA0000, 0x4000);\r
+       if( error == 1 )\r
+       {\r
+               Puts4("done.\n");\r
+       }\r
+       else\r
+       {\r
+               global_error = 1;\r
+               Puts4("failed.\n");\r
+       }\r
+               \r
+       /*      Show Current Content of 0xA0000 ... 0xA001F     */\r
+       i=0;\r
+       Puts4("\nCurrent Content of FLASH at 0xA0000 ... 0xA001F:\n");\r
+       while(i < 0x20)\r
+       {\r
+               Puts4("0x"); Puthex4( *(unsigned char *)(0xA0000 + i), 2); Puts4("  ");\r
+               i++;\r
+               if( (i % 0x10) == 0 ) Puts4("\n");\r
+       }\r
+       Puts4("\n");\r
+       \r
+       /*      Write 0x55AA to 0xA0002 */\r
+       Puts4("Write 0x55AA to 0xA0002 ... ");\r
+       error = FLASH_WriteHalfWord(0xA0002,0x55AA);\r
+       if( error == 1 )\r
+       {\r
+               Puts4("done.\n");\r
+       }\r
+       else\r
+       {\r
+               global_error = 1;\r
+               Puts4("failed.\n");\r
+       }\r
+\r
+       /*      Write 0x33CC to 0xA0004 */\r
+       Puts4("Write 0x33CC to 0xA0004 ... ");\r
+       error = FLASH_WriteHalfWord(0xA0004,0x33CC);\r
+       if( error == 1 )\r
+       {\r
+               Puts4("done.\n");\r
+       }\r
+       else\r
+       {\r
+               global_error = 1;\r
+               Puts4("failed.\n");\r
+       }\r
+               \r
+       /*      Show Current Content of 0xA0000 ... 0xA001F     */\r
+       i=0;\r
+       Puts4("\nCurrent Content of FLASH at 0xA0000 ... 0xA001F:\n");\r
+       while(i < 0x20)\r
+       {\r
+               Puts4("0x"); Puthex4( *(unsigned char *)(0xA0000 + i), 2); Puts4("  ");\r
+               i++;\r
+               if( (i % 0x10) == 0 ) Puts4("\n");\r
+       }\r
+       Puts4("\n");\r
+\r
+                               \r
+       /*      Output Ready Meassage   */\r
+       if( global_error != 0 )\r
+       {\r
+               Puts4("\n********* FLASH Programming Demo failed **********\n");\r
+       }\r
+       else\r
+       {\r
+               Puts4("\n********* FLASH Programming Demo done **********\n");\r
+       }\r
+                                       \r
+    while(1)                   /* endless loop */\r
+    {    \r
+         \r
+       HWWD_CL = 0;   \r
+       \r
+       /* feed hardware watchdog */\r
+       /* (Only for devices with hardware (R/C based) watchdog) */\r
+       /* The hardware (R/C based) watchdog is started */\r
+       /* automatically after power-up and can not be stopped */\r
+       /* If the hardware watchdog is not cleared frequently */\r
+       /* a reset is generated. */           \r
+    }   \r
+}\r
+\r
+\r
+/********************@FUNCTION_DECLARATION_END******************/\r
diff --git a/Makefile b/Makefile
new file mode 100644 (file)
index 0000000..2c0483a
--- /dev/null
+++ b/Makefile
@@ -0,0 +1,93 @@
+# TODO: make upload, remove warnings
+
+# hinweise:
+# wenn folgende meldung kommt
+#> "*** F9012D : tool execute is failed (fasm911s)"
+# per 'wine regedit' den string "Path" in
+#> HKEY_CURRENT_USER/Environment
+# setzen, naemlich auf die ausgabe von
+#> winepath $FUJDEV/Bin
+# natuerlich muss $FUJDEV richtig gesetzt sein!
+
+ifeq ($(strip $(FUJDEV)),)
+$(error "Set FUJDEV in your environment. Ususally this is the Rootpath of the Softune installer. You MUST NOT install it through wine.")
+endif
+
+PREFIX = wine $(FUJDEV)/Bin/
+
+CPUT = -cpu MB91F465K#TODO: change to X
+
+CFLAGS = -w 1 -O 4 -B -K SPEED -K LONGADDRESS
+CFLAGS += -K SCHEDULE -K A1 -K SARG -Xdof
+CFLAGS += -K EOPT -K LIB -K UNROLL -Xalign
+CFLAGS += -c -cwno $(CPUT)
+
+ASFLAGS = -w 2 -O 0 -linf ON -lsrc ON -lsec ON
+ASFLAGS += -lcros OFF -linc ON -lexp OBJ -pl 60
+ASFLAGS += -pw 100 -tab 8 -Xdof -cwno $(CPUT)
+
+LDFLAGS = -AL 2
+LDFLAGS += -ra D_RAM=0x0002E000/0x0002FFFF #TODO try 2C000
+LDFLAGS += -ra ID_RAM=0x00030000/0x00031FFF
+LDFLAGS += -ro ROM_AREA=0x00080000/0x000FFFFF
+LDFLAGS += -ro ROM_AREA_2=0x00148000/0x0014FFFF
+LDFLAGS += -sc DATA/Data+INIT/Data+SSTACK/Data+USTACK/Data=D_RAM
+LDFLAGS += -sc IRAM/Code=ID_RAM
+LDFLAGS += -sc CODE+@INIT+@IRAM+CONST=ROM_AREA
+LDFLAGS += -sc CODE_START/Code=0x000F4000
+LDFLAGS += -sc INTVECT/Const=0x000FFC00
+LDFLAGS += -check_locate -pl 60 -pw 132 -Xals
+LDFLAGS += -Xalr -na -w 1 -Xdof -Xset_rora -cwno -a $(CPUT)
+
+LIBRFLAGS = -dt s,d,r,a -pl 60 -pw 132 -cwno $(CPUT)
+
+CONVFLAGS = -cwno -Xdof
+
+DEFINES =
+
+TNAME = fuj
+TARGET_MHX = $(TNAME).mhx
+TARGET = $(TNAME).abs
+DEPDIR = .deps
+
+#add all objects here
+
+OBJS = vectors.obj Start91460.obj mb91465k.obj MAIN.obj RLT.obj uart.obj Flash.obj 
+
+AS = $(PREFIX)fasm911s
+CC = $(PREFIX)fcc911s
+LD = $(PREFIX)flnk911s
+LIBR = $(PREFIX)flibs
+CONV = $(PREFIX)f2ms
+
+all: $(TARGET_MHX)
+
+upload: $(TARGET_MHX)
+       @echo "  TODO upload"
+
+$(TARGET_MHX): $(TARGET)
+       @echo "  MAKEMHX   $@"
+       @$(CONV) $(CONVFLAGS) $< -o $@
+
+$(TARGET): $(OBJS)
+       @echo "  LINK      $@"
+       @$(LD) $(LDFLAGS) -o $@ $(OBJS) -m $(TNAME).map
+
+%.obj: %.c
+       @echo "  COMPILE   $<"
+       @mkdir -p $(DEPDIR)
+       @echo -n "$@: " > $(DEPDIR)/$<.d
+       @$(CC) -H $(CPUT) $< | dos2unix | paste -s -d " " >> $(DEPDIR)/$<.d
+       @$(CC) $(CFLAGS) $(DEFINES) $< -o $@
+
+%.obj: %.asm
+       @echo "  ASSEMBLE  $<"
+       @$(AS) $(ASFLAGS) $(DEFINES) $< -o $@
+
+.PHONY: clean
+clean:
+       rm -fr $(DEPDIR)
+       rm -f $(TARGET) $(TARGET_MHX) $(OBJS) *.map
+
+-include $(DEPDIR)/*
+
diff --git a/RLT.c b/RLT.c
new file mode 100644 (file)
index 0000000..8c637ad
--- /dev/null
+++ b/RLT.c
@@ -0,0 +1,299 @@
+/*****************************************************************************/\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*****************************************************************************/\r
+\r
+#include "RLT.h"\r
+\r
+void RLT_InitializeTimer(unsigned char channel, unsigned char runmode, unsigned char clockmode, unsigned char triggermode, unsigned char outputmode)\r
+{\r
+       unsigned short setvalue = 0x00;\r
+       \r
+       /*      Prepare seting for the TMCSR Register   */\r
+       setvalue |= ( (clockmode << 10) | (triggermode << 7) | ((outputmode & 0x01) << 5) | ((runmode & 0x01) << 4) | 0x02 /* CNTE */ ); \r
+\r
+       /*      Set the corresponding RLT channel       */\r
+       switch(channel)\r
+       {\r
+               case 0:\r
+               {\r
+                       TMCSR0 = setvalue;\r
+                       break;\r
+               }\r
+               case 1:\r
+               {\r
+                       TMCSR1 = setvalue;\r
+                       break;\r
+               }\r
+               case 2:\r
+               {\r
+                       TMCSR2 = setvalue;\r
+                       break;\r
+               }\r
+               case 3:\r
+               {\r
+                       TMCSR3 = setvalue;\r
+                       break;\r
+               }\r
+               case 4:\r
+               {\r
+                       TMCSR4 = setvalue;\r
+                       break;\r
+               }\r
+               case 5:\r
+               {\r
+                       TMCSR5 = setvalue;\r
+                       break;\r
+               }\r
+               case 6:\r
+               {\r
+                       TMCSR6 = setvalue;\r
+                       break;\r
+               }\r
+               case 7:\r
+               {\r
+                       TMCSR7 = setvalue;\r
+                       break;\r
+               }\r
+       }       \r
+}\r
+\r
+void RLT_SetReloadValue(unsigned char channel, unsigned short int value)\r
+{\r
+       switch(channel)\r
+       {\r
+               case 0:\r
+               {\r
+                       TMRLR0 = value;\r
+                       break;\r
+               }       \r
+               case 1:\r
+               {\r
+                       TMRLR1 = value;\r
+                       break;\r
+               }       \r
+               case 2:\r
+               {\r
+                       TMRLR2 = value;\r
+                       break;\r
+               }       \r
+               case 3:\r
+               {\r
+                       TMRLR3 = value;\r
+                       break;\r
+               }       \r
+               case 4:\r
+               {\r
+                       TMRLR4 = value;\r
+                       break;\r
+               }       \r
+               case 5:\r
+               {\r
+                       TMRLR5 = value;\r
+                       break;\r
+               }       \r
+               case 6:\r
+               {\r
+                       TMRLR6 = value;\r
+                       break;\r
+               }       \r
+               case 7:\r
+               {\r
+                       TMRLR7 = value;\r
+                       break;\r
+               }\r
+       }       \r
+}\r
+\r
+void RLT_TriggerTimer(unsigned char channel)\r
+{\r
+       switch(channel)\r
+       {\r
+               case 0:\r
+               {\r
+                       TMCSR0_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 1:\r
+               {\r
+                       TMCSR1_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 2:\r
+               {\r
+                       TMCSR2_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 3:\r
+               {\r
+                       TMCSR3_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 4:\r
+               {\r
+                       TMCSR4_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 5:\r
+               {\r
+                       TMCSR5_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 6:\r
+               {\r
+                       TMCSR6_TRG = 1;\r
+                       break;\r
+               }       \r
+               case 7:\r
+               {\r
+                       TMCSR7_TRG = 1;\r
+                       break;\r
+               }\r
+       }\r
+}\r
+\r
+void RLT_EnableInterrupt(unsigned char channel)\r
+{\r
+       switch(channel)\r
+       {\r
+               case 0:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR0_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR0_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 1:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR1_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR1_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 2:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR2_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR2_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 3:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR3_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR3_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 4:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR4_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR4_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 5:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR5_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR5_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 6:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR6_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR6_INTE = 1;\r
+                       break;\r
+               }       \r
+               case 7:\r
+               {\r
+                       /*      Clear Pending Interrupt Flag    */\r
+                       TMCSR7_UF = 0;\r
+                       \r
+                       /* Enable Interrupt     */\r
+                       TMCSR7_INTE = 1;\r
+                       break;\r
+               }\r
+       }\r
+}\r
+\r
+__interrupt void RLT_Channel0_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR0_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+       PDR27++;\r
+}\r
+\r
+__interrupt void RLT_Channel1_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR1_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel2_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR2_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel3_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR3_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel4_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR4_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel5_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR5_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel6_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR6_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
+\r
+__interrupt void RLT_Channel7_ISR()\r
+{\r
+       /*      Clear Pending Interrupt Flag    */\r
+       TMCSR7_UF = 0;\r
+       \r
+       /*      Do what you have to do  */\r
+}\r
diff --git a/RLT.h b/RLT.h
new file mode 100644 (file)
index 0000000..344014d
--- /dev/null
+++ b/RLT.h
@@ -0,0 +1,45 @@
+/*****************************************************************************/\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*****************************************************************************/\r
+\r
+#ifndef __RLT_H__\r
+#define __RLT_H__\r
+\r
+#include "MB91465K.h"\r
+\r
+#define RLT_RUNMODE_ONESHOT    0x00\r
+#define RLT_RUMMODE_RELOAD     0x01\r
+\r
+#define RLT_CLOCKMODE_DIV2             0x00\r
+#define RLT_CLOCKMODE_DIV8             0x01\r
+#define RLT_CLOCKMODE_DIV32            0x02\r
+#define RLT_CLOCKMODE_EXT              0x03\r
+#define RLT_CLOCKMODE_DIV64            0x05\r
+#define RLT_CLOCKMODE_DIV128   0x06\r
+\r
+#define RLT_TRIGGER_SOFTWARE           0x00\r
+#define RLT_TRIGGER_EXT_FALLINGEDGE    0x01\r
+#define RLT_TRIGGER_EXT_RISINGEDGE     0x02\r
+#define RLT_TRIGGER_BOTHEDGES          0x03\r
+\r
+#define RLT_OUTOUTMODE_HIGHLEVEL       0x00\r
+#define RLT_OUTPUTMODE_LOWLEVEL                0x01\r
+\r
+void RLT_InitializeTimer(unsigned char channel, unsigned char runmode, unsigned char clockmode, unsigned char triggermode, unsigned char outputmode);\r
+void RLT_SetReloadValue(unsigned char channel, unsigned short int value);\r
+void RLT_EnableInterrupt(unsigned char channel);\r
+void RLT_TriggerTimer(unsigned char channel);\r
+\r
+__interrupt void RLT_Channel0_ISR();\r
+__interrupt void RLT_Channel1_ISR();\r
+__interrupt void RLT_Channel2_ISR();\r
+__interrupt void RLT_Channel3_ISR();\r
+__interrupt void RLT_Channel4_ISR();\r
+__interrupt void RLT_Channel5_ISR();\r
+__interrupt void RLT_Channel6_ISR();\r
+__interrupt void RLT_Channel7_ISR();\r
+\r
+#endif /*      __RLT_H__       */\r
diff --git a/Start91460.asm b/Start91460.asm
new file mode 100644 (file)
index 0000000..453c85a
--- /dev/null
@@ -0,0 +1,2532 @@
+/*      THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU       */\r
+/*      MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR       */\r
+/*      ELIGIBILITY FOR ANY PURPOSES.                                                   */\r
+/*      (C) Fujitsu Microelectronics Europe GmbH                                        */\r
+;=========================================================================================\r
+; 1  Contents\r
+;=========================================================================================\r
+; 1       Contents\r
+; 2       Disclaimer\r
+;\r
+; 3       History\r
+;\r
+; 4       Settings\r
+; 4.1     Controller device\r
+; 4.2     Boot / flash security \r
+; 4.3     Stack type and stack size\r
+; 4.4     Copy code from flash to I-RAM\r
+; 4.5     C++ start-up \r
+; 4.6     Low-level library interface\r
+; 4.7     Clock Configuration\r
+; 4.7.1   Clock selection\r
+; 4.7.2   Select Clock Modulator\r
+; 4.8     External bus interface\r
+; 4.8.1   Select chipselect \r
+; 4.8.2   Set memory addressing for chipselects\r
+; 4.8.3   Configure chipselect area\r
+; 4.8.4   Set wait cycles for chipselects\r
+; 4.8.5   Configure chipselects SDRAM memory only \r
+; 4.8.6   Referesh control register RCR \r
+; 4.8.7   Terminal and timing control register\r
+; 4.8.8   Enable / disable I-cache\r
+; 4.8.9   Enable CACHE for chipselect\r
+; 4.8.10  Select external bus mode (data lines)\r
+; 4.8.11  Select external bus mode (address lines)\r
+; 4.8.12  Select external bus mode (control signals)\r
+;\r
+; 5       Definitions of Configurations\r
+;\r
+; 6       Section and data declaration\r
+; 6.1     Define stack size\r
+; 6.2     Define sections\r
+;\r
+; 7.      S T A R T \r
+; 7.1     Initialise stack pointer and table base register\r
+; 7.2     Check for CSV reset and set CSV\r
+; 7.3     Check clock condition\r
+; 7.4     Restore default settings after reset\r
+; 7.4.1   Disable clock modulator\r
+; 7.4.2   Check if running on sub clock, change to main clock\r
+; 7.4.3   Disable sub clock\r
+; 7.4.4   Check if running on PLL, gear down PLL\r
+; 7.4.5   Disable PLL\r
+; 7.4.6   Set to main clock\r
+; 7.5     Set memory controller\r
+; 7.6     Clock startup\r
+; 7.6.1   Set Voltage Regulator Settings\r
+; 7.6.2   Power on clock modulator - clock modulator part I\r
+; 7.6.3   Set CLKR register w/o clock mode\r
+; 7.6.4   Start PLLs \r
+; 7.6.5   Wait for PLL oscillation stabilisation\r
+; 7.6.6   Set clocks \r
+; 7.6.6.1 Set CPU and peripheral clock\r
+; 7.6.6.2 Set external bus interface clock\r
+; 7.6.6.3 Set CAN clock prescaler\r
+; 7.6.6.4 Switch main clock mode\r
+; 7.6.6.5 Switch sub clock mode\r
+; 7.6.6.6 Switch to PLL mode\r
+; 7.6.7   Enable frequncy modulation - clock modulator part II\r
+; 7.7     Set BusInterface\r
+; 7.7.1   Disable all CS\r
+; 7.7.2   Clear TCR register\r
+; 7.7.3   Set CS0 \r
+; 7.7.4   Set CS1 \r
+; 7.7.5   Set CS2  \r
+; 7.7.6   Set CS3\r
+; 7.7.7   Set CS4\r
+; 7.7.8   Set CS5 \r
+; 7.7.9   Set CS6\r
+; 7.7.10  Set CS7  \r
+; 7.7.11  Set special SDRAM config register  \r
+; 7.7.12  set Port function register\r
+; 7.7.13  Set TCR register\r
+; 7.7.14  Enable cache for selected CS\r
+; 7.7.15  Set SDRAM referesh control register\r
+; 7.7.16  Enable used CS\r
+; 7.7.17  I-cache on/off\r
+; 7.7.18  Set port function register to general as I/O-port\r
+; 7.8     Copy code from flash to I-RAM\r
+; 7.9     Fill stacks\r
+; 7.10    Clear data \r
+; 7.11    Copy Init section from ROM to RAM\r
+; 7.12    C library initialization\r
+; 7.13    Call C++ constructors\r
+; 7.14    Call main routine\r
+; 7.15    Return from main function\r
+;\r
+;=========================================================================================\r
+; 2  Disclaimer\r
+;=========================================================================================\r
+;                    Fujitsu Microelectronics Europe GmbH                       \r
+;                http://emea.fujitsu.com/microelectronics \r
+;                                                              \r
+;    The  following  software  is for  demonstration  purposes only. It  is not fully  \r
+;    tested, nor  validated  in order to fullfill its task under  all  circumstances.  \r
+;    Therefore,  this software or  any part of it must only  be used in an evaluation \r
+;    laboratory environment.                        \r
+;    This  software  is  subject to  the  rules of  our  standard DISCLAIMER, that is\r
+;    delivered with our  SW-tools on  the  Fujitsu  Microcontrollers  CD/DVD (V3.4 or \r
+;    higher "\START.HTM") or on our Internet Pages:                                   \r
+;    http://www.fme.gsdc.de/gsdc.htm\r
+;    http://emea.fujitsu.com/microelectronics \r
+;\r
+;=========================================================================================\r
+; 3  History\r
+;=========================================================================================\r
+;\r
+;=========================================================================================\r
+;       MB914xx (FR60 CORE ONLY) Series C Compiler's \r
+;\r
+;       Startup file for memory and basic controller initialisation\r
+;=========================================================================================\r
+;History:\r
+;\r
+; 2005-04-18 V1.0 UMa  Release first version\r
+; 2005-06-17 V1.1 UMa  Added bus interface, modified c++ startup\r
+; 2005-06-28 V1.2 UMa  minor changes\r
+; 2005-07-27 V1.3 UMa  default values changed\r
+; 2005-10-04 V1.4 UMa  changed code 'Call main Routine'\r
+;                      Added secutiy section for MB91F467D  \r
+;                      Added Flash Access Read Timing setting section;\r
+; 2005-10-04 V1.5 UMa  Added Flash Controller Section\r
+; 2005-10-28 V1.6 UMa  Check for CSV reset\r
+; 2005-11.16 V1.7 UMa  Monitor Debugger support added: Copy of intvect Table\r
+;                      Ext. Int 0 as abort function\r
+;                      Changed PLL-Startup, Reset HWWD added\r
+; 2005-11-16 V1.7 UMa  Examples for MUL_G changed\r
+; 2006-02-14 V1.8 UMa  mb91464a added\r
+;                      Settings for Clock Spervisor added\r
+;                      Name of Section SECURITY changed to SECURITY_VECTORS\r
+;                      Example values for gear-up changed\r
+; 2006-03-17 V1.9 UMa  Changed Startup for Monitor Debugger\r
+; 2006-04-24 v2.0 UMa  Added MB91465K and MB91469G\r
+; 2006-05-03 v2.1 UMa  Added MB91461R; removed MB91V460A\r
+;                      Added settings for the external bus-interface\r
+; 2006-07-28 v2.2 UMa  Added I-RAM copy function (ROM -> IRAM)\r
+;                      Added default settings for FLASH Access Read Timing \r
+;                      Settings \r
+;                      Changed default settings for FLASH cache configuration \r
+;                      Register\r
+;                      Changed check for clock startup\r
+; 2006-08-16 v2.3 MVo  Corrected Boot Security Sector Addresses for MB91469G\r
+; 2006-10-06 v2.4 UMa  Added new devices\r
+;                      Corrected typo in I_RAM to flash copy function\r
+;                      Changed default settings for flash cache configuration\r
+;                      Changed comments for SDRAM bus interface configuration\r
+;                      Changed comments and default setting of CAN Prescaler\r
+;                      Added Stack filler\r
+;                      Added Settings for REGSEL Register\r
+; 2007-02-13 v2.5 UMa  Introduction of default configurations\r
+;                      Changed I_RAM to flash copy function                    \r
+;\r
+;\r
+;=========================================================================================\r
+; 4  Settings\r
+;=========================================================================================\r
+;\r
+; CHECK ALL OPTIONS WHETHER THEY FIT TO THE APPLICATION;\r
+;\r
+; Configure this startup file in the "Settings" section. Search for\r
+; comments with leading "; <<<". This points to the items to be set.\r
+;=========================================================================================\r
+;\r
+#set    OFF             0\r
+#set    ON              1\r
+#set    DEFAULT         2\r
+#set    LOW_PRIOR       31\r
+;\r
+;=========================================================================================\r
+; 4.1  Controller Device\r
+;=========================================================================================\r
+#set    MB91464A        2                       ; MB91460 series\r
+;\r
+#set    MB91467B       10                       ; MB91460 series\r
+;\r
+#set    MB91467C       11                       ; MB91460 series\r
+;\r
+#set    MB91467D        4                       ; MB91460 series\r
+;\r
+#set    MB91469G        6                       ; MB91460 series\r
+;\r
+#set    MB91465K        3                       ; MB91460 series\r
+;\r
+#set    MB91463N        8                       ; MB91460 series\r
+;\r
+#set    MB91461R        1                       ; MB91460 series\r
+#set    MB91467R        5                       ; MB91460 series\r
+;\r
+#set    MB91465X        9                       ; MB91460 series\r
+;\r
+#set    others          7                       ; MB91460 series\r
+;\r
+;\r
+;\r
+#set    DEVICE          MB91465K                ; <<< select device\r
+;\r
+;=========================================================================================\r
+; 4.2  Boot / Flash Security \r
+;=========================================================================================\r
+;\r
+#set    BOOT_FLASH_SEC  OFF                     ; <<< BOOT and Flash Security Vector    \r
+;\r
+; The flash devices have two flash and two boot security vectors.  It is important to set\r
+; the four vectors correctly.  Otherwise it might be possible,  that the flash device is \r
+; not accessible any more via the bootrom. Please read carefully the hardware manual.\r
+; \r
+; OFF:  The security feature is switch off. The section SECURITY_VECTORS is reserved and\r
+;       the vectors are set.\r
+; ON:   IMPORTANT! The  security vectors are  not set. But the  section SECURITY_VECTORS \r
+;       is reserved.  \r
+;\r
+; Note: This feature is not supported by every device. Please check the data sheet. This \r
+;       feature is not available on MB91461R.\r
+;\r
+;=========================================================================================\r
+; 4.3  Stack Type and Stack Size\r
+;=========================================================================================\r
+;\r
+#set    USRSTACK        0                       ; user stack:   for main program\r
+#set    SYSSTACK        1                       ; system stack: for main program and \r
+;                                               ;               interrupts\r
+;\r
+;\r
+#set    STACKUSE        SYSSTACK                ; <<< set active stack\r
+;\r
+#set    STACK_RESERVE   ON                      ; <<< reserve stack area in \r
+;                                               ;     this module\r
+#set    STACK_SYS_SIZE  0x400-4                 ; <<< byte size of System stack\r
+#set    STACK_USR_SIZE  0x2                     ; <<< byte size of User stack \r
+;\r
+#set    STACK_FILL      OFF                     ; <<< fills the stack area with pattern\r
+#set    STACK_PATTERN   0x55AA6699              ; <<< the pattern to write to stack\r
+;\r
+; - If the active stack is set to SYSSTACK,  it is used for main program and interrupts. \r
+;   In this case,  the user stack  could be set to a dummy size.  If the active stack is \r
+;   set  to  user  stack,  it is  used  for the  main  program  but the  system stack is \r
+;   automatically activated,  if an interrupt is serviced.  Both stack areas must have a \r
+;   reasonable size.\r
+; - If STACK_RESERVE is ON,  the sections USTACK and SSTACK are reserved in this module. \r
+;   Otherwise, they have to be reserved in other modules.  If STACK_RESERVE is OFF,  the \r
+;   size definitions STACK_SYS_SIZE and STACK_USR_SIZE have no meaning.\r
+; - Even if  they  are reverved  in other modules,  they are  still initialised  in this \r
+;   start-up file.\r
+;\r
+; Note: Several library functions require quite a big stack (due to ANSI). \r
+;       Check the stack information files (*.stk) in the LIB\911 directory.\r
+;\r
+;=========================================================================================\r
+; 4.4  Copy code from Flash to I-RAM\r
+;=========================================================================================\r
+;\r
+#set    I_RAM           ON                      ; <<< select  if  code  in  section IRAM\r
+;                                                     should be copied\r
+;\r
+; If this option is activated code located in the  section IRAM is copied during startup \r
+; from ROM to the instruction-RAM. The code is linked for the instruction-RAM.\r
+;\r
+;=========================================================================================\r
+; 4.5  Low-Level Library Interface\r
+;=========================================================================================\r
+;\r
+#set    CLIBINIT        OFF                     ; <<< select ext. libray usage\r
+;\r
+; This option has only to be set,  if  stream-IO/standard-IO function  of the C-libraray \r
+; have to be used (printf(), fopen()...).  This also requires  low-level functions to be \r
+; defined by the application software.\r
+; For other library functions like (e.g. sprintf()) all this is not necessary.  However, \r
+; several functions consume a large amount of stack.\r
+;\r
+;=========================================================================================\r
+; 4.6  C++ start-up \r
+;=========================================================================================\r
+;\r
+#set    CPLUSPLUS       OFF                     ; <<< activate if c++ files are used\r
+;\r
+; In the  C++ specifications,  when external  or static objects are used,  a constructor \r
+; must be called followed by  the main function.  Because four-byte pointers to the main \r
+; function are stored in the EXT_CTOR_DTOR section, call a constructor sequentially from\r
+; the  lower  address  of  the four  addresses  in that  section.  If using C++ sources, \r
+; activate this function to create the section EXT_CTOR_DTOR. \r
+;\r
+;=========================================================================================\r
+; 4.7  Clock Configuration\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 4.7.1  Clock Selection\r
+;=========================================================================================\r
+;\r
+; No clock settings\r
+#set    NO_CLOCK                                               0x01\r
+;\r
+; Sub-oscillation input: 32 kHz \r
+#set    SUB_32KHZ_CPU__32KHZ_PER_32KHZ_EXT_32KHZ_CAN__2MHZ     0x11\r
+;\r
+; Oscillation input: 4 MHz \r
+#set    MAIN_4MHZ_CPU___2MHZ_PER__1MHZ_EXT__1MHZ_CAN__2MHZ     0x21\r
+#set    PLL_4MHZ__CPU__48MHZ_PER_16MHZ_EXT_24MHZ_CAN_16MHZ     0x22\r
+#set    PLL_4MHZ__CPU__64MHZ_PER_16MHZ_EXT_32MHZ_CAN_16MHZ     0x23\r
+#set    PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_27MHZ_CAN_20MHZ     0x24\r
+#set    PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_40MHZ_CAN_20MHZ     0x25\r
+#set    PLL_4MHZ__CPU__96MHZ_PER_16MHZ_EXT_48MHZ_CAN_16MHZ     0x26  ;not MB91V460, ...\r
+#set    PLL_4MHZ__CPU_100MHZ_PER_20MHZ_EXT_50MHZ_CAN_20MHZ     0x27  ;not MB91V460, ...\r
+;\r
+; MB91461R only: Oscillation input: 10 MHz\r
+#set    PLL_10MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ     0x41\r
+;\r
+; MB91461R only: Oscillation input: 20 MHz\r
+#set    PLL_20MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ     0x51\r
+;\r
+; User settings\r
+#set    CLOCK_USER                                             0x61\r
+;\r
+;\r
+;\r
+#set    CLOCKSPEED      PLL_4MHZ__CPU__64MHZ_PER_16MHZ_EXT_32MHZ_CAN_16MHZ\r
+;                                               ; <<< Select clock configuration \r
+;\r
+; There are different default configurations available, where all necessary settings for \r
+; clocks and the related  registers are made.  Beside this configurations,  there is the\r
+; possibility   to  define  a  user   configuration   in  the  chapter   "Definition  of \r
+; Configurations"\r
+; \r
+; - NO_CLOCK means: \r
+;   The clock registers are not set by the start-up file.\r
+;\r
+; - PLL_4MHZ__CPU__64MHZ_PER_16MHZ_EXT_32MHZ_CAN_16MHZ means:\r
+;   Main oszillation        =  4 MHz, PLL is activated\r
+;   CPU clock (CLKB)        = 64 MHZ\r
+;   Peripheral clock (CLKP) = 16 MHZ\r
+;   Ext. bus clock (CLKT)   = 32 MHZ\r
+;   CAN clock (CLKCAN)      = 16 MHz, using PLLx \r
+;\r
+; - CLOCK_USER: \r
+;   The user configuration definded in the chapter "Definition of Configurations" is set.\r
+;\r
+; Note: Not all  frequencies  are supported  by every device.  Please see  the  hardware \r
+;       manual.\r
+;\r
+;=========================================================================================\r
+; 4.7.2  Select Clock Modulator  \r
+;=========================================================================================\r
+;\r
+#set    CLOMO           OFF                     ; <<< Enable /disable clock modulator      \r
+;\r
+#set    CMPR            0x026F                  ; <<< Ref. to the data sheet, CMPR\r
+; \r
+; Please  refer  to the data sheet  of the device  if you  enable clock modulation.  The \r
+; register CMPR dependant on the PLL-Clock.\r
+;\r
+; Note: If the CLKCAN source is set either to main oscillator or to PLL  output then the\r
+;       clock  for  the CAN  is not influenced by  the clock  modulation.  If the CLKCAN \r
+;       source is set CPU clock (CLKB) then the clock for the CAN is also modulated  (if \r
+;       the clock modulator is enabled).\r
+;\r
+; Note: If the clock modulator is enabled,  the wait states  of the  internal flash wait \r
+;       states  must  be  adapted  to  maximum frequency.  Please check the  wait states \r
+;       settings.\r
+;\r
+; Note: This feature  is not supported by every device,  e.g. MB91461.  Please check the \r
+;       data sheet.\r
+;\r
+;=========================================================================================\r
+; 4.8  External Bus Interface\r
+;\r
+;      The rest of the configuration is only applicable for devices with an external bus \r
+;      interface.\r
+;\r
+;      If the device does not offer an external bus interface,  the configuration can be \r
+;      stoped at this point.\r
+;\r
+;=========================================================================================\r
+;\r
+#set    EXTBUS          DEFAULT                 ; <<< Ext. Bus on/off\r
+;\r
+;                       ON      - The ext. bus interface is enabled and is configured as\r
+;                                 set below. \r
+;                             \r
+;                       OFF     - The ext. bus interface is  diabled.  The port function \r
+;                                 registers  are set to  general I/O.  The registers  of \r
+;                                 ext. bus interface will not be touched by the start-up \r
+;                                 file.  \r
+;                                 Be aware, that the device  might be conifgured in ext.\r
+;                                 bus mode by default after reset.\r
+;\r
+;                       DEFAULT - Neither the register nor the respective  port function\r
+;                                 registers are touched by the start-up file.\r
+;                                 Be aware, that the device  might be conifgured in ext. \r
+;                                 bus mode by default after reset.\r
+;\r
+;\r
+; Note: This feature is not supported by every device. Please check the data sheet.  The \r
+;       following devices for example do not offer an external bus interface:  MB91464A, \r
+;       MB91467C, MB91465K, MB91463N, MB91465X.\r
+;\r
+;=========================================================================================\r
+; 4.8.1  Select Chipselect (Only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    CS0             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS1             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS2             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS3             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS4             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS5             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS6             OFF                     ; <<< select CS (ON/OFF)\r
+#set    CS7             OFF                     ; <<< select CS (ON/OFF)\r
+#set    SDRAM           OFF                     ; <<< select if a SDRAM is connected \r
+;\r
+;\r
+#set    ENACSX          B'00000000              ; <<< set CS, ENACSX\r
+;                         ||||||||\r
+;                         ||||||||__ CS0 bit, enable/disable CS0 (1/0)\r
+;                         |||||||___ CS1 bit, enable/disable CS1 (1/0)\r
+;                         ||||||____ CS2 bit, enable/disable CS2 (1/0)\r
+;                         |||||_____ CS3 bit, enable/disable CS3 (1/0)\r
+;                         ||||______ CS4 bit, enable/disable CS4 (1/0)\r
+;                         |||_______ CS5 bit, enable/disable CS5 (1/0) \r
+;                         ||________ CS6 bit, enable/disable CS6 (1/0)\r
+;                         |_________ CS7 bit, enable/disable CS7 (1/0)\r
+;\r
+; Note: If the SWB Monitor Debugger is used,  set the CS1 (external RAM only) or CS0 and \r
+;       CS 1 (external RAM and flash) to off.\r
+;\r
+; Note: Not all Chipselects  are supported by  the different devices.  Please check  the \r
+;       data sheet.\r
+;\r
+;=========================================================================================\r
+; 4.8.2  Set memory addressing for Chipselects (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    AREASEL0        0x0000                  ; <<< set start add. for CS0, ASR0  \r
+#set    AREASEL1        0x0000                  ; <<< set start add. for CS1, ASR1           \r
+#set    AREASEL2        0x0000                  ; <<< set start add. for CS2, ASR2 \r
+#set    AREASEL3        0x0000                  ; <<< set start add. for CS3, ASR3 \r
+#set    AREASEL4        0x0000                  ; <<< set start add. for CS4, ASR4 \r
+#set    AREASEL5        0x0000                  ; <<< set start add. for CS5, ASR5 \r
+#set    AREASEL6        0x0000                  ; <<< set start add. for CS6, ASR6 \r
+#set    AREASEL7        0x0000                  ; <<< set start add. for CS7, ASR7 \r
+;\r
+; Configure the starting address of each used Chipselect. Chipselects which are not used\r
+; (not set to ON in "Select Chipselect") need not be set (setting ignored).\r
+;\r
+; NOTE: Just  the upper 16-bit  of the start address must be set,  e.g. when using start \r
+;       address 0x00080000 set 0x0008.\r
+;\r
+;=========================================================================================\r
+; 4.8.3  Configure Chipselect Area (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    CONFIGCS0       B'0000000000000000      ; <<< Config. CS0, ACR0\r
+#set    CONFIGCS1       B'0000000000000000      ; <<< Config. CS1, ACR1 \r
+#set    CONFIGCS2       B'0000000000000000      ; <<< Config. CS2, ACR2 \r
+#set    CONFIGCS3       B'0000000000000000      ; <<< Config. CS3, ACR3 \r
+#set    CONFIGCS4       B'0000000000000000      ; <<< Config. CS4, ACR4  \r
+#set    CONFIGCS5       B'0000000000000000      ; <<< Config. CS5, ACR5  \r
+#set    CONFIGCS6       B'0000000000000000      ; <<< Config. CS6, ACR6  \r
+#set    CONFIGCS7       B'0000000000000000      ; <<< Config. CS7, ACR7  \r
+;                         ||||||||||||||||\r
+;                         ||||||||||||||||__ TYP0 bit, TYP0-4 bits select access type\r
+;                         |||||||||||||||___ TYP1 bit\r
+;                         ||||||||||||||____ TYP2 bit\r
+;                         |||||||||||||_____ TYP3 bit\r
+;                         ||||||||||||______ LEND bit, select little '1' or big endian '0'\r
+;                         |||||||||||_______ WREN bit, en-/disable (1/0) Write access\r
+;                         ||||||||||________ PFEN bit, en-/disable (1/0) pre-fetch\r
+;                         |||||||||_________ SREN bit, en-/disable (1/0) share of BRQ & BGRNTX\r
+;                         ||||||||__________ BST0 bit, BSTx bits select burst size\r
+;                         |||||||___________ BST1 bit\r
+;                         ||||||____________ DBW0 bit, DBWx select data bus width\r
+;                         |||||_____________ DBW1 bit\r
+;                         ||||______________ ASZ0 bit, ASZx bits select address size of CS\r
+;                         |||_______________ ASZ1 bit\r
+;                         ||________________ ASZ2 bit\r
+;                         |_________________ ASZ3 bit\r
+;\r
+; Bit description:\r
+;\r
+; TYP3 TYP2 TYP1 TYP0  : Select access type of each CS\r
+; 0    0    X    X     : Normal access (asynchronous SRAM, I/O, \r
+;                        single/page/busrt-ROM/FLASH) \r
+; 0    1    X    X     : Address/data multiplexed (8bit / 16bit bus width only)\r
+; 0    X    X    0     : WAIT insertion by RDY disabled\r
+; 0    X    X    1     : WAIT insertion by RDY enabled\r
+; 0    X    0    X     : The WR0X pin to the WR3X pin are used as write strobes \r
+;                        (WRX is fixed at H-Level)\r
+; 0    X    1    X     : The WRX pin is used as write strobe \r
+; 1    0    0    0     : Memory type A: SDRAM/FCRAM (Auto pre-charge used)  \r
+; 1    0    0    1     : Memory type B: FCRAM (Auto pre-charge used)  \r
+; 1    0    1    0     : setting not allowed\r
+; 1    0    1    1     : setting not allowed\r
+; 1    1    0    0     : setting not allowed\r
+; 1    1    0    1     : setting not allowed\r
+; 1    1    1    0     : setting not allowed\r
+; 1    1    1    1     : mask area setting\r
+;\r
+;               LEND   : select BYTE ordering \r
+;                0     : Big endian\r
+;                1     : Little endian\r
+;\r
+;               WREN   : enable or disable write access \r
+;                0     : disabled \r
+;                1     : enabled,    \r
+;\r
+;               PFEN   : Enable or disable the pre-fetch\r
+;                0     : disabled \r
+;                1     : enabled,    \r
+;\r
+;               SREN   : Enable or disable the sharing of BRQ and BGRNTX \r
+;                0     : disabled \r
+;                1     : enabled (CSx pin High-Z)\r
+;\r
+;          BST1 BST0   : set burst size of chip select area\r
+;            0   0     : 1 burst (single access)\r
+;            0   1     : 2 bursts (Address boundary 1 bit) \r
+;            1   0     : 4 bursts (Address boundary 2 bit)\r
+;            1   1     : 8 bursts (Address boundary 3 bit)\r
+;\r
+;          DBW1 DBW0   : Set data bus width\r
+;            0   0     : 8-bit (BYTE access) \r
+;            0   1     : 16-bit (HALF-WORD access) \r
+;            1   0     : 32-bit (WORD access) \r
+;            1   1     : Reserved  \r
+;\r
+; ASZ3 ASZ2 ASZ1 ASZ0  :  Select memory size of each chipselect \r
+; 0    0    0    0     : 64 Kbyte  (0x01.0000 bytes; use ASR A[31:16] bits) \r
+; 0    0    0    1     : 128 Kbyte (0x02.0000 bytes; use ASR A[31:17] bits)\r
+; 0    0    1    0     : 256 Kbyte (0x04.0000 bytes; use ASR A[31:18] bits)\r
+; 0    0    1    1     : 512 Kbyte (0x08.0000 bytes; use ASR A[31:19] bits)\r
+; 0    1    0    0     : 1 Mbyte   (0x10.0000 bytes; use ASR A[31:20] bits)\r
+; 0    1    0    1     : 2 Mbyte   (0x20.0000 bytes; use ASR A[31:21] bits)\r
+; 0    1    1    0     : 4 Mbyte   (0x40.0000 bytes; use ASR A[31:22] bits)\r
+; 0    1    1    1     : 8 Mbyte   (0x80.0000 bytes; use ASR A[31:23] bits)\r
+; 1    0    0    0     : 16 Mbyte  (0x100.0000 bytes; use ASR A[31:24] bits)\r
+; 1    0    0    1     : 32 Mbyte  (0x200.0000 bytes; use ASR A[31:25] bits)\r
+; 1    0    1    0     : 64 Mbyte  (0x400.0000 bytes; use ASR A[31:26] bits)\r
+; 1    0    1    1     : 128 Mbyte (0x800.0000 bytes; use ASR A[31:27] bits)\r
+; 1    1    0    0     : 256 Mbyte (0x1000.0000 bytes; use ASR A[31:28] bits)\r
+; 1    1    0    1     : 512 Mbyte (0x2000.0000 bytes; use ASR A[31:29] bits)\r
+; 1    1    1    0     : 1024 Mbyte(0x4000.0000 bytes; use ASR A[31:30] bits)\r
+; 1    1    1    1     : 2048 Mbyte(0x8000.0000 bytes; use ASR A[31] bit)\r
+;\r
+;=========================================================================================\r
+; 4.8.4  Set Wait cycles for Chipselects for ordinary businterface (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+; Ordinary bus interface (w/o SDRAM and FRAM) (ACRx_Type = 0xxx)\r
+;\r
+#set    WAITREG0        B'0000000000000000      ; <<< CS0 Waitstates, AWR0  \r
+#set    WAITREG1        B'0000000000000000      ; <<< CS1 Waitstates, AWR1  \r
+#set    WAITREG2        B'0000000000000000      ; <<< CS2 Waitstates, AWR2 \r
+#set    WAITREG3        B'0000000000000000      ; <<< CS3 Waitstates, AWR3 \r
+#set    WAITREG4        B'0000000000000000      ; <<< CS4 Waitstates, AWR4 \r
+#set    WAITREG5        B'0000000000000000      ; <<< CS5 Waitstates, AWR5 \r
+;                         ||||||||||||||||\r
+;                         ||||||||||||||||__ W00 bit, RDY/WRY-> CSX hold cycle\r
+;                         |||||||||||||||___ W01 bit, CSX->RDX/WRX setup extension cycle\r
+;                         ||||||||||||||____ W02 bit, Address -> CSX Delay selection\r
+;                         |||||||||||||_____ W03 bit, WR0X to WR3X/WRX outout timing \r
+;                         ||||||||||||______ W04 bit, W04/W05 Write recovery cycle\r
+;                         |||||||||||_______ W05 bit  \r
+;                         ||||||||||________ W06 bit, W06/07 Read -> Write idle cycle \r
+;                         |||||||||_________ W07 bit          selection\r
+;                         ||||||||__________ W08 bit, W08-W11 Intra-page access cycle \r
+;                         |||||||___________ W09 bit          select (0-15 cycles)\r
+;                         ||||||____________ W10 bit \r
+;                         |||||_____________ W11 bit\r
+;                         ||||______________ W12 bit, W12-W15 First access wait cycle  \r
+;                         |||_______________ W13 bit          select (0-15 cycles)\r
+;                         ||________________ W14 bit\r
+;                         |_________________ W15 bit\r
+;\r
+;\r
+; SDRAM and FRAM bus interface (ACRx_Type = 100x) \r
+;\r
+#set    WAITREG6        B'0000000000000000      ; <<< CS6 Waitstates, AWR6 \r
+#set    WAITREG7        B'0000000000000000      ; <<< CS7 Waitstates, AWR7\r
+;                         ||||||||||||||||\r
+;                         ||||||||||||||||__ W00 bit, W0-W1 RAS precharge cycles\r
+;                         |||||||||||||||___ W01 bit\r
+;                         ||||||||||||||____ W02 bit, W2-W3 RAS active Time\r
+;                         |||||||||||||_____ W03 bit\r
+;                         ||||||||||||______ W04 bit, W4-W5 Write recovery cycle\r
+;                         |||||||||||_______ W05 bit \r
+;                         ||||||||||________ W06 bit, W6-W7 Read->Write idle cycle\r
+;                         |||||||||_________ W07 bit\r
+;                         ||||||||__________ W08 bit, W8-W10 CAS latency \r
+;                         |||||||___________ W09 bit\r
+;                         ||||||____________ W10 bit \r
+;                         |||||_____________ W11 bit, reserved\r
+;                         ||||______________ W12 bit, W12-W16 RAS-CAS delay \r
+;                         |||_______________ W13 bit\r
+;                         ||________________ W14 bit  \r
+;                         |_________________ W15 bit, reserved\r
+;\r
+;\r
+; The bit meaning depends on the configured bus interface type. The bus interface can be \r
+; configured for different memory types. Depending on the memory type, the wait register \r
+; bits have a differnt meaning.  CS0-5 should  be configurable as ordinary bus interface \r
+; (w/o SDRAM and FRAM)  and CS6-7 should be configurable as  SDRAM and FRAM.  It is also \r
+; possible and for some devices neccessary to configure  other two chip selects as SDRAM \r
+; or FRAM interface. In such a case be aware of the bit meanings.\r
+;\r
+;\r
+; Ordinary bus interface (w/o SDRAM and FRAM) (ACRx_Type = 0xxx)\r
+; --------------------------------------------------------------\r
+;\r
+; Bit description:\r
+;\r
+;                W00   : RDY/WRX -> CSX hold extension cycle\r
+;                0     : 0 cycle\r
+;                1     : 1 cycle\r
+;\r
+;                W01   : CSX -> RDX/WRX setup extention cycle\r
+;                0     : 0 cycle\r
+;                1     : 1 cycle\r
+;\r
+;                W02   : Address -> CSX Delay selection\r
+;                0     : no delay selected\r
+;                1     : delay selected\r
+;\r
+;                W03   : WR0X to WR3X/WRX outout timing selection\r
+;                0     : MCLK synchronous write output enable (ASX=L)\r
+;                1     : Asynchronous write strobe output (norma operation)\r
+;\r
+;           W05  W04   : select Write recovery cycle\r
+;           0    0     : 0 cycle\r
+;           0    1     : 1 cycle\r
+;           1    0     : 2 cycles\r
+;           1    1     : 3 cycles\r
+;\r
+;           W07  W06   : Read -> Write idle cycle selection\r
+;           0    0     : 0 cycle\r
+;           0    1     : 1 cycle\r
+;           1    0     : 2 cycles\r
+;           1    1     : 3 cycles\r
+; \r
+; W11  W10  W09  W08   :  Intra-page access cycle select (0-15 cycles)\r
+; 0    0    0    0     :  0 Wait state\r
+; 0    0    0    1     :  1 Auto-wait cycle\r
+; 0    0    1    0     :  2 Auto-wait cycle\r
+; ....\r
+; 1    1    1    1     :  15 Auto wait cycles\r
+;\r
+; W15  W14  W13  W12   :  First access wait cycle can be set (0-15 cycles)\r
+; 0    0    0    0     :  0 Wait state\r
+; 0    0    0    1     :  1 Auto-wait cycle\r
+; 0    0    1    0     :  2 Auto-wait cycle\r
+; ....\r
+; 1    1    1    1     :  15 Auto wait cycles\r
+;  \r
+;\r
+;\r
+; SDRAM and FRAM bus interface (ACRx_Type = 100x)\r
+; -----------------------------------------------\r
+;\r
+; Bit description:\r
+;\r
+;           W01  W00   : RAS precharge cycles.\r
+;           0    0     : 1 cycle\r
+;           0    1     : 2 cycles\r
+;           1    0     : 5 cycles\r
+;           1    1     : 6 cycles\r
+;\r
+;           W03  W02   : RAS active Time\r
+;           0    0     : 1 cycle\r
+;           0    1     : 2 cycles\r
+;           1    0     : 5 cycles\r
+;           1    1     : 6 cycles\r
+;\r
+;           W05  W04   : set Write recovery cycle (1 - 4 cycles)\r
+;           0    0     : Prohibited\r
+;           0    1     : 2 cycles\r
+;           1    0     : 3 cycles\r
+;           1    1     : 4 cycles\r
+;\r
+;           W07  W06   : set Read -> Write idle Cycle (1 - 4 cycles)\r
+;           0    0     : 1 cycle\r
+;           0    1     : 2 cycles\r
+;           1    0     : 3 cycles\r
+;           1    1     : 4 cycles\r
+;\r
+;      W10  W09  W08   : set CAS latency (1 - 8 cycles)\r
+;      0    0    0     : 1 cycle\r
+;      0    0    1     : 2 cycle\r
+;      ...\r
+;      1    1    1     : 8 cycle\r
+;\r
+;                W11   : RESERVED, ALWAYS WRITE 0 !\r
+;\r
+;      W14  W13  W12   : set RAS-CAS delay (1 - 8 cycles)\r
+;      0    0    0     : 1 cycle\r
+;      0    0    1     : 2 cycle\r
+;      ...\r
+;      1    1    1     : 8 cycle\r
+;\r
+;                W15   : RESERVED, ALWAYS WRITE 0 !\r
+;\r
+\r
+; The bit meaning depends on the configured bus interface type\r
+;\r
+;=========================================================================================\r
+; 4.8.5  Configure Chipselects for SDRAM memory only (only EXTBUS == ON and SDRAM)\r
+;=========================================================================================\r
+;\r
+#set    MEMCON           B'00000111             ; <<< set special SDRAM register, MCRA\r
+;                          ||||||||\r
+;                          ||||||||__ ABS0 bit, set max. active banks (ABS1,0)\r
+;                          |||||||___ ABS1 bit\r
+;                          ||||||____ BANK bit, set number of banks connected to CS\r
+;                          |||||_____ WBST bit, Write burst enable/disable\r
+;                          ||||______ PSZ0 bit, Set page size (PSZ2-0)\r
+;                          |||_______ PSZ1 bit \r
+;                          ||________ PSZ2 bit\r
+;                          |_________ reserved, always write 0 \r
+;\r
+; When connecting  SDRAM/FCRAM TYP3-0=1000  in ACRx register the following register must  \r
+; be setup.\r
+;\r
+; Bit description:\r
+;\r
+;           ABS1  ABS0 : Set maximum number of bank, active at same time\r
+;            0     0   : 1 bank\r
+;            0     1   : 2 banks\r
+;            1     0   : 3 banks\r
+;            1     1   : 4 banks\r
+;\r
+;                 BANK : Set number of connected SDRAM banks\r
+;                  0   : 2 banks\r
+;                  1   : 4 banks\r
+;\r
+;                 WBST : Write burst enable\r
+;                  0   : Single Write\r
+;                  1   : Busrt Write\r
+;\r
+;      PSZ2  PSZ1  PS0 : Select page size of connected memory\r
+;      0     0     0   : 8-bit column address = A0 to A7 \r
+;      0     0     1   : 9-bit column address = A0 to A8 \r
+;      0     1     0   : 10-bit column address = A0 to A9 \r
+;      0     1     1   : 11-bit column address = A0 to A9, A11 \r
+;      1     X     X   : setting disabled\r
+;\r
+;\r
+;=========================================================================================\r
+; 4.8.6  Referesh Control Register RCR (only EXTBUS == ON and SDRAM)\r
+;=========================================================================================\r
+;\r
+#set    REFRESH         B'1110001001000111      ; <<< set Refresh Control Register, RCR\r
+;                         ||||||||||||||||\r
+;                         ||||||||||||||||__ TRC0 bit, set refresh cycle (TRC2-0)\r
+;                         |||||||||||||||___ TRC1 bit\r
+;                         ||||||||||||||____ TRC2 bit\r
+;                         |||||||||||||_____ PON bit, set power-on control\r
+;                         ||||||||||||______ RFC0 bit, set refresh count (RFC2-0)\r
+;                         |||||||||||_______ RFC1 bit \r
+;                         ||||||||||________ RFC2 bit \r
+;                         |||||||||_________ BRST bit, set burst refresh control \r
+;                         ||||||||__________ RFINT0 bit, set auto refresh interval\r
+;                         |||||||___________ RFINT1 bit, (RFINT5-0)\r
+;                         ||||||____________ RFINT2 bit\r
+;                         |||||_____________ RFINT3 bit\r
+;                         ||||______________ RFINT4 bit\r
+;                         |||_______________ RFINT5 bit\r
+;                         ||________________ RRLD bit, counter refresh strat control\r
+;                         |_________________ SELF bit, self refresh control\r
+;\r
+;\r
+; This register sets various SDRAM refresh controls.  When SDRAM control is not set  for \r
+; any area, the setting of this register is meaningless,  but do not change the register \r
+; value  at  initial   state.   When  a  read is  performed   using  a read-modify-write \r
+; instruction, 0 always returns from the SELF, RRLD, and PON bits.\r
+;\r
+; Bit description:\r
+;\r
+;\r
+;    TRC2  TRC1  TRC0  : Refresh Cycle \r
+;      0     0     0   : 4\r
+;      0     0     1   : 5\r
+;      0     1     0   : 6\r
+;      0     1     1   : 7\r
+;      1     0     0   : 8\r
+;      1     0     1   : 9\r
+;      1     1     0   : 10\r
+;      1     1     1   : 11\r
+;\r
+;                 PON  : Power-on control\r
+;                  0   : disabled\r
+;                  1   : power-on sequence started\r
+;\r
+;     RFC2  RFC1  RFC0 : Refresh Count\r
+;      0     0     0   : 256\r
+;      0     0     1   : 512\r
+;      0     1     0   : 1024\r
+;      0     1     1   : 2048\r
+;      1     0     0   : 4096\r
+;      1     0     1   : 8192\r
+;      1     1     0   : Setting disabled\r
+;      1     1     1   : Refresh disabled\r
+;\r
+;                 BRST : Burst refresh control\r
+;                  0   : Decentralised refresh \r
+;                  1   : burst refresh\r
+; \r
+;           RFINT[5-0] : auto refresh interval\r
+;\r
+;                 RRLD : Refresh counter Activation Control\r
+;                  0   : Disabled,  \r
+;                  1   : Autorefresh performed once, then value of RFINT reloaded\r
+;\r
+;                 SELF : Self refresh control\r
+;                  0   : auto refresh or power down\r
+;                  1   : Transitions to self-refresch mode\r
+;\r
+; NOTE: PON bit is set after the above setting. Do not set PON bit to 1 in the \r
+;       above setting. Otherwise the settings are not correct set.\r
+;\r
+;=========================================================================================\r
+; 4.8.7  Terminal and Timing Control Register (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    TIMECONTR        B'00000000             ; <<< set TCR register, TCR\r
+;                          ||||||||\r
+;                          ||||||||__ RDW0 bit, set wait cycle reduction (RDW0,1)\r
+;                          |||||||___ RDW1 bit\r
+;                          ||||||____ OHT0 bit, set output hold delay (OHT1,0)\r
+;                          |||||_____ OHT1 bit\r
+;                          ||||______ reserved, always write 0 \r
+;                          |||_______ PCLR bit, prefetch buffer clear \r
+;                          ||________ PSUS bit, prefetch suspend\r
+;                          |_________ BREN bit, BRQ input enable \r
+;\r
+; This register controls the general functions  of the external bus interface controller \r
+; such as the common-pin function setting and timing control.\r
+;\r
+; Bit description:\r
+;\r
+;          RDW1  RDW0  : Wait cycle reduction \r
+;            0     0   : Normal Wait (AWR0 - 7 setting)\r
+;            0     1   : 1/2 of AWR0 - 7 setting value\r
+;            1     0   : 1/4 of AWR0 - 7 setting value\r
+;            1     1   : 1/8 of AWR0 - 7 setting value\r
+;\r
+;          OHT1  OHT0  : Output hold selection bit\r
+;            0     0   : Output performed at falling edge of SYSCLK/MCLK\r
+;            0     1   : Output performed about 3ns after falling edge of SYSCLK/MCLK\r
+;            1     0   : Output performed about 4ns after falling edge of SYSCLK/MCLK\r
+;            1     1   : Output performed about 5ns after falling edge of SYSCLK/MCLK\r
+;\r
+;                PCLR  : Prefetch buffer all clear\r
+;                  0   : normal state\r
+;                  1   : Prefetch buffer cleared\r
+;\r
+;                PSUS  : prefetch suspension bit \r
+;                  0   : Prefetch enabled\r
+;                  1   : Prefetch disabled\r
+;\r
+;                BREN  : BRQ input enable\r
+;                  0   : disabled, \r
+;                  1   : enabled, Bus sharing of BRQ/BGRNTX performed\r
+;\r
+; Note: This function is used to prevent an  excessive access cycle wait while operating \r
+;       at  a low-speed  clock  (such as  while  base  clock  operating at low  speed or \r
+;       high frequency division rate for external bus clock).\r
+;\r
+;=========================================================================================\r
+; 4.8.8  Enable/Disable I-CACHE (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    C1024           1                       ; CACHE Size: 1024 BYTE\r
+#set    C2048           2                       ; CACHE Size: 2048 BYTE\r
+#set    C4096           3                       ; CACHE Size: 4096 BYTE\r
+;\r
+;\r
+#set    CACHE           OFF                     ; <<< Select use of cache \r
+#set    CACHE_SIZE      C4096                   ; <<< Select size of cache, ISIZE\r
+;\r
+; It is possible  to use cache  functionality on  the I-Bus on  several devices.  Please \r
+; check the  corresponidng data sheet  if this feature is  available on a certain device \r
+; and for the size of the cache. This is the general cache configuration. It is possible \r
+; to configure for each CS area, if the cache should be used.\r
+;\r
+; Note: This feature is not supported by every device. Please check the data  sheet. The \r
+;       feature is for example supported by MB91461R, MB91469G.\r
+;\r
+;=========================================================================================\r
+; 4.8.9  Enable CACHE for chipselect (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    CHEENA          B'11111111              ; <<< en-/disable cache, CHER\r
+;                         ||||||||\r
+;                         ||||||||__ CHE0 bit, CS0 area\r
+;                         |||||||___ CHE1 bit, CS1 area\r
+;                         ||||||____ CHE2 bit, CS2 area\r
+;                         |||||_____ CHE3 bit, CS3 area\r
+;                         ||||______ CHE4 bit, CS4 area \r
+;                         |||_______ CHE5 bit, CS5 area \r
+;                         ||________ CHE6 bit, CS6 area\r
+;                         |_________ CHE7 bit, CS7 area \r
+;\r
+; Additional to  the general cache enable setting,  select which CS  area should be used \r
+; with cache functionality.\r
+;\r
+; Note: Not all  Chipselects are  supported by the  different devices.  Please check the \r
+;       data sheet.\r
+;\r
+; Note: This feature is not supported by every device.  Please check the data sheet. The \r
+;       Feature is supported by MB91461R, MB91469G.\r
+;\r
+;=========================================================================================\r
+; 4.8.10  Select External bus mode (Data lines) (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    PFUNC0          B'11111111              ;<<< Data lines or GIO, PFR00\r
+;                         ||||||||\r
+;                         ||||||||__ D24 / P00_0\r
+;                         |||||||___ D25 / P00_1\r
+;                         ||||||____ D26 / P00_2\r
+;                         |||||_____ D27 / P00_3\r
+;                         ||||______ D28 / P00_4\r
+;                         |||_______ D29 / P00_5\r
+;                         ||________ D30 / P00_6\r
+;                         |_________ D31 / P00_7\r
+;\r
+#set    PFUNC1          B'11111111              ;<<< Data lines or GIO, PFR01\r
+;                         ||||||||\r
+;                         ||||||||__ D16 / P01_0\r
+;                         |||||||___ D17 / P01_1\r
+;                         ||||||____ D18 / P01_2\r
+;                         |||||_____ D19 / P01_3\r
+;                         ||||______ D20 / P01_4\r
+;                         |||_______ D21 / P01_5\r
+;                         ||________ D22 / P01_6\r
+;                         |_________ D23 / P01_7\r
+;\r
+#set    PFUNC2          B'11111111              ;<<< Data lines or GIO, PFR02\r
+;                         ||||||||\r
+;                         ||||||||__ D8 / P02_0\r
+;                         |||||||___ D9 / P02_1\r
+;                         ||||||____ D10 / P02_2\r
+;                         |||||_____ D11 / P02_3\r
+;                         ||||______ D12 / P02_4\r
+;                         |||_______ D13 / P02_5\r
+;                         ||________ D14 / P02_6\r
+;                         |_________ D15 / P02_7\r
+;\r
+#set    PFUNC3          B'11111111              ;<<< Data lines or GIO, PFR03\r
+;                         ||||||||\r
+;                         ||||||||__ D0 / P03_0\r
+;                         |||||||___ D1 / P03_1\r
+;                         ||||||____ D2 / P03_2\r
+;                         |||||_____ D3 / P03_3\r
+;                         ||||______ D4 / P03_4\r
+;                         |||_______ D5 / P03_5\r
+;                         ||________ D6 / P03_6\r
+;                         |_________ D7 / P03_7\r
+;\r
+; Select if the ports are set to\r
+;                  1   : External bus mode, I/O for data lines or\r
+;                  0   : General I/O port (GIO)\r
+;\r
+; Note: Not all data-lines are supported by the different devices. Please check the data\r
+;       sheet.\r
+;\r
+;=========================================================================================\r
+; 4.8.11  Select External bus mode (Address lines) (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    PFUNC4          B'11111111              ;<<< Address lines or GIO, PFR04\r
+;                         ||||||||\r
+;                         ||||||||__ A24 / P04_0\r
+;                         |||||||___ A25 / P04_1\r
+;                         ||||||____ A26 / P04_2\r
+;                         |||||_____ A27 / P04_3\r
+;                         ||||______ A28 / P04_4\r
+;                         |||_______ A29 / P04_5\r
+;                         ||________ A30 / P04_6\r
+;                         |_________ A31 / P04_7\r
+;\r
+#set    PFUNC5          B'11111111              ;<<< Address lines or GIO, PFR05\r
+;                         ||||||||\r
+;                         ||||||||__ A16 / P05_0\r
+;                         |||||||___ A17 / P05_1\r
+;                         ||||||____ A18 / P05_2\r
+;                         |||||_____ A19 / P05_3\r
+;                         ||||______ A20 / P05_4\r
+;                         |||_______ A21 / P05_5\r
+;                         ||________ A22 / P05_6\r
+;                         |_________ A23 / P05_7\r
+;\r
+#set    PFUNC6          B'11111111              ;<<< Address lines or GIO, PFR06\r
+;                         ||||||||\r
+;                         ||||||||__ A8 / P06_0\r
+;                         |||||||___ A9 / P06_1\r
+;                         ||||||____ A10 / P06_2\r
+;                         |||||_____ A11 / P06_3\r
+;                         ||||______ A12 / P06_4\r
+;                         |||_______ A13 / P06_5\r
+;                         ||________ A14 / P06_6\r
+;                         |_________ A15 / P06_7\r
+;\r
+#set    PFUNC7          B'11111111              ;<<< Address lines or GIO, PFR07\r
+;                         ||||||||\r
+;                         ||||||||__ A0 / P07_0\r
+;                         |||||||___ A1 / P07_1\r
+;                         ||||||____ A2 / P07_2\r
+;                         |||||_____ A3 / P07_3\r
+;                         ||||______ A4 / P07_4\r
+;                         |||_______ A5 / P07_5\r
+;                         ||________ A6 / P07_6\r
+;                         |_________ A7 / P07_7\r
+;\r
+; Select if the ports are set to\r
+;                  1   : External bus mode, I/O for address lines or\r
+;                  0   : General I/O port (GIO)\r
+;\r
+; Note: Not all address-lines are supported  by the different devices.  Please check the\r
+;       data sheet.\r
+;\r
+;=========================================================================================\r
+; 4.8.12  Select External bus mode (Control signals) (only EXTBUS == ON)\r
+;=========================================================================================\r
+;\r
+#set    PFUNC8          B'11111111              ;<<< Control signals or GIO, PFR08\r
+;                         ||||||||\r
+;                         ||||||||__ WRX0 / P08_0\r
+;                         |||||||___ WRX1 / P08_1\r
+;                         ||||||____ WRX2 / P08_2\r
+;                         |||||_____ WRX3 / P08_3\r
+;                         ||||______ RDX / P08_4\r
+;                         |||_______ BGRNTX / P08_5\r
+;                         ||________ BRQ / P08_6\r
+;                         |_________ RDY / P08_7\r
+;\r
+#set    PFUNC9          B'11111111              ;<<< Control signals or GIO, PFR09\r
+;                         ||||||||\r
+;                         ||||||||__ CSX0 / P09_0\r
+;                         |||||||___ CSX1 / P09_1\r
+;                         ||||||____ CSX2 / P09_2\r
+;                         |||||_____ CSX3 / P09_3\r
+;                         ||||______ CSX4 / P09_4\r
+;                         |||_______ CSX5 / P09_5\r
+;                         ||________ CSX6 / P09_6\r
+;                         |_________ CSX7 / P09_7\r
+;\r
+#set    PFUNC10         B'01011111              ;<<< Control signals or GIO, PFR10\r
+;                         ||||||||\r
+;                         ||||||||__ SYSCLK or !SYSCLK / P10_0 \r
+;                         |||||||___ ASX / P10_1 \r
+;                         ||||||____ BAAX / P10_2 \r
+;                         |||||_____ WEX / P10_3 \r
+;                         ||||______ MCLKO or !MCLKO / P10_4 \r
+;                         |||_______ MCLKI or !MCLKI/ P10_5 \r
+;                         ||________ MCLKE / P10_6\r
+;                         |_________ - \r
+;\r
+#set    EPFUNC10        B'00000000              ;<<< Control signals or GIO, EPFR10\r
+;                         ||||||||\r
+;                         ||||||||__ 0:SYSCLK / 1:!SYSCLK\r
+;                         |||||||___ - \r
+;                         ||||||____ -\r
+;                         |||||_____ -\r
+;                         ||||______ 0:MCLKO / 1:!MCLKO\r
+;                         |||_______ 0:MCLKI / 1:!MCLKI\r
+;                         ||________ 0:MCLKI / 1:!MCLKI\r
+;                         |_________ -\r
+;\r
+;\r
+; Select if the ports are set to\r
+;                  1   : External bus mode, I/O for control lines or\r
+;                  0   : General I/O port (GIO)\r
+;\r
+; Note: Not all control-lines are supported  by the different devices.  Please check the\r
+;       data sheet.\r
+;\r
+;=========================================================================================\r
+; 5  Definition of Configurations\r
+;=========================================================================================\r
+;\r
+#set    NOCLOCK         0                       ; do not touch CKSCR register\r
+#set    MAINCLOCK       1                       ; select main clock \r
+;                                               ; MB91461R : 1/4 of oscillation input\r
+;                                               ; Others:    1/2 of oscillation input\r
+#set    MAINPLLCLOCK    2                       ; select main clock with PLL\r
+#set    SUBCLOCK        3                       ; select subclock (if available)\r
+;\r
+#set    PSCLOCK_CLKB    0x00                    ; select core clock (initial)\r
+#set    PSCLOCK_PLL     0x10                    ; select PLL output (x)\r
+#set    PSCLOCK_MAIN    0x30                    ; select Main Oscillation\r
+;\r
+;=========================================================================================\r
+; 5.1  CLOCKSPEED == CLOCK_USER <<<\r
+;=========================================================================================\r
+; Must be configured only in the case of CLOCKSPEED is set to CLOCK_USER. Please see the \r
+; corresponding application note.\r
+;\r
+#if (CLOCKSPEED == CLOCK_USER )\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; <<< Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; <<< Subclock: ON/OFF\r
+  #set  PLLSPEED        0x010F                  ; <<< 0x48Ch, 0x48Dh: PLLDIVM/N ; 64 MHz\r
+  #set  DIV_G           0x0F                    ; <<< 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x0F                    ; <<< 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; <<< 0x486h: DIV0R_B;   => /1  ; 64 MHz       \r
+  #set  PERCLOCK        0x03                    ; <<< 0x486h: DIV0R_P;   => /4  ; 16 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; <<< 0x487h: DIV1R_T;   => /2  ; 32 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; <<< 0x4C0h: CANPRE;    => PLLx;128 MHz\r
+  #set  PSDVC           0x07                    ; <<< 0x4C0h: CANPRE_DVC;=> /8  ; 16 MHz\r
+  #set  CANCLOCK        0x00                    ; <<< 0x4C1h: CANCKD;    \r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; <<< 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; <<< 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; <<< 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC413                  ; <<< 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x10                    ; <<< 0x7006h: FMWT2;\r
+#endif  \r
+;\r
+;=========================================================================================\r
+; 5.2  CLOCKSPEED == NO_CLOCK\r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == NO_CLOCK )\r
+    #set CLOCKSOURCE       NOCLOCK \r
+#endif      \r
+;\r
+;=========================================================================================\r
+; 5.2  CLOCKSPEED == SUB_32KHZ_CPU__32KHZ_PER_32KHZ_EXT_32KHZ_CAN__2MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == SUB_32KHZ_CPU__32KHZ_PER_32KHZ_EXT_32KHZ_CAN__2MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91463N) || (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     SUBCLOCK                ; Clocksource\r
+  #set  ENABLE_SUBCLOCK ON                      ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x010F                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;   n. a.\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x0F                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  32 KHz       \r
+  #set  PERCLOCK        0x00                    ; 0x486h: DIV0R_P;    => /1    ;  32 KHz  \r
+  #set  EXTBUSCLOCK     0x00                    ; 0x487h: DIV1R_T;    => /1    ;  32 KHz  \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_MAIN            ; 0x4C0h: CANPRE;     => MAIN  ;   4 MHz\r
+  #set  PSDVC           0x01                    ; 0x4C0h: CANPRE_DVC; => /2    ;   2 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC100                  ; 0x7004h: FMWT; \r
+  #set  FLASHMWT2       0x00                    ; 0x7006h: FMWT2;\r
+#endif \r
+;\r
+;=========================================================================================\r
+; 5.3  CLOCKSPEED == MAIN__4MHZ_CPU___2MHZ_PER__1MHZ_EXT__1MHZ_CAN__2MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == MAIN_4MHZ_CPU___2MHZ_PER__1MHZ_EXT__1MHZ_CAN__2MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINCLOCK               ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x010F                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;   n. a.\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x0F                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;   2 MHz       \r
+  #set  PERCLOCK        0x01                    ; 0x486h: DIV0R_P;    => /2    ;   1 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;   1 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_MAIN            ; 0x4C0h: CANPRE;     => PLLx  ;   4 MHz\r
+  #set  PSDVC           0x01                    ; 0x4C0h: CANPRE_DVC; => /2    ;   2 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC100                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x00                    ; 0x7006h: FMWT2;  \r
+#endif           \r
+;\r
+;=========================================================================================\r
+; 5.4  CLOCKSPEED == PLL_4MHZ__CPU__48MHZ_PER_16MHZ_EXT_24MHZ_CAN_16MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU__48MHZ_PER_16MHZ_EXT_24MHZ_CAN_16MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x010B                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  48 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x0B                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  48 MHz       \r
+  #set  PERCLOCK        0x02                    ; 0x486h: DIV0R_P;    => /3    ;  16 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  24 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ;  96 MHz\r
+  #set  PSDVC           0x05                    ; 0x4C0h: CANPRE_DVC; => /6    ;  16 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #if (DEVICE == MB91469G) \r
+   #set REGULATORSEL    0x36                    ; 0x4CEh: REGSEL;\r
+  #else\r
+   #set REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #endif    \r
+  #set REGULATORCTRL    0x00                    ; 0x4CFh: REGCTR;    \r
+   ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC201                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x00                    ; 0x7006h: FMWT2;   \r
+#endif        \r
+;\r
+;=========================================================================================\r
+; 5.5  CLOCKSPEED == PLL_4MHZ__CPU__64MHZ_PER_16MHZ_EXT_32MHZ_CAN_16MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU__64MHZ_PER_16MHZ_EXT_32MHZ_CAN_16MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x010F                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  64 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x0F                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  64 MHz       \r
+  #set  PERCLOCK        0x03                    ; 0x486h: DIV0R_P;    => /4    ;  16 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  32 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 128 MHz\r
+  #set  PSDVC           0x07                    ; 0x4C0h: CANPRE_DVC; => /8    ;  16 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC413                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x10                    ; 0x7006h: FMWT2;\r
+#endif  \r
+;\r
+;=========================================================================================\r
+; 5.6  CLOCKSPEED == PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_27MHZ_CAN_20MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_27MHZ_CAN_20MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0113                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  80 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x13                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  80 MHz       \r
+  #set  PERCLOCK        0x03                    ; 0x486h: DIV0R_P;    => /4    ;  20 MHz \r
+  #set  EXTBUSCLOCK     0x02                    ; 0x487h: DIV1R_T;    => /3    ;  27 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 160 MHz\r
+  #set  PSDVC           0x07                    ; 0x4C0h: CANPRE_DVC; => /8    ;   8 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC413                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x10                    ; 0x7006h: FMWT2;\r
+#endif      \r
+;\r
+;=========================================================================================\r
+; 5.7  CLOCKSPEED == PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_40MHZ_CAN_20MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU__80MHZ_PER_20MHZ_EXT_40MHZ_CAN_20MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91461R) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0113                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  80 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x13                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  80 MHz       \r
+  #set  PERCLOCK        0x03                    ; 0x486h: DIV0R_P;    => /4    ;  20 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  40 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 160 MHz\r
+  #set  PSDVC           0x07                    ; 0x4C0h: CANPRE_DVC; => /8    ;   8 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #set  REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;\r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC413                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x10                    ; 0x7006h: FMWT2;\r
+#endif      \r
+;\r
+;=========================================================================================\r
+; 5.8  CLOCKSPEED == PLL_4MHZ__CPU__96MHZ_PER_16MHZ_EXT_48MHZ_CAN_16MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU__96MHZ_PER_16MHZ_EXT_48MHZ_CAN_16MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91464A) || (DEVICE == MB91465K) || (DEVICE == MB91463N) ||\\r
+      (DEVICE == MB91461R) || (DEVICE == MB91467R)\r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0117                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  96 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x17                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  64 MHz       \r
+  #set  PERCLOCK        0x05                    ; 0x486h: DIV0R_P;    => /6    ;  16 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  32 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 192 MHz\r
+  #set  PSDVC           0x0B                    ; 0x4C0h: CANPRE_DVC; => /12   ;  16 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #if (DEVICE == MB91469G) \r
+   #set REGULATORSEL    0x36                    ; 0x4CEh: REGSEL;\r
+  #else\r
+   #set REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #endif    \r
+  #set REGULATORCTRL    0x00                    ; 0x4CFh: REGCTR;    \r
+  ; Memory Controller\r
+  #set FLASHCONTROL     0x032                   ; 0x7002h: FCHCR;\r
+  #set FLASHREADT       0xC413                  ; 0x7004h: FMWT;\r
+  #set FLASHMWT2        0x10                    ; 0x7006h: FMWT2;\r
+#endif        \r
+;\r
+;=========================================================================================\r
+; 5.9  CLOCKSPEED == PLL_4MHZ__CPU_100MHZ_PER_20MHZ_EXT_50MHZ_CAN_20MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_4MHZ__CPU_100MHZ_PER_20MHZ_EXT_50MHZ_CAN_20MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91464A) || (DEVICE == MB91465K) || (DEVICE == MB91463N) ||\\r
+      (DEVICE == MB91461R) || (DEVICE == MB91467R) || (DEVICE == MB91467D)\r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0118                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ; 100 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x17                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ; 100 MHz       \r
+  #set  PERCLOCK        0x04                    ; 0x486h: DIV0R_P;    => /5    ;  20 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  50 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 200 MHz\r
+  #set  PSDVC           0x09                    ; 0x4C0h: CANPRE_DVC; => /10   ;  20 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  #if (DEVICE == MB91469G) \r
+   #set REGULATORSEL    0x36                    ; 0x4CEh: REGSEL;\r
+  #else\r
+   #set REGULATORSEL    0x06                    ; 0x4CEh: REGSEL;\r
+  #endif    \r
+  #set  REGULATORCTRL   0x00                    ; 0x4CFh: REGCTR;    \r
+  ; Memory Controller\r
+  #set  FLASHCONTROL    0x032                   ; 0x7002h: FCHCR;\r
+  #set  FLASHREADT      0xC413                  ; 0x7004h: FMWT;\r
+  #set  FLASHMWT2       0x10                    ; 0x7006h: FMWT2;\r
+#endif        \r
+;\r
+;=========================================================================================\r
+; 5.10  CLOCKSPEED == PLL_10MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_10MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91464A) || (DEVICE == MB91467B) || (DEVICE == MB91467C) ||\\r
+      (DEVICE == MB91467D) || (DEVICE == MB91469G) || (DEVICE == MB91465K) ||\\r
+      (DEVICE == MB91463N) || (DEVICE == MB91467R) || (DEVICE == MB91465X) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0105                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ;  60 MHz\r
+  #set  DIV_G           0x0B                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x1F                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  60 MHz       \r
+  #set  PERCLOCK        0x02                    ; 0x486h: DIV0R_P;    => /3    ;  20 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  30 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 120 MHz\r
+  #set  PSDVC           0x05                    ; 0x4C0h: CANPRE_DVC; => /6    ;  20 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  ; -\r
+  ; Memory Controller\r
+  ; -\r
+#endif        \r
+;\r
+;=========================================================================================\r
+; 5.11  CLOCKSPEED == PLL_20MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ \r
+;=========================================================================================\r
+;\r
+#if (CLOCKSPEED == PLL_20MHZ_CPU__60MHZ_PER_20MHZ_EXT_30MHZ_CAN_20MHZ )\r
+;\r
+; Start restriction; Maximum frequency\r
+  #if (DEVICE == MB91464A) || (DEVICE == MB91467B) || (DEVICE == MB91467C) ||\\r
+      (DEVICE == MB91467D) || (DEVICE == MB91469G) || (DEVICE == MB91465K) ||\\r
+      (DEVICE == MB91463N) || (DEVICE == MB91467R) || (DEVICE == MB91465X) \r
+     #error: Frequency is not supported by this device.\r
+  #endif \r
+; End restriction\r
+;\r
+  #set  CLOCKSOURCE     MAINPLLCLOCK            ; Clocksource\r
+  #set  ENABLE_SUBCLOCK OFF                     ; Subclock: ON/OFF\r
+  #set  PLLSPEED        0x0102                  ; 0x48Ch, 0x48Dh: PLLDIVM/N    ; 60 MHz\r
+  #set  DIV_G           0x0F                    ; 0x48Eh: PLLDIVG; \r
+  #set  MUL_G           0x1F                    ; 0x48Fh: PLLMULG;     \r
+  ; Clock Divider\r
+  #set  CPUCLOCK        0x00                    ; 0x486h: DIV0R_B;    => /1    ;  60 MHz       \r
+  #set  PERCLOCK        0x02                    ; 0x486h: DIV0R_P;    => /3    ;  20 MHz \r
+  #set  EXTBUSCLOCK     0x01                    ; 0x487h: DIV1R_T;    => /2    ;  30 MHz \r
+  ; CAN Clock\r
+  #set  PSCLOCKSOURCE   PSCLOCK_PLL             ; 0x4C0h: CANPRE;     => PLLx  ; 120 MHz\r
+  #set  PSDVC           0x05                    ; 0x4C0h: CANPRE_DVC; => /6    ;  20 MHz\r
+  #set  CANCLOCK        0x00                    ; 0x4C1h: CANCKD; all CAN Clocks enabled\r
+  ; Voltage Regulator \r
+  ; -\r
+  ; Memory Controller\r
+  ; -\r
+#endif  \r
+;      \r
+;=========================================================================================\r
+; 6  Section and Data Declaration\r
+;=========================================================================================\r
+\r
+        .export __start             \r
+        .import _main\r
+        .import _RAM_INIT\r
+        .import _ROM_INIT\r
+        \r
+#if CLIBINIT == ON    \r
+        .export __exit \r
+        .import _exit\r
+        .import __stream_init\r
+#endif\r
+\r
+#if CPLUSPLUS == ON\r
+        .export __abort\r
+        .import ___call_dtors\r
+        .import _atexit\r
+#endif\r
+;=========================================================================================\r
+; 6.1  Define Stack Size\r
+;=========================================================================================\r
+ .SECTION  SSTACK, STACK, ALIGN=4\r
+#if STACK_RESERVE == ON\r
+        .EXPORT         __systemstack, __systemstack_top\r
+ __systemstack:\r
+        .RES.B          STACK_SYS_SIZE\r
+ __systemstack_top: \r
+#endif\r
\r
+        .SECTION  USTACK, STACK, ALIGN=4\r
+#if STACK_RESERVE == ON\r
+         .EXPORT        __userstack, __userstack_top\r
+ __userstack:\r
+        .RES.B          STACK_USR_SIZE\r
+ __userstack_top:\r
\r
+#endif\r
+;=========================================================================================\r
+; 6.2  Define Sections\r
+;=========================================================================================\r
+        .section        DATA,  data,  align=4\r
+        .section        INIT,  data,  align=4\r
+        .section        IRAM,  code,  align=4\r
+        .section        CONST, const, align=4\r
+        .section        INTVECT, const, align=4 \r
+        \r
+#if I_RAM \r
+        .import _RAM_IRAM\r
+        .import _ROM_IRAM\r
+#endif\r
+                    \r
+#if (DEVICE != MB91461R)\r
+    #if (DEVICE == MB91469G)\r
+        .section        SECURITY_VECTORS, code, locate = 0x248000\r
+    #else \r
+        .section        SECURITY_VECTORS, code, locate = 0x148000\r
+    #endif\r
+    \r
+    #if (BOOT_FLASH_SEC == OFF)        \r
+        .data.w 0xFFFFFFFF\r
+        .data.w 0xFFFFFFFF\r
+        .data.w 0xFFFFFFFF\r
+        .data.w 0xFFFFFFFF       \r
+    #else\r
+        .res.w          4\r
+    #endif         \r
+#endif     \r
+   \r
+#if CPLUSPLUS == ON\r
+        .section        EXT_CTOR_DTOR, const, align=4  ; C++ constructors\r
+#endif        \r
+       \r
+;-----------------------------------------------------------------------------------------\r
+; MACRO Clear RC Watchdog\r
+;-----------------------------------------------------------------------------------------\r
+#macro  ClearRCwatchdog\r
+        LDI             #0x4C7,R7               ; clear RC watchdog\r
+        BANDL           #0x7,@R7\r
+#endm\r
+;-----------------------------------------------------------------------------------------\r
+; MACRO WAIT_LOOP\r
+;-----------------------------------------------------------------------------------------\r
+#macro wait_loop loop_number\r
+#local _wait64_loop\r
+        LDI             #loop_number, R0\r
+_wait64_loop:\r
+        ADD             #-1, R0\r
+        BNE             _wait64_loop\r
+#endm\r
+        .section        CODE, code, align=4\r
+        .section        CODE_START, code, align=4\r
+\r
+\r
+;=========================================================================================\r
+; 7.  S T A R T \r
+;=========================================================================================\r
+__start:                                        ; start point   \r
+startnop: \r
+        NOP       \r
+;   \r
+        ANDCCR          #0xEF                   ; disable interrupts   \r
+        STILM           #LOW_PRIOR              ; set interrupt level to low prior\r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+\r
+;=========================================================================================\r
+; 7.1  Initialise Stack Pointer and Table Base Register\r
+;=========================================================================================\r
+#if STACKUSE == SYSSTACK       \r
+        ORCCR           #0x20\r
+        LDI             #__userstack_top, SP    ; initialize SP\r
+        ANDCCR          #0xDF\r
+        LDI             #__systemstack_top, SP  ; initialize SP\r
+#endif\r
+\r
+#if STACKUSE == USRSTACK\r
+        ANDCCR          #0xDF\r
+        LDI             #__systemstack_top, SP  ; initialize SP\r
+        ORCCR           #0x20\r
+        LDI             #__userstack_top, SP    ; initialize SP\r
+#endif\r
+\r
+        LDI             #INTVECT, R0            ; set Table Base\r
+smd_tbr: \r
+        MOV             R0, TBR         \r
+\r
+#if (CLOCKSOURCE != NOCLOCK)                                          \r
+;=========================================================================================\r
+; 7.2  Check for CSV reset and set CSV\r
+;=========================================================================================\r
+; Start restriction; No clock supervisor (CSV)\r
+#if (DEVICE != MB91461R) && (DEVICE != MB91467R) && (DEVICE != MB91463N)\r
+; End restriction\r
+        LDI:20          #0x04AD, R0             ; CSVCR\r
+        BORL            #0x8, @R0               ; Enable Main Osc CSV\r
+        BTSTH           #0x4, @R0               ; Check for Main Osc missing\r
+        BEQ             NoMAINCSVreset          ; Main osc available -> branch \r
+                                                ;   to NoCSVreset\r
+        BANDL           #0x7, @R0               ; Disable Main Osc CSV\r
+        \r
+        LDI             #noClockStartup, R0     ; Main Clock missing -> no\r
+        JMP             @R0                     ; clock startup\r
+                                                \r
+NoMAINCSVreset: \r
+\r
+\r
+        BORL            #0x4, @R0               ; Enable Sub Osc CSV\r
+        BTSTH           #0x2, @R0               ; Check for Sub Osc missing\r
+        BEQ             NoSUBCSVreset           ; Sub osc available -> branch \r
+                                                ;   to NoCSVreset\r
+        BANDL           #0xB, @R0               ; Disable Sub Osc SCSV\r
+#if (CLOCKSOURCE == SUBCLOCK)\r
+        LDI             #noClockStartup, R0     ; Sub Clock missing -> no\r
+        JMP             @R0                     ; clock startup\r
+#endif                                                \r
+NoSUBCSVreset:       \r
+#endif        \r
+;=========================================================================================\r
+; 7.3  Check Clock Condition\r
+;=========================================================================================\r
+        LDI             #0x484, R0              ; Check for Default Values\r
+        LDI             #0x0F, R1               \r
+        ANDB            R1, @R0\r
+        BEQ             clock_startup \r
+\r
+;=========================================================================================\r
+; 7.4  Restore Default Settings after Reset\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.4.1  Disable Clock Modulator\r
+;=========================================================================================\r
+        LDI             #0x04BB, R0             ; Clock Modulator Control Reg\r
+        BANDL           #0xD, @R0               ; Disable Frequency modulation\r
+FMODwait:        \r
+        BTSTL           #8, @R0                 ; Wait until Frequency modulation\r
+        BNE             FMODwait                ; is disabled\r
+        \r
+        BANDL           #0xE, @R0               ; Power down clock modulator\r
+\r
+;=========================================================================================\r
+; 7.4.2  Check if running on Sub Clock, change to Main Clock\r
+;=========================================================================================\r
+        LDI:20          #0x0484,R12             ; Check if running on sub clock\r
+        LDUB            @R12,R0\r
+        LDI:8           #0x3,R1\r
+        AND             R1,R0\r
+        CMP             #0x3,R0\r
+        BNE             notOnSubClock\r
+        \r
+        LDI:20          #0x04CC,R12             ; Check if Main Clock is stopped\r
+        BTSTL           #1, @R12\r
+        BEQ             mainNotStopped\r
+\r
+        BANDL           #0xE, @R12              ; Start Main Oscillation\r
+                        \r
+        LDI             #0x4C8, R0              ; Main Stabilisation Wait Time\r
+        LDI             #0x04, R1               ; 32.7 ms\r
+        AND             R1, @R0  \r
+        BORH            #0x02, @R0      \r
+        \r
+        mainStabTime:                           ; Wait for stabilisation time\r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+        BTSTH           #8, @R0\r
+        BEQ             mainStabTime\r
+        LDI             #0x0, R1\r
+        STB             R1, @R0\r
+\r
+mainNotStopped:        \r
+        LDI:20          #0x0484, R12            ; disable sub clock as source\r
+        BANDL           #0xD, @R12              ; Clock source = 0x01 (Main/2)  \r
+                                                       \r
+notOnSubClock:\r
+;=========================================================================================\r
+; 7.4.3  Disable Sub Clock\r
+;=========================================================================================\r
+#if ENABLE_SUBCLOCK != ON\r
+        LDI             #0x0484, R0             ; Clock source control reg CLKR\r
+        BANDL           #0x7, @R0               ; Disable PLL\r
+#endif       \r
+\r
+;=========================================================================================\r
+; 7.4.4  Check if running on PLL, Gear Down PLL\r
+;=========================================================================================\r
+        LDI:20          #0x0484,R12             ; Check if running on PLL\r
+        LDUB            @R12,R0\r
+        LDI:8           #0x3,R1\r
+        AND             R1,R0\r
+        CMP             #0x2,R0\r
+        BNE             notOnPll\r
+                    \r
+        LDI:20          #0x0490, R11            ; clear flags  \r
+        LDI:8           #0x0,R1        \r
+        STB             R1, @R11\r
+        LDI             #0x04,R1\r
+        STB             R1, @R11                ; Set Flag for Simulator; no Effekt on\r
+                                                ; Emulator      \r
+\r
+        BANDL           #0xC, @R12              ; disable PLL as clock source  \r
+                                                ; Clock Source = 0x00 (Main/2)\r
+                                                    \r
+        LDI:20          #0x048E,R12             ; check if DivG != 0\r
+        LDUB            @R12, R0\r
+        LDI:8           #0xFF,R1\r
+        AND             R1,R0\r
+        BEQ             notOnPll\r
+                                                                                          \r
+gearDownLoop:    \r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+        BTSTL           #4, @R11                ; Gear Down\r
+        BEQ             gearDownLoop            ; \r
\r
+        LDI             #0x00,R1                ; Clear Flags\r
+        STB             R1, @R11                ;       \r
+        \r
+notOnPll:\r
+;=========================================================================================\r
+; 7.4.5  Disable PLL\r
+;=========================================================================================\r
+        LDI             #0x0484, R0             ; Clock source control reg CLKR\r
+        BANDL           #0xB, @R0               ; Disable PLL\r
+        \r
+;=========================================================================================\r
+; 7.4.6  Set to Main Clock\r
+;=========================================================================================\r
+        LDI:20          #0x0484,R12             ; Check if running on PLL\r
+        BANDL           #0xC, @R12              ; disable PLL as clock source  \r
+                                                ; Clock Source = 0x00 (Main/2)\r
+\r
+clock_startup:\r
+;=========================================================================================\r
+; 7.5  Set Memory Controller\r
+;=========================================================================================\r
+; Start restriction; No embedded flash\r
+#if DEVICE != MB91461R\r
+; End restriction\r
+        LDI             #0x7002, R1             ; FLASH Controller Reg.\r
+        LDI             #FLASHCONTROL, R2       ; Flash Controller Settings\r
+        STH             R2, @R1                 ; set register\r
+        LDI             #0x7004, R1             ; FLASH Memory Wait Timing Reg.\r
+        LDI             #FLASHREADT, R2         ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+        LDI             #0x7006, R1             ; FLASH Memory Wait Timing Reg.\r
+        LDI             #FLASHMWT2, R2          ; wait settings\r
+        STB             R2, @R1                 ; set register               \r
+#endif                \r
+        ClearRCwatchdog   \r
+                                                       \r
+;=========================================================================================\r
+; 7.6  Clock startup\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.6.1  Set Voltage Regulator Settings\r
+;=========================================================================================\r
+; Start restriction; No regulator settings\r
+#if DEVICE != MB91461R\r
+; End restriction\r
+        LDI             #0x04CF, R0             ; REGCTR\r
+        LDI             #REGULATORCTRL, R1\r
+        STB             R1, @R0\r
+\r
+        LDI             #0x04CE, R0             ; REGSEL\r
+        LDI             #REGULATORSEL, R1\r
+        STB             R1, @R0\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.6.2  Power on Clock Modulator - Clock Modulator Part I\r
+;=========================================================================================\r
+#if CLOMO == ON \r
+        LDI             #0x04BB, R0             ; Clock Modulator Control Reg\r
+        LDI             #0x11, R1               ; Load value to Power on CM\r
+        ORB             R1, @R0                 ; Power on clock modulaor\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.6.3  Set CLKR Register w/o Clock Mode\r
+;=========================================================================================\r
+; Set Clock source (Base Clock) for the three clock tree selections\r
+; This select Base clock is used to select afterwards the 3\r
+; Clocks for the diffenrent internal trees.\r
+; When PLL is used, first pll multiplication ratio is set and PLL is\r
+; enabled. After waiting the PLL stabilisation time via timebase\r
+; timer, PLL clock is selected as clock source. \r
+        LDI             #0x048C, R0             ; PLL Cntl Reg. PLLDIVM/N\r
+        LDI:20          #PLLSPEED, R1\r
+        STH             R1, @R0\r
+\r
+        LDI             #0x048E, R0             ; PLL Cntl Reg. PLLDIVG\r
+        LDI             #DIV_G, R1\r
+        STB             R1, @R0\r
+\r
+        LDI             #0x048F, R0             ; PLL Cntl Reg. PLLMULG\r
+        LDI             #MUL_G, R1\r
+        STB             R1, @R0\r
+\r
+;=========================================================================================\r
+; 7.6.4  Start PLL \r
+;=========================================================================================\r
+#if ( ( CLOCKSOURCE == MAINPLLCLOCK ) || ( PSCLOCKSOURCE == PSCLOCK_PLL ) )\r
+        LDI             #0x0484, R0             ; Clock source control reg CLKR\r
+        LDI             #0x04, R1               ; Use PLL x1, enable PLL \r
+        ORB             R1, @R0                 ; store data to CLKR register\r
+#endif\r
+       \r
+       \r
+#if ENABLE_SUBCLOCK == ON\r
+        LDI             #0x0484, R0             ; Clock source control reg CLKR\r
+        LDI             #0x08, R1               ; enable subclock operation\r
+        ORB             R1, @R0                 ; store data to CLKR register\r
+        LDI             #0x4CA, R0              ; Sub Clock oszilation \r
+        LDI             #0x00, R1               ; stabilitsation time = 32 ms\r
+        AND             R1, @R0  \r
+        BORH            #0x02, @R0      \r
+#endif      \r
+      \r
+;=========================================================================================\r
+; 7.6.5  Wait for PLL oscillation stabilisation\r
+;=========================================================================================\r
+#if ((CLOCKSOURCE==MAINPLLCLOCK)||(PSCLOCKSOURCE==PSCLOCK_PLL))\r
+        LDI             #0x0482, R12            ; TimeBaseTimer TBCR\r
+        LDI             #0x00, R1               ; set 1024 us @ 2 MHz \r
+        STB             R1, @R12\r
+\r
+        BANDH           #7, @R12                ; clear interrupt flag\r
+        \r
+        LDI             #0x0483, R0             ; clearTimeBaseTimer CTBR\r
+        LDI             #0xA5, R1                 \r
+        STB             R1, @R0\r
+        LDI             #0x5A, R1                 \r
+        STB             R1, @R0\r
+        \r
+        BANDH           #7, @R12                ; clear interrupt flag\r
+        BORH            #8, @R12                ; set interrupt flag for simulator\r
+\r
+PLLwait:        \r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+        BTSTH           #8, @R12\r
+        BEQ             PLLwait\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.6.6  Set clocks \r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.6.6.1  Set CPU and peripheral clock \r
+;=========================================================================================\r
+; CPU and peripheral clock are set in one register\r
+        LDI             #0x0486, R2             ; Set DIVR0 (CPU-clock (CLKB)  \r
+        LDI             #((CPUCLOCK << 4) + PERCLOCK), R3 ; Load CPU clock setting\r
+        STB             R3, @R2               \r
+;=========================================================================================\r
+; 7.6.6.2  Set External Bus interface clock\r
+;=========================================================================================\r
+; set External Bus clock\r
+; Be aware to do smooth clock setting, to avoid wrong clock setting\r
+; Take care, always write 0 to the lower 4 bits of DIVR1 register\r
+        LDI             #0x0487, R2             ; Set DIVR1  \r
+        LDI             #(EXTBUSCLOCK << 4), R3 ; Load Peripheral clock setting\r
+        STB             R3, @R2 \r
+        \r
+;=========================================================================================\r
+; 7.6.6.3  Set CAN clock prescaler\r
+;=========================================================================================\r
+; Set CAN Prescaler, only clock relevant parameter \r
+        LDI             #0x04C0, R0             ; Set CAN ClockParameter Register\r
+        LDI             #(PSCLOCKSOURCE + PSDVC), R1     ; Load Divider\r
+        STB             R1, @R0                          ; Set Divider\r
+; enable CAN clocks\r
+        LDI             #0x04c1, R0             ; Set CAN Clock enable Register\r
+        LDI             #CANCLOCK, R1           ; Load CANCLOCK\r
+        STB             R1, @R0                 ; set CANCLOCK\r
+\r
+;=========================================================================================\r
+; 7.6.6.4  Switch Main Clock Mode\r
+;=========================================================================================\r
+#if CLOCKSOURCE == MAINCLOCK\r
+\r
+;=========================================================================================\r
+; 7.6.6.5  Switch Subclock Mode\r
+;=========================================================================================\r
+#elif ( (CLOCKSOURCE == SUBCLOCK) )\r
+    #if ENABLE_SUBCLOCK == ON\r
+        LDI             #0x4CA, R12\r
+subStabTime:        \r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+        BTSTH           #8, @R12                ; wait until sub clock stabilisation\r
+        BEQ             subStabTime             ; time is over\r
+        LDI             #0x0, R1\r
+        STB             R1, @R12\r
+\r
+        LDI             #0x0484, R0             ; Clock source control reg CLKR\r
+        LDI             #0x01, R1               ; load value to select main clock\r
+        ORB             R1, @R0                 ; enable main clock (1/2 external)        \r
+        LDI             #0x03, R1               ; load value to select subclock\r
+        ORB             R1, @R0                 ; enable subclock as clock source       \r
+    #else\r
+        #error: Wrong setting! The clock source is subclock, but the subclock is disabled.\r
+    #endif\r
+\r
+;=========================================================================================\r
+; 7.6.7  Switch to PLL Mode\r
+;=========================================================================================\r
+#elif ( (CLOCKSOURCE == MAINPLLCLOCK) )\r
+\r
+#if (DIV_G != 0x00)\r
+        LDI             #0x0490, R0             ; PLL Ctrl Register   \r
+        LDI             #0x00,R1\r
+        STB             R1, @R0                 ; Clear Flag\r
+        LDI             #0x01,R1\r
+        STB             R1, @R0                 ; Set Flag for Simulator; no Effekt on\r
+#endif                                                ; Emulator\r
\r
+        LDI             #0x0484, R3             ; Clock source control reg CLKR\r
+        BORL            #0x2, @R3               ; enable PLL as clock source                                               \r
+                                                \r
+#if (DIV_G != 0x00)                                                \r
+gearUpLoop:    \r
+        ClearRCwatchdog                         ; clear harware watchdog\r
+        LDUB            @R0, R2                 ; LOAD PLLCTR to R2\r
+        AND             R1, R2                  ; GRUP, counter reach 0\r
+        BEQ             gearUpLoop\r
+\r
+        LDI             #0x00,R1\r
+        STB             R1, @R0                 ; Clear Gear-Up Flag\r
+#endif         \r
+     \r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.6.8  Enable Frequncy Modulation - Clock Modulator Part II\r
+;=========================================================================================\r
+#if CLOMO == ON                                 ; Only applicable if Modulator is on\r
+        LDI             #0x04B8, R0             ; Clock Modulation Parameter Reg\r
+        LDI             #CMPR, R1               ; Load CMP value\r
+        STH             R1, @R0                 ; Store CMP value in CMPR\r
+\r
+        LDI             #0x04BB, R0             ; Clock Modulator Control Reg\r
+        LDI             #0x13, R1               ; Load value to FM on CM\r
+        ORB             R1, @R0                 ; FM on \r
+#endif\r
+\r
+#endif\r
+noClockStartup:\r
+\r
+;=========================================================================================\r
+; 7.7  Set BusInterface\r
+;=========================================================================================\r
+; Start restriction; No ext. bus interface\r
+#if (DEVICE != MB91464A) && (DEVICE != MB91467C) && (DEVICE != MB91465K) &&  \\r
+    (DEVICE != MB91463N) && (DEVICE != MB91465X)\r
+; End restriction\r
+#if (EXTBUS == ON) \r
+;=========================================================================================\r
+; 7.7.1  Disable all CS\r
+;=========================================================================================\r
+; Start restriction; Flashless device\r
+#if(DEVICE != MB91461R)\r
+; End restriction\r
+        LDI             #0x0680, R3             ; chip select enable register CSER\r
+        LDI             #(0x00), R2             ; load disable settings                                                    \r
+smd_cs:                                                    \r
+        ANDB            R2, @R3                 ; set register          \r
+#endif        \r
+\r
+;=========================================================================================\r
+; 7.7.2  Clear TCR Register\r
+;=========================================================================================\r
+        LDI             #0x0683, R1             ; Pin/Timing Control Register TCR\r
+        BORH            #0x6,@R1                ; load timing settings \r
+\r
+;=========================================================================================\r
+; 7.7.3  Set CS0\r
+;=========================================================================================\r
+#if CS0\r
+        LDI             #0x0640, R1             ; area select reg ASR0, ACR0      \r
+        LDI             #(AREASEL0<<16)+CONFIGCS0, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
\r
+        LDI             #0x660, R1              ; area wait register awr0\r
+        LDI             #WAITREG0, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.7.4  Set CS1  \r
+;=========================================================================================\r
+#if CS1  \r
+        LDI             #0x0644, R1             ; area select reg ASR1, ACR1      \r
+        LDI             #(AREASEL1<<16)+CONFIGCS1, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+\r
+        LDI             #0x662, R1              ; area wait register awr1\r
+        LDI             #WAITREG1, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+smd_cs_mb91461r:\r
+;=========================================================================================\r
+; 7.7.5  Set CS2  \r
+;=========================================================================================\r
+#if CS2\r
+        LDI             #0x0648, R1             ; area select reg ASR2, ACR2      \r
+        LDI             #(AREASEL2<<16)+CONFIGCS2, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x664, R1              ; area wait register awr2\r
+        LDI             #WAITREG2, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+;=========================================================================================\r
+; 7.7.6  Set CS3  \r
+;=========================================================================================\r
+#if CS3\r
+        LDI             #0x064C, R1             ; area select reg ASR3, ACR3      \r
+        LDI             #(AREASEL3<<16)+CONFIGCS3, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x666, R1              ; area wait register awr3\r
+        LDI             #WAITREG3, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+;=========================================================================================\r
+; 7.7.7  Set CS4  \r
+;=========================================================================================\r
+#if CS4\r
+        LDI             #0x0650, R1             ; area select reg ASR4, ACR4      \r
+        LDI             #(AREASEL4<<16)+CONFIGCS4, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x668, R1              ; area wait register awr4\r
+        LDI             #WAITREG4, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+;=========================================================================================\r
+; 7.7.8  Set CS5  \r
+;=========================================================================================\r
+#if CS5\r
+        LDI             #0x0654, R1             ; area select reg ASR5, ACR5      \r
+        LDI             #(AREASEL5<<16)+CONFIGCS5, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x66A, R1              ; area wait register awr5\r
+        LDI             #WAITREG5, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+;=========================================================================================\r
+; 7.7.9  Set CS6\r
+;=========================================================================================\r
+#if (CS6)  \r
+        LDI             #0x0658, R1             ; area select reg ASR6, ACR6      \r
+        LDI             #(AREASEL6<<16)+CONFIGCS6, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x66C, R1              ; area wait register awr6\r
+        LDI             #WAITREG6, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif\r
+;=========================================================================================\r
+; 7.7.10  Set CS7  \r
+;=========================================================================================\r
+#if CS7\r
+        LDI             #0x065C, R1             ; area select reg ASR7, ACR7     \r
+        LDI             #(AREASEL7<<16)+CONFIGCS7, R0  ; load settings\r
+        ST              R0, @R1                 ; set registers\r
+        LDI             #0x66E, R1              ; area wait register awr7\r
+        LDI             #WAITREG7, R2           ; wait settings\r
+        STH             R2, @R1                 ; set register\r
+#endif             \r
+;=========================================================================================\r
+; 7.7.11  Set special SDRAM config register  \r
+;=========================================================================================\r
+#if (SDRAM)\r
+        LDI             #0x670, R1              ; SDRAM memory config register\r
+        LDI             #MEMCON, R2             ; wait settings\r
+        STB             R2, @R1                 ; set register\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.7.12  set Port Function Register\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.7.12.1  set PFR00 Register. External bus mode (D[24-31]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D80, R1             ; Port Function Register 0, (PFR00)\r
+        LDI             #PFUNC0, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register    \r
+;=========================================================================================\r
+; 7.7.12.2  set PFR01 Register. External bus mode (D[16-23]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D81, R1             ; Port Function Register 1, (PFR01)\r
+        LDI             #PFUNC1, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.3  set PFR02 Register. External bus mode (D[8-15]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D82, R1             ; Port Function Register 2, (PFR02)\r
+        LDI             #PFUNC2, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.4  set PFR03 Register. External bus mode (D[0-7]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D83, R1             ; Port Function Register 3, (PFR03)\r
+        LDI             #PFUNC3, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.5  set PFR04 Register. External bus mode (Adr[24-31]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D84, R1             ; Port Function Register 4, (PFR04)\r
+        LDI             #PFUNC4, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.6  set PFR05 Register. External bus mode (Adr[16-23]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D85, R1             ; Port Function Register 5, (PFR05)\r
+        LDI             #PFUNC5, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.7  set PFR06 Register. External bus mode (Adr[8-15]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D86, R1             ; Port Function Register 6, (PFR06)\r
+        LDI             #PFUNC6, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.8  set PFR07 Register. External bus mode (Adr[0-7]) or General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D87, R1             ; Port Function Register 7, (PFR07)\r
+        LDI             #PFUNC7, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.9  set PFR08 Register. External bus mode (Control Signals) or GIO port\r
+;=========================================================================================\r
+        LDI             #0x0D88, R1             ; Port Function Register 8, (PFR08)\r
+        LDI             #PFUNC8, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.10  set PFR09 Register. External bus mode (Control Signals) or GIO port\r
+;=========================================================================================\r
+        LDI             #0x0D89, R1             ; Port Function Register 9, (PFR09)\r
+        LDI             #PFUNC9, R0             ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.11  set PFR10 Register. External bus mode (Control Signals) or GIO port\r
+;=========================================================================================\r
+        LDI             #0x0D8A, R1             ; Port Function Register 10, (PFR10)\r
+        LDI             #PFUNC10, R0            ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.12.12  set EPFR10 Register. External bus mode (Control Signals) or GIO port\r
+;=========================================================================================\r
+        LDI             #0x0DCA, R1             ; Extended PFR 10, (EPFR10)\r
+        LDI             #EPFUNC10, R0           ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.13  Set TCR Register\r
+;=========================================================================================\r
+        LDI             #0x0683, R1             ; Pin/Timing Control Register TCR\r
+        LDI             #TIMECONTR, R0          ; load timing settings \r
+        STB             R0, @R1                 ; set register\r
+;=========================================================================================\r
+; 7.7.14  Enable CACHE for selected CS\r
+;=========================================================================================\r
+        LDI             #0x0681, R3             ; chip select enable register CSER\r
+        LDI             #CHEENA, R2 \r
+        ORB             R2, @R3      \r
+;=========================================================================================\r
+; 7.7.15 set SDRAM  Referesh Control Register\r
+;=========================================================================================\r
+#if (SDRAM)\r
+        LDI             #0x0684, R1             ; Refresh Control Register RCR\r
+        LDI             #REFRESH, R0            ; load refresh settings \r
+        STH             R0, @R1                 ; set register    \r
+        LDI             #0x0008, R2\r
+        OR              R2, R0                  ; Set PON bit to 1     \r
+        STH             R0, @R1                 ; set register \r
+#endif\r
+;=========================================================================================\r
+; 7.7.16  Enable used CS\r
+;=========================================================================================\r
+        LDI             #0x0680, R3             ; chip select enable register CSER\r
+        LDI             #ENACSX, R2 \r
+; Start restriction; Flashless device\r
+#if (DEVICE == MB91461R)\r
+; End restriction\r
+emu_sram_cs_mb91461r:    \r
+        ANDB            R2, @R3                 ; set register\r
+#else    \r
+        ORB             R2, @R3\r
+#endif   \r
+;=========================================================================================\r
+; 7.7.17  I-cache on/off\r
+;=========================================================================================\r
+; Start restriction; No cache\r
+#if (DEVICE == MB91461R) || (DEVICE == MB91469G) || (DEVICE == others)         \r
+; End restriction\r
+    #if CACHE\r
+        #if CACHE_SIZE  == C1024\r
+        LDI             #0x03C7, R1             ; Cache size register ISIZE\r
+        LDI             #0x00, R2\r
+        STB             R2, @R1\r
+        LDI             #0x03E7, R1             ; Cache control reg   ICHCR\r
+        LDI             #0x07, R2               ; Release entry locks, flush and enable \r
+        STB             R2, @R1                 ; cache  \r
+        #elif CACHE_SIZE  == C2048\r
+        LDI             #0x03C7, R1             ; Cache size register ISIZE\r
+        LDI             #0x01, R2\r
+        STB             R2, @R1\r
+        LDI             #0x03E7, R1             ; Cache control reg   ICHCR\r
+        LDI             #0x07, R2               ; Release entry locks, flush and enable \r
+        STB             R2, @R1                 ; cache\r
+        #elif CACHE_SIZE  == C4096\r
+        LDI             #0x03C7, R1             ; Cache size register ISIZE\r
+        LDI             #0x02, R2\r
+        STB             R2, @R1\r
+        LDI             #0x03E7, R1             ; Cache control reg   ICHCR\r
+        LDI             #0x07, R2               ; Release entry locks, flush and enable \r
+        STB             R2, @R1                 ; cache\r
+        #else    \r
+        #error: Wrong Cache size selected!\r
+        #endif          \r
+     #else\r
+        LDI             #0x03E7, R1             ; Cache control reg   ICHCR\r
+        LDI             #0x06, R2               ; Release entry locks, flush and disable\r
+        STB             R2, @R1                 ; cache\r
+    #endif\r
+#endif\r
+#elif (EXTBUS == OFF) \r
+;=========================================================================================\r
+; 7.7.18  set Port Function Register to general as I/O-Port\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.7.18.1  set PFR00 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D80, R1             ; Port Function Register 0, (PFR00)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register    \r
+;=========================================================================================\r
+; 7.7.18.2  set PFR01 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D81, R1             ; Port Function Register 1, (PFR01)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.3  set PFR02 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D82, R1             ; Port Function Register 2, (PFR02)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.4  set PFR03 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D83, R1             ; Port Function Register 3, (PFR03)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.5  set PFR04 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D84, R1             ; Port Function Register 4, (PFR04)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.6  set PFR05 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D85, R1             ; Port Function Register 5, (PFR05)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.7  set PFR06 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D86, R1             ; Port Function Register 6, (PFR06)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.8  set PFR07 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D87, R1             ; Port Function Register 7, (PFR07)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.9  set PFR08 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D88, R1             ; Port Function Register 8, (PFR08)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.10  set PFR09 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D89, R1             ; Port Function Register 9, (PFR09)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.11  set PFR10 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0D8A, R1             ; Port Function Register 10, (PFR10)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+; 7.7.18.12  set EPFR10 Register. External bus mode as General purpose port\r
+;=========================================================================================\r
+        LDI             #0x0DCA, R1             ; Extended PFR10, (EPFR10)\r
+        LDI             #0x00, R0               ; load port settings \r
+        STB             R0, @R1                 ; set register \r
+;=========================================================================================\r
+\r
+#elif (EXTBUS == DEFAULT)\r
+        NOP\r
+smd_cs_mb91461r:\r
+emu_sram_cs_mb91461r:\r
+smd_cs:\r
+#endif                                          ; #endif (EXTBUS)\r
+#endif                                          ; #endif (excl. devices)\r
+        ClearRCwatchdog\r
+\r
+;=========================================================================================\r
+; 7.8  Copy code from Flash to I-RAM \r
+;=========================================================================================\r
+#if I_RAM == ON\r
+        LDI             #_RAM_IRAM, R0\r
+        LDI             #_ROM_IRAM, R1\r
+        LDI             #sizeof(IRAM), R13\r
+        CMP             #0, R13\r
+        BEQ             copy_iram_end\r
+copy_iram1: \r
+        ADD             #-1, R13\r
+        LDUB            @(R13, R1), R12\r
+        BNE:D           copy_iram1\r
+        STB             R12, @(R13, R0)\r
+copy_iram_end: \r
+        ClearRCwatchdog\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.9  Fill stacks\r
+;=========================================================================================\r
+#if STACK_FILL == ON\r
+        LDI             #STACK_PATTERN, R0\r
+        LDI             #SSTACK, R1\r
+        LDI             #sizeof(SSTACK), R2\r
+        CMP             #0, R2\r
+        BEQ:D           fill_sstack_end\r
+        MOV             R2, R13\r
+        LDI             #3, R12\r
+        AND             R2, R12\r
+        BEQ:D           fill_sstack2\r
+        MOV             R2, R3\r
+        SUB             R12, R3\r
+        LDI             #0x3, R4\r
+        SUB             R12, R4\r
+        LSL             #0x3, R4 \r
+        LDI             #STACK_PATTERN, R5\r
+        LSR             R4, R5 \r
+        LDI             #0x8, R4\r
+fill_sstack1:\r
+        ADD             #-1, R13\r
+        LSR             R4, R5 \r
+        CMP             R3, R13\r
+        BHI:D           fill_sstack1\r
+        STB             R5, @(R13, R1)\r
+        CMP             #0, R3\r
+        BEQ:D           fill_sstack_end\r
+fill_sstack2:\r
+        ADD             #-4, R13\r
+        BGT:D           fill_sstack2\r
+        ST              R0, @(R13, R1)\r
+fill_sstack_end:\r
+\r
+        LDI             #STACK_PATTERN, R0\r
+        LDI             #USTACK, R1\r
+        LDI             #sizeof(USTACK), R2\r
+        CMP             #0, R2\r
+        BEQ:D           fill_ustack_end\r
+        MOV             R2, R13\r
+        LDI             #3, R12\r
+        AND             R2, R12\r
+        BEQ:D           fill_ustack2\r
+        MOV             R2, R3\r
+        SUB             R12, R3\r
+        LDI             #0x3, R4\r
+        SUB             R12, R4\r
+        LSL             #0x3, R4 \r
+        LDI             #STACK_PATTERN, R5\r
+        LSR             R4, R5 \r
+        LDI             #0x8, R4\r
+fill_ustack1:\r
+        ADD             #-1, R13\r
+        LSR             R4, R5 \r
+        CMP             R3, R13\r
+        BHI:D           fill_ustack1\r
+        STB             R5, @(R13, R1)\r
+        CMP             #0, R3\r
+        BEQ:D           fill_ustack_end\r
+fill_ustack2:\r
+        ADD             #-4, R13\r
+        BGT:D           fill_ustack2\r
+        ST              R0, @(R13, R1)\r
+fill_ustack_end:\r
+        ClearRCwatchdog\r
+#endif \r
+\r
+;=========================================================================================\r
+; Standard C startup\r
+;=========================================================================================\r
+;=========================================================================================\r
+; 7.10  Clear data \r
+;=========================================================================================\r
+; clear DATA section\r
+; According to ANSI, the DATA section must be cleared during start-up\r
+        LDI:8           #0, R0\r
+        LDI             #sizeof DATA &~0x3, R1\r
+        LDI             #DATA, R13\r
+        CMP             #0, R1\r
+        BEQ             data_clr1\r
+data_clr0:\r
+        ADD2            #-4, R1\r
+        BNE:D           data_clr0\r
+        ST              R0, @(R13, R1)\r
+data_clr1:\r
+        LDI:8           #sizeof DATA & 0x3, R1\r
+        LDI             #DATA + (sizeof DATA & ~0x3), R13\r
+\r
+        CMP             #0, R1\r
+        BEQ             data_clr_end\r
+data_clr2:\r
+        ADD2            #-1, R1\r
+        BNE:D           data_clr2\r
+        STB             R0, @(R13, R1)\r
+data_clr_end:\r
+        ClearRCwatchdog\r
+        \r
+;=========================================================================================\r
+; 7.11  Copy Init section from ROM to RAM\r
+;=========================================================================================\r
+; copy rom\r
+; All initialised data's (e.g. int i=1) must be stored in ROM/FLASH area. \r
+; (start value)\r
+; The Application must copy the Section (Init) into the RAM area.\r
+        LDI             #_RAM_INIT, R0\r
+        LDI             #_ROM_INIT, R1\r
+        LDI             #sizeof(INIT), R2\r
+        CMP             #0, R2\r
+        BEQ:D           copy_rom_end\r
+        LDI             #3, R12\r
+        AND             R2, R12\r
+        BEQ:D           copy_rom2\r
+        MOV             R2, R13\r
+        MOV             R2, R3\r
+        SUB             R12, R3\r
+copy_rom1:\r
+        ADD             #-1, R13\r
+        LDUB            @(R13, R1), R12\r
+        CMP             R3, R13\r
+        BHI:D           copy_rom1\r
+        STB             R12, @(R13, R0)\r
+        CMP             #0, R3\r
+        BEQ:D           copy_rom_end\r
+copy_rom2:\r
+        ADD             #-4, R13\r
+        LD              @(R13, R1), R12\r
+        BGT:D           copy_rom2\r
+        ST              R12, @(R13, R0)\r
+copy_rom_end:\r
+        ClearRCwatchdog\r
+\r
+;=========================================================================================\r
+; 7.12 C library initialization\r
+;=========================================================================================\r
+#if CLIBINIT == ON\r
+       CALL32          __stream_init, r12         ; initialise library \r
+#endif\r
+;=========================================================================================\r
+; 7.13  call C++ constructors\r
+;=========================================================================================\r
+#if CPLUSPLUS == ON\r
+       LDI              #___call_dtors, r4\r
+       CALL32           _atexit, r12\r
+\r
+       LDI              #EXT_CTOR_DTOR, r8\r
+       LDI              #EXT_CTOR_DTOR + sizeof(EXT_CTOR_DTOR), r9\r
+       CMP              r9, r8\r
+       BEQ              L1\r
+L0:\r
+       LD               @r8, r10\r
+       CALL:D           @r10\r
+       ADD              #4, r8\r
+       CMP              r9, r8\r
+       BC               L0\r
+L1:\r
+#endif\r
+\r
+start_main:\r
+;=========================================================================================\r
+; 7.14  call main routine\r
+;=========================================================================================\r
+       ClearRCwatchdog                            ; clear harware watchdog\r
+       LDI:8            #0, r4                    ; Set the 1st parameter for main to 0.\r
+       CALL32:d         _main, r12\r
+       LDI:8            #0, r5                    ; Set the 2nd parameter for main to 0.\r
+#if CLIBINIT == ON\r
+       CALL32           _exit, r12\r
+       __exit:\r
+#endif\r
+\r
+#if CPLUSPLUS == ON\r
+       __abort:\r
+#endif\r
+\r
+;=========================================================================================\r
+; 7.15  Return from main function\r
+;=========================================================================================\r
+end: \r
+        BRA            end  \r
+        .end            __start\r
diff --git a/changes_to_HWM.txt b/changes_to_HWM.txt
new file mode 100644 (file)
index 0000000..e33bd33
--- /dev/null
@@ -0,0 +1,23 @@
+\r
+\r
+Differences to the manual\r
+-------------------------\r
+\r
+\r
+# Port Data Register\r
+  - Bitnames in headerfiles are D7 to D0\r
+\r
+# ROM Select Register\r
+  - ROMS, Bitnames in headerfile are D00 to D15\r
+\r
+# CAN IFx Data A and Data B Registers IFxDTA_SWPyz\r
+  - IFx Data A and Data B Registers with Little endian order are named IFxDTA_SWPyz\r
+    for example CAN 0\r
+        Hardware Manual: Address: 0x00C030H; Register Name: IF1DTA20\r
+        Header File    : Address: 0x00C030H; Register Name: IF1DTA_SWP20 \r
+\r
+# ADC Status Register, Timing Register, Enable Register and Data Register:\r
+       - Status Register: ADCS (16Bit access) in HWM only 2 8Bit types ADCS0 and ADCS1\r
+       - Data Register: ADCR (16Bit access) in HWM only 2 8Bit types ADCR1 and ADCR0\r
+       - Timing Register: ADCT (16Bit access) in HWM only 2 8Bit types ADCT1 and ADCT0\r
+       - Enable Register: ADER (32Bit access) in HWM only 2 16Bit types ADERL and ADERH
\ No newline at end of file
diff --git a/cscope.out b/cscope.out
new file mode 100644 (file)
index 0000000..901aebf
--- /dev/null
@@ -0,0 +1,114105 @@
+cscope 15 /media/bigdata/Documents/uni/projekt_flexray/flashorn/91460_flash_programming_demo_mb91465k-v10/SRC               0000505034
+       @Flash.c
+
+8 \ 2
+       ~"FÏsh.h
+"
+
+9 \ 2
+       ~"mb91465k.h
+"
+
+11 \18\1d\12
+       gIFÏg
+;
+
+13 #´agm¨
+£ùiÚ
+CODE
+=
+IRAM
+,
\8c
+=CODE
+
+16 \1e
+       $FLASH_P»·»Wr\99eH®fWÜdMode
+()
+
+20 #´agm¨
+asm
+
+
+21 
+ST
+RP
+,@-
+R15
+
+
+22 
+       `STM0
+ (
+R4
+,
+R5
+)
+
+23 
+       `STM1
+ (
+R12
+)
+
+24 
+LDI
+ #0
+x01
+,
+R4
+ ; 
+S\91
+FLASH
+to
+ 16B
+\99
+»ad
+/
+wr\99e
+Mode
+
+
+25 
+LDI
+ #0
+x04
+,
+R5
+ ; 
+Go
+ 4 
+times
+through
+d\96ay
+       `loÝ
+ (64
+MHz
+CLKB
+)
+
+26 
+LDI
+ #0
+xBF60
+,
+R12
+
+
+27 
+CALL
+ @
+R12
+
+
+28 
+       `LDM1
+ (
+R12
+)
+
+29 
+       `LDM0
+ (
+R4
+,
+R5
+)
+
+30 
+LD
+ @
+R15
++,
+RP
+
+
+31 #´agm¨
+\92dasm
+
+
+36 
+FMWT_ATD
+ = 1;
+
+37 
+FMWT_WEXH
+ = 0;
+
+38 
+FMWT_WTC
+ = 8;
+
+39 
+       }
+}
+
+41 \1e
+       $FLASH_P»·»R\97dMode
+()
+
+45 #´agm¨
+asm
+
+
+46 
+ST
+RP
+,@-
+R15
+
+
+47 
+       `STM0
+ (
+R4
+,
+R5
+)
+
+48 
+       `STM1
+ (
+R12
+)
+
+49 
+LDI
+ #0
+x00
+,
+R4
+ ; 
+S\91
+FLASH
+to
+ 32B
+\99
+»ad
+/
+wr\99e
+Mode
+
+
+50 
+LDI
+ #0
+x04
+,
+R5
+ ; 
+Go
+ 4 
+times
+through
+d\96ay
+       `loÝ
+ (64
+MHz
+CLKB
+)
+
+51 
+LDI
+ #0
+xBF60
+,
+R12
+
+
+52 
+CALL
+ @
+R12
+
+
+53 
+       `LDM1
+ (
+R12
+)
+
+54 
+       `LDM0
+ (
+R4
+,
+R5
+)
+
+55 
+LD
+ @
+R15
++,
+RP
+
+
+56 #´agm¨
+\92dasm
+
+
+61 
+FMWT_ATD
+ = 1;
+
+62 
+FMWT_EQ
+ = 3;
+
+63 
+FMWT_WTC
+ = 4;
+
+64 
+       }
+}
+
+67 \1d\ 5
+       $FLASH_SeùÜE¿£
+(\1d\12
+£ÿdr
+)
+
+69 \1d\ 5
+æag
+ = 0;
+
+70 vÞ©\9e\90\1d\12
+v®ue
+ = 0;
+
+73 
+IFÏg
+ = 
+       `FLASH_SaveDi§bËIÁ\94ru±FÏg
+();
+
+76 
+       `FLASH_P»·»Wr\99eH®fWÜdMode
+();
+
+78 
+£ÿdr
+ |= 0x0003;
+
+81 *
+h£q_1
+ = 0x00AA;
+
+82 *
+h£q_2
+ = 0x0055;
+
+83 *
+h£q_1
+ = 0x0080;
+
+84 *
+h£q_1
+ = 0x00AA;
+
+85 *
+h£q_2
+ = 0x0055;
+
+86 *(\1d\16\12*)
+£ÿdr
+ = 0x0030;
+
+89 \1f !Ð*(\1d\16\12*)
+£ÿdr
+ & 
+SETIMR
+ ) )
+
+92 
+HWWD_CL
+ = 0;
+
+95 ifÐ
+       `FLASH_CheckP\92d\9agIÁ\94ru±
+() )
+
+98 
+       `FLASH_Su¥\92dSeùÜE¿£
+(
+£ÿdr
+);
+
+101 
+       `FLASH_P»·»R\97dMode
+();
+
+104 
+       `FLASH_Re¡ÜeIÁ\94ru±FÏg
+(
+IFÏg
+);
+
+107 \1f 
+       `FLASH_CheckP\92d\9agIÁ\94ru±
+(èè
+HWWD_CL
+ = 0;
+
+110 
+IFÏg
+ = 
+       `FLASH_SaveDi§bËIÁ\94ru±FÏg
+();
+
+113 
+       `FLASH_P»·»Wr\99eH®fWÜdMode
+();
+
+116 
+       `FLASH_ResumeSeùÜE¿£
+(
+£ÿdr
+);
+
+121 \1f 
+æag
+ == 0 )
+
+124 
+HWWD_CL
+ = 0;
+
+127 ifÐ
+       `FLASH_CheckP\92d\9agIÁ\94ru±
+() )
+
+130 
+       `FLASH_Su¥\92dSeùÜE¿£
+(
+£ÿdr
+);
+
+133 
+       `FLASH_P»·»R\97dMode
+();
+
+136 
+       `FLASH_Re¡ÜeIÁ\94ru±FÏg
+(
+IFÏg
+);
+
+139 \1f 
+       `FLASH_CheckP\92d\9agIÁ\94ru±
+(èè
+HWWD_CL
+ = 0;
+
+142 
+IFÏg
+ = 
+       `FLASH_SaveDi§bËIÁ\94ru±FÏg
+();
+
+145 
+       `FLASH_P»·»Wr\99eH®fWÜdMode
+();
+
+148 
+       `FLASH_ResumeSeùÜE¿£
+(
+£ÿdr
+);
+
+153 ifÐÐ*(\1d\16\12*)
+£ÿdr
+ & 
+DPOLL
+ ) )
+
+155 
+æag
+ = 1;
+
+157 ifÐÐ*(\1d\16\12*)
+£ÿdr
+ & 
+TLOVER
+ ) )
+
+159 ifÐÐ*(\1d\16\12*)
+£ÿdr
+ & 
+DPOLL
+ ) )
+
+161 
+æag
+ = 1;
+
+166 
+       `FLASH_R\97dRe£t
+();
+
+168 
+æag
+ = 2;
+
+175 
+       `FLASH_Re¡ÜeIÁ\94ru±FÏg
+(
+IFÏg
+);
+
+178 
+       `FLASH_P»·»R\97dMode
+();
+
+180 \15 
+æag
+;
+
+181 
+       }
+}
+
+183 \1d\ 5
+       $FLASH_SeùÜBÏnkCheck
+(\1d\12
+£ÿddr
+, \1d\12
+size
+)
+
+185 \1d\12
+couÁ
+;
+
+186 \1d\ 5
+em±y_æag
+ = 0;
+
+187 \1d\12
+addr
+ = 
+£ÿddr
+;
+
+190 
+FMCS_FIXE
+ = 0;
+
+192 \ f
+couÁ
+ = 0; couÁ < 
+size
+; count ++)
+
+195 
+HWWD_CL
+ = 0;
+
+196 ifÐ*(\1d\12*)
+addr
+ !ð0xFFFFFFFF ) 
+em±y_æag
+ = 1;
+
+197 
+addr
+ += 4;
+
+201 
+FMCS_FIXE
+ = 1;
+
+203 ifÐ
+em±y_æag
+ != 0 )
+
+209 
+       }
+}
+
+211 \1d\ 5
+       $FLASH_Wr\99eH®fWÜd
+(\1d\12
+adr
+, \1d\16\12
+d©a
+)
+
+213 \1d\ 5
+æag
+ = 0;
+
+216 
+IFÏg
+ = 
+       `FLASH_SaveDi§bËIÁ\94ru±FÏg
+();
+
+219 
+       `FLASH_P»·»Wr\99eH®fWÜdMode
+();
+
+222 *
+h£q_1
+ = 0x00AA;
+
+223 *
+h£q_2
+ = 0x0055;
+
+224 *
+h£q_1
+ = 0x00A0;
+
+225 *((vÞ©\9e\90\1d\16\12*)
+adr
+èð
+d©a
+;
+
+228 \1f 
+æag
+ == 0 )
+
+231 
+HWWD_CL
+ = 0;
+
+233 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
+adr
+ & 
+DPOLL
+ ) =ð(
+d©a
+ & DPOLL) )
+
+235 
+æag
+ = 1;
+
+237 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
+adr
+ & 
+TLOVER
+ ) == TLOVER )
+
+239 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
+adr
+ & 
+DPOLL
+ ) =ð(
+d©a
+ & DPOLL) )
+
+241 
+æag
+ = 1;
+
+246 *
+h£q_1
+ = 0x00F0;
+
+248 
+æag
+ = 2;
+
+254 
+       `FLASH_P»·»R\97dMode
+();
+
+257 
+       `FLASH_Re¡ÜeIÁ\94ru±FÏg
+(
+IFÏg
+);
+
+259 \15 
+æag
+;
+
+260 
+       }
+}
+
+263 \1d\ 5
+       $FLASH_R\97dRe£t
+()
+
+265 *
+h£q_1
+ = 0x00F0;
+
+268 
+       }
+}
+
+270 #´agm¨
+asm
+
+
+271 
+       g_FLASH_SaveDi§bËIÁ\94ru±FÏg
+:
+
+272 
+       $STM0
+ (
+R0
+)
+
+273 
+MOV
+PS
+,
+R4
+
+
+274 
+LDI
+ #0
+x00000010
+,
+R0
+
+
+275 
+AND
+R0
+,
+R4
+ ; 
+StÜe
+Orig\9a®
+FÏg
+
+
+276 
+ANDCCR
+ #0
+xFFFFFFEF
+ ; 
+Cˬ
+IÁ\94ru±
+FÏg
+
+
+277 
+       $LDM0
+ (
+R0
+)
+
+278 
+RET
+
+
+279 #´agm¨
+\92dasm
+
+
+282 #´agm¨
+asm
+
+
+283 
+_FLASH_Re¡ÜeIÁ\94ru±FÏg
+:
+
+284 
+       $STM0
+ (
+R0
+)
+
+285 
+MOV
+PS
+,
+R0
+ ; 
+G\91
+cu¼\92t
+ PS
+
+286 
+OR
+R4
+,
+R0
+ ; 
+S\91
+FÏg
+as
+§ved
+
+
+287 
+MOV
+R0
+,
+PS
+ ; 
+Wr\99e
+back
+ PS
+
+288 
+       $LDM0
+ (
+R0
+)
+
+289 
+RET
+
+
+290 #´agm¨
+\92dasm
+
+
+293 \1d\ 5
+       $FLASH_Su¥\92dSeùÜE¿£
+(\1d\12
+£ÿddr
+)
+
+296 *(vÞ©\9e\90\1d\16\12*)
+£ÿddr
+ = 0x00B0;
+
+299 \1f!(*(\1d\16\12*)
+£ÿddr
+ & 
+DPOLL
+è&& (*(\1d\16\12*)£ÿdd¸ & 
+SETIMR
+))
+
+301 
+HWWD_CL
+=0;
+
+305 
+       }
+}
+
+307 \1d\ 5
+       $FLASH_ResumeSeùÜE¿£
+(\1d\12
+£ÿddr
+)
+
+310 *(vÞ©\9e\90\1d\16\12*)
+£ÿddr
+ = 0x0030;
+
+313 \1f(*(\1d\16\12*)
+£ÿddr
+ & 
+DPOLL
+è&& !(*(\1d\16\12*)£ÿdd¸ & 
+SETIMR
+))
+
+315 
+HWWD_CL
+=0;
+
+319 
+       }
+}
+
+321 \1d\ 5
+       $FLASH_CheckP\92d\9agIÁ\94ru±
+()
+
+324 if(
+TMCSR0_UF
+) \15 1;
+
+328 
+       }
+}
+
+       @Flash.h
+
+8 #iâdeà
+__FLASH_H__
+
+
+9 \ 1
+       #__FLASH_H__
+
+
+       )
+
+11 \ 2
+       ~"MB91465K.H
+"
+
+13 \ 1
+       #h£q_1
+ ((vÞ©\9e\90\1d\16\12*)0x000A1557)
+
+       )
+
+14 \ 1
+       #h£q_2
+ ((vÞ©\9e\90\1d\16\12*)0x000A0AAF)
+
+       )
+
+17 \ 1
+       #DPOLL
+ 0x0080
+
+       )
+
+18 \ 1
+       #TLOVER
+ 0x0020
+
+       )
+
+19 \ 1
+       #SETIMR
+ 0x0008
+
+       )
+
+21 \1e
+FLASH_P»·»Wr\99eH®fWÜdMode
+();
+
+22 \1e
+FLASH_P»·»R\97dMode
+();
+
+23 \1d\ 5
+FLASH_Wr\99eH®fWÜd
+(\1d\12
+adr
+, \1d\16\12
+d©a
+);
+
+24 \1d\ 5
+FLASH_SeùÜE¿£
+(\1d\12
+£c_adr
+);
+
+25 \1d\ 5
+FLASH_Ch\9dE¿£
+(\1e);
+
+26 \1d\ 5
+FLASH_SeùÜBÏnkCheck
+(\1d\12
+£ÿddr
+, \1d\12
+size
+);
+
+27 \1d\ 5
+FLASH_R\97dRe£t
+(\1e);
+
+28 \1d\ 5
+FLASH_Su¥\92dSeùÜE¿£
+(\1d\12
+£ÿddr
+);
+
+29 \1d\ 5
+FLASH_ResumeSeùÜE¿£
+(\1d\12
+£ÿddr
+);
+
+30 \1d\12
+FLASH_SaveDi§bËIÁ\94ru±FÏg
+(\1e);
+
+31 \1e
+FLASH_Re¡ÜeIÁ\94ru±FÏg
+(\1d\12
+æag
+);
+
+32 \1d\ 5
+FLASH_CheckP\92d\9agIÁ\94ru±
+(\1e);
+
+       @MAIN.c
+
+15 \ 2
+       ~"mb91465k.h
+"
+
+16 \ 2
+       ~"veùÜs.h
+"
+
+17 \ 2
+       ~"RLT.h
+"
+
+18 \ 2
+       ~"FÏsh.h
+"
+
+39 \1e
+       $ma\9a
+(\1e)
+
+41 \1d\ 5
+\94
+ = 0;
+
+42 \1d\ 5
+glob®_\94
+ = 0;
+
+43 \1d\12
+i
+;
+
+46 
+CSCFG_MONCKI
+ = 1;
+
+47 
+CMCFG
+ = 0x0D;
+
+49 
+       `__EI
+();
+
+50 
+       `__£t_\9e
+(31);
+
+51 
+       `In\99IrqLev\96s
+();
+
+53 
+PORTEN
+ = 0x3;
+
+58 
+DDR27
+ = 0xFF;
+
+59 
+PDR27
+ = 0x00;
+
+62 
+       `RLT_In\99\9flizeTim\94
+(0, 
+RLT_RUMMODE_RELOAD
+, 
+RLT_CLOCKMODE_DIV32
+, 
+RLT_TRIGGER_SOFTWARE
+, 
+RLT_OUTOUTMODE_HIGHLEVEL
+);
+
+63 
+       `RLT_S\91R\96ßdV®ue
+(0,0x1388);
+
+64 
+       `RLT_EÇbËIÁ\94ru±
+(0);
+
+65 
+       `RLT_Trigg\94Tim\94
+(0);
+
+68 
+       `In\99U¬t4
+();
+
+71 
+       `Puts4
+(" \n\n");
+
+72 
+       `Puts4
+("\n\n********** Welcome\81o FUJITSU FLASH Programming Demo **********\n");
+
+75 
+       `Puts4
+("Blank Check of FLASH Sector\87t 0xA0000 ... ");
+
+76 
+\94
+ = 
+       `FLASH_SeùÜBÏnkCheck
+(0xA0000, 0x4000);
+
+77 ifÐ
+\94
+ == 1 )
+
+79 
+       `Puts4
+("done.\n");
+
+83 
+       `Puts4
+("failed.\n");
+
+87 
+i
+=0;
+
+88 
+       `Puts4
+("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
+
+89 \1f
+i
+ < 0x20)
+
+91 
+       `Puts4
+("0x"); 
+       `Puthex4
+Ð*(\1d\ 5*)(0xA0000 + 
+i
+), 2); Puts4(" ");
+
+92 
+i
+++;
+
+93 ifÐ(
+i
+ % 0x10è=ð0 ) 
+       `Puts4
+("\n");
+
+95 
+       `Puts4
+("\n");
+
+98 
+       `Puts4
+("Sector Erase of 0xA0000 ... ");
+
+99 
+\94
+ = 
+       `FLASH_SeùÜE¿£
+(0xA0000);
+
+100 
+\94
+ = 
+       `FLASH_SeùÜE¿£
+(0xA0004);
+
+101 ifÐ
+\94
+ == 1 )
+
+103 
+       `Puts4
+("done.\n");
+
+107 
+glob®_\94
+ = 1;
+
+108 
+       `Puts4
+("failed.\n");
+
+112 
+       `Puts4
+("Blank Check of FLASH Sector\87t 0xA0000 ... ");
+
+113 
+\94
+ = 
+       `FLASH_SeùÜBÏnkCheck
+(0xA0000, 0x4000);
+
+114 ifÐ
+\94
+ == 1 )
+
+116 
+       `Puts4
+("done.\n");
+
+120 
+glob®_\94
+ = 1;
+
+121 
+       `Puts4
+("failed.\n");
+
+125 
+i
+=0;
+
+126 
+       `Puts4
+("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
+
+127 \1f
+i
+ < 0x20)
+
+129 
+       `Puts4
+("0x"); 
+       `Puthex4
+Ð*(\1d\ 5*)(0xA0000 + 
+i
+), 2); Puts4(" ");
+
+130 
+i
+++;
+
+131 ifÐ(
+i
+ % 0x10è=ð0 ) 
+       `Puts4
+("\n");
+
+133 
+       `Puts4
+("\n");
+
+136 
+       `Puts4
+("Write 0x55AA\81o 0xA0002 ... ");
+
+137 
+\94
+ = 
+       `FLASH_Wr\99eH®fWÜd
+(0xA0002,0x55AA);
+
+138 ifÐ
+\94
+ == 1 )
+
+140 
+       `Puts4
+("done.\n");
+
+144 
+glob®_\94
+ = 1;
+
+145 
+       `Puts4
+("failed.\n");
+
+149 
+       `Puts4
+("Write 0x33CC\81o 0xA0004 ... ");
+
+150 
+\94
+ = 
+       `FLASH_Wr\99eH®fWÜd
+(0xA0004,0x33CC);
+
+151 ifÐ
+\94
+ == 1 )
+
+153 
+       `Puts4
+("done.\n");
+
+157 
+glob®_\94
+ = 1;
+
+158 
+       `Puts4
+("failed.\n");
+
+162 
+i
+=0;
+
+163 
+       `Puts4
+("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
+
+164 \1f
+i
+ < 0x20)
+
+166 
+       `Puts4
+("0x"); 
+       `Puthex4
+Ð*(\1d\ 5*)(0xA0000 + 
+i
+), 2); Puts4(" ");
+
+167 
+i
+++;
+
+168 ifÐ(
+i
+ % 0x10è=ð0 ) 
+       `Puts4
+("\n");
+
+170 
+       `Puts4
+("\n");
+
+174 ifÐ
+glob®_\94
+ != 0 )
+
+176 
+       `Puts4
+("\n********* FLASH Programming Demo failed **********\n");
+
+180 
+       `Puts4
+("\n********* FLASH Programming Demo done **********\n");
+
+186 
+HWWD_CL
+ = 0;
+
+195 
+       }
+}
+
+       @RLT.c
+
+8 \ 2
+       ~"RLT.h
+"
+
+10 \1e
+       $RLT_In\99\9flizeTim\94
+(\1d\ 5
+chªÃl
+, \1d\ 5
+runmode
+, \1d\ 5
+þockmode
+, \1d\ 5
+\8cigg\94mode
+, \1d\ 5
+ou\8dutmode
+)
+
+12 \1d\16
+£tv®ue
+ = 0x00;
+
+15 
+£tv®ue
+ |ðÐ(
+þockmode
+ << 10è| (
+\8cigg\94mode
+ << 7è| ((
+ou\8dutmode
+ & 0x01è<< 5è| ((
+runmode
+ & 0x01) << 4) | 0x02 );
+
+18 \1a
+chªÃl
+)
+
+22 
+TMCSR0
+ = 
+£tv®ue
+;
+
+27 
+TMCSR1
+ = 
+£tv®ue
+;
+
+32 
+TMCSR2
+ = 
+£tv®ue
+;
+
+37 
+TMCSR3
+ = 
+£tv®ue
+;
+
+42 
+TMCSR4
+ = 
+£tv®ue
+;
+
+47 
+TMCSR5
+ = 
+£tv®ue
+;
+
+52 
+TMCSR6
+ = 
+£tv®ue
+;
+
+57 
+TMCSR7
+ = 
+£tv®ue
+;
+
+61 
+       }
+}
+
+63 \1e
+       $RLT_S\91R\96ßdV®ue
+(\1d\ 5
+chªÃl
+, \1d\16\12
+v®ue
+)
+
+65 \1a
+chªÃl
+)
+
+69 
+TMRLR0
+ = 
+v®ue
+;
+
+74 
+TMRLR1
+ = 
+v®ue
+;
+
+79 
+TMRLR2
+ = 
+v®ue
+;
+
+84 
+TMRLR3
+ = 
+v®ue
+;
+
+89 
+TMRLR4
+ = 
+v®ue
+;
+
+94 
+TMRLR5
+ = 
+v®ue
+;
+
+99 
+TMRLR6
+ = 
+v®ue
+;
+
+104 
+TMRLR7
+ = 
+v®ue
+;
+
+108 
+       }
+}
+
+110 \1e
+       $RLT_Trigg\94Tim\94
+(\1d\ 5
+chªÃl
+)
+
+112 \1a
+chªÃl
+)
+
+116 
+TMCSR0_TRG
+ = 1;
+
+121 
+TMCSR1_TRG
+ = 1;
+
+126 
+TMCSR2_TRG
+ = 1;
+
+131 
+TMCSR3_TRG
+ = 1;
+
+136 
+TMCSR4_TRG
+ = 1;
+
+141 
+TMCSR5_TRG
+ = 1;
+
+146 
+TMCSR6_TRG
+ = 1;
+
+151 
+TMCSR7_TRG
+ = 1;
+
+155 
+       }
+}
+
+157 \1e
+       $RLT_EÇbËIÁ\94ru±
+(\1d\ 5
+chªÃl
+)
+
+159 \1a
+chªÃl
+)
+
+164 
+TMCSR0_UF
+ = 0;
+
+167 
+TMCSR0_INTE
+ = 1;
+
+173 
+TMCSR1_UF
+ = 0;
+
+176 
+TMCSR1_INTE
+ = 1;
+
+182 
+TMCSR2_UF
+ = 0;
+
+185 
+TMCSR2_INTE
+ = 1;
+
+191 
+TMCSR3_UF
+ = 0;
+
+194 
+TMCSR3_INTE
+ = 1;
+
+200 
+TMCSR4_UF
+ = 0;
+
+203 
+TMCSR4_INTE
+ = 1;
+
+209 
+TMCSR5_UF
+ = 0;
+
+212 
+TMCSR5_INTE
+ = 1;
+
+218 
+TMCSR6_UF
+ = 0;
+
+221 
+TMCSR6_INTE
+ = 1;
+
+227 
+TMCSR7_UF
+ = 0;
+
+230 
+TMCSR7_INTE
+ = 1;
+
+234 
+       }
+}
+
+236 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl0_ISR
+()
+
+239 
+TMCSR0_UF
+ = 0;
+
+242 
+PDR27
+++;
+
+243 
+       }
+}
+
+245 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl1_ISR
+()
+
+248 
+TMCSR1_UF
+ = 0;
+
+251 
+       }
+}
+
+253 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl2_ISR
+()
+
+256 
+TMCSR2_UF
+ = 0;
+
+259 
+       }
+}
+
+261 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl3_ISR
+()
+
+264 
+TMCSR3_UF
+ = 0;
+
+267 
+       }
+}
+
+269 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl4_ISR
+()
+
+272 
+TMCSR4_UF
+ = 0;
+
+275 
+       }
+}
+
+277 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl5_ISR
+()
+
+280 
+TMCSR5_UF
+ = 0;
+
+283 
+       }
+}
+
+285 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl6_ISR
+()
+
+288 
+TMCSR6_UF
+ = 0;
+
+291 
+       }
+}
+
+293 
+__\9a\8b¼u±
\1e
+       $RLT_ChªÃl7_ISR
+()
+
+296 
+TMCSR7_UF
+ = 0;
+
+299 
+       }
+}
+
+       @RLT.h
+
+8 #iâdeà
+__RLT_H__
+
+
+9 \ 1
+       #__RLT_H__
+
+
+       )
+
+11 \ 2
+       ~"MB91465K.h
+"
+
+13 \ 1
+       #RLT_RUNMODE_ONESHOT
+ 0x00
+
+       )
+
+14 \ 1
+       #RLT_RUMMODE_RELOAD
+ 0x01
+
+       )
+
+16 \ 1
+       #RLT_CLOCKMODE_DIV2
+ 0x00
+
+       )
+
+17 \ 1
+       #RLT_CLOCKMODE_DIV8
+ 0x01
+
+       )
+
+18 \ 1
+       #RLT_CLOCKMODE_DIV32
+ 0x02
+
+       )
+
+19 \ 1
+       #RLT_CLOCKMODE_EXT
+ 0x03
+
+       )
+
+20 \ 1
+       #RLT_CLOCKMODE_DIV64
+ 0x05
+
+       )
+
+21 \ 1
+       #RLT_CLOCKMODE_DIV128
+ 0x06
+
+       )
+
+23 \ 1
+       #RLT_TRIGGER_SOFTWARE
+ 0x00
+
+       )
+
+24 \ 1
+       #RLT_TRIGGER_EXT_FALLINGEDGE
+ 0x01
+
+       )
+
+25 \ 1
+       #RLT_TRIGGER_EXT_RISINGEDGE
+ 0x02
+
+       )
+
+26 \ 1
+       #RLT_TRIGGER_BOTHEDGES
+ 0x03
+
+       )
+
+28 \ 1
+       #RLT_OUTOUTMODE_HIGHLEVEL
+ 0x00
+
+       )
+
+29 \ 1
+       #RLT_OUTPUTMODE_LOWLEVEL
+ 0x01
+
+       )
+
+31 \1e
+RLT_In\99\9flizeTim\94
+(\1d\ 5
+chªÃl
+, \1d\ 5
+runmode
+, \1d\ 5
+þockmode
+, \1d\ 5
+\8cigg\94mode
+, \1d\ 5
+ou\8dutmode
+);
+
+32 \1e
+RLT_S\91R\96ßdV®ue
+(\1d\ 5
+chªÃl
+, \1d\16\12
+v®ue
+);
+
+33 \1e
+RLT_EÇbËIÁ\94ru±
+(\1d\ 5
+chªÃl
+);
+
+34 \1e
+RLT_Trigg\94Tim\94
+(\1d\ 5
+chªÃl
+);
+
+36 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl0_ISR
+();
+
+37 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl1_ISR
+();
+
+38 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl2_ISR
+();
+
+39 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl3_ISR
+();
+
+40 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl4_ISR
+();
+
+41 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl5_ISR
+();
+
+42 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl6_ISR
+();
+
+43 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl7_ISR
+();
+
+       @mb91465k.h
+
+32 #ifdeà 
+__IO_DEFINE
+
+
+33 \ 1
+       #__IO_EXTERN
+
+
+       )
+
+35 \ 1
+       #__IO_EXTERN
\rvÞ©\9ee
+
+       )
+
+37 #ifdeà
+__IO_DEFINE
+
+
+38 #´agm¨
+asm
+
+
+39 .
+GLOBAL
+_pdr14
+, 
+_pdr15
+, 
+_pdr16
+, 
+_pdr17
+, 
+_pdr18
+, 
+_pdr19
+
+
+40 .
+GLOBAL
+_pdr20
+, 
+_pdr21
+, 
+_pdr22
+, 
+_pdr24
+, 
+_pdr26
+, 
+_pdr27
+
+
+41 .
+GLOBAL
+_pdr28
+, 
+_pdr29
+, 
+_e\9cr0
+, 
+_\92\9c0
+, 
+_\96vr0
+, 
+_e\9cr1
+
+
+42 .
+GLOBAL
+_\92\9c1
+, 
+_\96vr1
+, 
+_diü
+, 
+_hrþ
+, 
+_rbsync
+, 
+_sü00
+
+
+43 .
+GLOBAL
+_smr00
+, 
+_s¤00
+, 
+_rdr00
+, 
+_tdr00
+, 
+_esü00
+, 
+_ecü00
+
+
+44 .
+GLOBAL
+_sü01
+, 
+_smr01
+, 
+_s¤01
+, 
+_rdr01
+, 
+_tdr01
+, 
+_esü01
+
+
+45 .
+GLOBAL
+_ecü01
+, 
+_sü02
+, 
+_smr02
+, 
+_s¤02
+, 
+_rdr02
+, 
+_tdr02
+
+
+46 .
+GLOBAL
+_esü02
+, 
+_ecü02
+, 
+_sü03
+, 
+_smr03
+, 
+_s¤03
+, 
+_rdr03
+
+
+47 .
+GLOBAL
+_tdr03
+, 
+_esü03
+, 
+_ecü03
+, 
+_sü04
+, 
+_smr04
+, 
+_s¤04
+
+
+48 .
+GLOBAL
+_rdr04
+, 
+_tdr04
+, 
+_esü04
+, 
+_ecü04
+, 
+_f¤04
+, 
+_fü04
+
+
+49 .
+GLOBAL
+_bgr00
+, 
+_bgr100
+, 
+_bgr000
+, 
+_bgr01
+, 
+_bgr101
+, 
+_bgr001
+
+
+50 .
+GLOBAL
+_bgr02
+, 
+_bgr102
+, 
+_bgr002
+, 
+_bgr03
+, 
+_bgr103
+, 
+_bgr003
+
+
+51 .
+GLOBAL
+_bgr04
+, 
+_bgr104
+, 
+_bgr004
+, 
+_ibü0
+, 
+_ib¤0
+, 
+_\99ba0
+
+
+52 .
+GLOBAL
+_\99bah0
+, 
+_\99b®0
+, 
+_\99mk0
+, 
+_\99mkh0
+, 
+_\99mkl0
+, 
+_ismk0
+
+
+53 .
+GLOBAL
+_isba0
+, 
+_id¬0
+, 
+_icü0
+, 
+_gú10
+, 
+_gú20
+, 
+_gú11
+
+
+54 .
+GLOBAL
+_gú21
+, 
+_gú12
+, 
+_gú22
+, 
+_±mr00
+, 
+_pc¤00
+, 
+_pdut00
+
+
+55 .
+GLOBAL
+_pú00
+, 
+_púh00
+, 
+_púl00
+, 
+_±mr01
+, 
+_pc¤01
+, 
+_pdut01
+
+
+56 .
+GLOBAL
+_pú01
+, 
+_púh01
+, 
+_púl01
+, 
+_±mr02
+, 
+_pc¤02
+, 
+_pdut02
+
+
+57 .
+GLOBAL
+_pú02
+, 
+_púh02
+, 
+_púl02
+, 
+_±mr03
+, 
+_pc¤03
+, 
+_pdut03
+
+
+58 .
+GLOBAL
+_pú03
+, 
+_púh03
+, 
+_púl03
+, 
+_±mr04
+, 
+_pc¤04
+, 
+_pdut04
+
+
+59 .
+GLOBAL
+_pú04
+, 
+_púh04
+, 
+_púl04
+, 
+_±mr05
+, 
+_pc¤05
+, 
+_pdut05
+
+
+60 .
+GLOBAL
+_pú05
+, 
+_púh05
+, 
+_púl05
+, 
+_±mr06
+, 
+_pc¤06
+, 
+_pdut06
+
+
+61 .
+GLOBAL
+_pú06
+, 
+_púh06
+, 
+_púl06
+, 
+_±mr07
+, 
+_pc¤07
+, 
+_pdut07
+
+
+62 .
+GLOBAL
+_pú07
+, 
+_púh07
+, 
+_púl07
+, 
+_±mr08
+, 
+_pc¤08
+, 
+_pdut08
+
+
+63 .
+GLOBAL
+_pú08
+, 
+_púh08
+, 
+_púl08
+, 
+_±mr09
+, 
+_pc¤09
+, 
+_pdut09
+
+
+64 .
+GLOBAL
+_pú09
+, 
+_púh09
+, 
+_púl09
+, 
+_±mr10
+, 
+_pc¤10
+, 
+_pdut10
+
+
+65 .
+GLOBAL
+_pú10
+, 
+_púh10
+, 
+_púl10
+, 
+_±mr11
+, 
+_pc¤11
+, 
+_pdut11
+
+
+66 .
+GLOBAL
+_pú11
+, 
+_púh11
+, 
+_púl11
+, 
+_ics01
+, 
+_ics23
+, 
+_\9dý0
+
+
+67 .
+GLOBAL
+_\9dý1
+, 
+_\9dý2
+, 
+_\9dý3
+, 
+_ocs01
+, 
+_ocs23
+, 
+_ocý0
+
+
+68 .
+GLOBAL
+_ocý1
+, 
+_ocý2
+, 
+_ocý3
+, 
+_ad\94h
+, 
+_ad\94l
+, 
+_ad\94
+
+
+69 .
+GLOBAL
+_adcs1
+, 
+_adcs0
+, 
+_adcs
+, 
+_adü1
+, 
+_adü0
+, 
+_adü
+
+
+70 .
+GLOBAL
+_adù1
+, 
+_adù0
+, 
+_adù
+, 
+_adsch
+, 
+_adech
+, 
+_tm¾r0
+
+
+71 .
+GLOBAL
+_tmr0
+, 
+_tmc¤0
+, 
+_tmc¤h0
+, 
+_tmc¤l0
+, 
+_tm¾r1
+, 
+_tmr1
+
+
+72 .
+GLOBAL
+_tmc¤1
+, 
+_tmc¤h1
+, 
+_tmc¤l1
+, 
+_tm¾r2
+, 
+_tmr2
+, 
+_tmc¤2
+
+
+73 .
+GLOBAL
+_tmc¤h2
+, 
+_tmc¤l2
+, 
+_tm¾r3
+, 
+_tmr3
+, 
+_tmc¤3
+, 
+_tmc¤h3
+
+
+74 .
+GLOBAL
+_tmc¤l3
+, 
+_tm¾r4
+, 
+_tmr4
+, 
+_tmc¤4
+, 
+_tmc¤h4
+, 
+_tmc¤l4
+
+
+75 .
+GLOBAL
+_tm¾r5
+, 
+_tmr5
+, 
+_tmc¤5
+, 
+_tmc¤h5
+, 
+_tmc¤l5
+, 
+_tm¾r6
+
+
+76 .
+GLOBAL
+_tmr6
+, 
+_tmc¤6
+, 
+_tmc¤h6
+, 
+_tmc¤l6
+, 
+_tm¾r7
+, 
+_tmr7
+
+
+77 .
+GLOBAL
+_tmc¤7
+, 
+_tmc¤h7
+, 
+_tmc¤l7
+, 
+_tcdt0
+, 
+_tccs0
+, 
+_tcdt1
+
+
+78 .
+GLOBAL
+_tccs1
+, 
+_tcdt2
+, 
+_tccs2
+, 
+_tcdt3
+, 
+_tccs3
+, 
+_dmaÿ0
+
+
+79 .
+GLOBAL
+_dmacb0
+, 
+_dmaÿ1
+, 
+_dmacb1
+, 
+_dmaÿ2
+, 
+_dmacb2
+, 
+_dmaÿ3
+
+
+80 .
+GLOBAL
+_dmacb3
+, 
+_dmaÿ4
+, 
+_dmacb4
+, 
+_dmaü
+, 
+_ics45
+, 
+_ics67
+
+
+81 .
+GLOBAL
+_\9dý4
+, 
+_\9dý5
+, 
+_\9dý6
+, 
+_\9dý7
+, 
+_ocs45
+, 
+_ocs67
+
+
+82 .
+GLOBAL
+_ocý4
+, 
+_ocý5
+, 
+_ocý6
+, 
+_ocý7
+, 
+_tcdt4
+, 
+_tccs4
+
+
+83 .
+GLOBAL
+_tcdt5
+, 
+_tccs5
+, 
+_tcdt6
+, 
+_tccs6
+, 
+_tcdt7
+, 
+_tccs7
+
+
+84 .
+GLOBAL
+_roms
+, 
+_bsd0
+, 
+_bsd1
+, 
+_bsdc
+, 
+_b¤r
+, 
+_iü00
+
+
+85 .
+GLOBAL
+_iü01
+, 
+_iü02
+, 
+_iü03
+, 
+_iü04
+, 
+_iü05
+, 
+_iü06
+
+
+86 .
+GLOBAL
+_iü07
+, 
+_iü08
+, 
+_iü09
+, 
+_iü10
+, 
+_iü11
+, 
+_iü12
+
+
+87 .
+GLOBAL
+_iü13
+, 
+_iü14
+, 
+_iü15
+, 
+_iü16
+, 
+_iü17
+, 
+_iü18
+
+
+88 .
+GLOBAL
+_iü19
+, 
+_iü20
+, 
+_iü21
+, 
+_iü22
+, 
+_iü23
+, 
+_iü24
+
+
+89 .
+GLOBAL
+_iü25
+, 
+_iü26
+, 
+_iü27
+, 
+_iü28
+, 
+_iü29
+, 
+_iü30
+
+
+90 .
+GLOBAL
+_iü31
+, 
+_iü32
+, 
+_iü33
+, 
+_iü34
+, 
+_iü35
+, 
+_iü36
+
+
+91 .
+GLOBAL
+_iü37
+, 
+_iü38
+, 
+_iü39
+, 
+_iü40
+, 
+_iü41
+, 
+_iü42
+
+
+92 .
+GLOBAL
+_iü43
+, 
+_iü44
+, 
+_iü45
+, 
+_iü46
+, 
+_iü47
+, 
+_iü48
+
+
+93 .
+GLOBAL
+_iü49
+, 
+_iü50
+, 
+_iü51
+, 
+_iü52
+, 
+_iü53
+, 
+_iü54
+
+
+94 .
+GLOBAL
+_iü55
+, 
+_iü56
+, 
+_iü57
+, 
+_iü58
+, 
+_iü59
+, 
+_iü60
+
+
+95 .
+GLOBAL
+_iü61
+, 
+_iü62
+, 
+_iü63
+, 
+_r¤r
+, 
+_¡ü
+, 
+_tbü
+
+
+96 .
+GLOBAL
+_ùbr
+, 
+_þkr
+, 
+_w´
+, 
+_divr0
+, 
+_divr1
+, 
+_¶ldivm
+
+
+97 .
+GLOBAL
+_¶ldivn
+, 
+_¶ldivg
+, 
+_¶lmulg
+, 
+_¶lù¾
+, 
+_oscc1
+, 
+_oscs1
+
+
+98 .
+GLOBAL
+_oscc2
+, 
+_oscs2
+, 
+_p܋n
+, 
+_wtûr
+, 
+_wtü
+, 
+_wtbr
+
+
+99 .
+GLOBAL
+_wthr
+, 
+_wtmr
+, 
+_wt¤
+, 
+_csv\8c
+, 
+_csvü
+, 
+_cscfg
+
+
+100 .
+GLOBAL
+_cmcfg
+, 
+_cuü
+, 
+_cutd
+, 
+_cu\8c1
+, 
+_cu\8c2
+, 
+_cm´
+
+
+101 .
+GLOBAL
+_cmü
+, 
+_cmt1
+, 
+_cmt2
+, 
+_ÿÅ»
+, 
+_ÿnckd
+, 
+_lv£l
+
+
+102 .
+GLOBAL
+_lvd\91
+, 
+_hwwde
+, 
+_hwwd
+, 
+_osüh
+, 
+_osül
+, 
+_wpüh
+
+
+103 .
+GLOBAL
+_wpül
+, 
+_oscü
+, 
+_»g£l
+, 
+_»gùr
+, 
+_modr
+, 
+_pdrd14
+
+
+104 .
+GLOBAL
+_pdrd15
+, 
+_pdrd16
+, 
+_pdrd17
+, 
+_pdrd18
+, 
+_pdrd19
+, 
+_pdrd20
+
+
+105 .
+GLOBAL
+_pdrd21
+, 
+_pdrd22
+, 
+_pdrd24
+, 
+_pdrd26
+, 
+_pdrd27
+, 
+_pdrd28
+
+
+106 .
+GLOBAL
+_pdrd29
+, 
+_ddr14
+, 
+_ddr15
+, 
+_ddr16
+, 
+_ddr17
+, 
+_ddr18
+
+
+107 .
+GLOBAL
+_ddr19
+, 
+_ddr20
+, 
+_ddr21
+, 
+_ddr22
+, 
+_ddr24
+, 
+_ddr26
+
+
+108 .
+GLOBAL
+_ddr27
+, 
+_ddr28
+, 
+_ddr29
+, 
+_pä14
+, 
+_pä15
+, 
+_pä16
+
+
+109 .
+GLOBAL
+_pä17
+, 
+_pä18
+, 
+_pä19
+, 
+_pä20
+, 
+_pä21
+, 
+_pä22
+
+
+110 .
+GLOBAL
+_pä24
+, 
+_pä26
+, 
+_pä27
+, 
+_pä28
+, 
+_pä29
+, 
+_\95ä14
+
+
+111 .
+GLOBAL
+_\95ä15
+, 
+_\95ä16
+, 
+_\95ä17
+, 
+_\95ä18
+, 
+_\95ä19
+, 
+_\95ä20
+
+
+112 .
+GLOBAL
+_\95ä21
+, 
+_\95ä22
+, 
+_\95ä24
+, 
+_\95ä26
+, 
+_\95ä27
+, 
+_\95ä29
+
+
+113 .
+GLOBAL
+_podr14
+, 
+_podr15
+, 
+_podr16
+, 
+_podr17
+, 
+_podr18
+, 
+_podr19
+
+
+114 .
+GLOBAL
+_podr20
+, 
+_podr21
+, 
+_podr22
+, 
+_podr24
+, 
+_podr26
+, 
+_podr27
+
+
+115 .
+GLOBAL
+_podr28
+, 
+_podr29
+, 
+_p\9er14
+, 
+_p\9er15
+, 
+_p\9er16
+, 
+_p\9er17
+
+
+116 .
+GLOBAL
+_p\9er18
+, 
+_p\9er19
+, 
+_p\9er20
+, 
+_p\9er21
+, 
+_p\9er22
+, 
+_p\9er24
+
+
+117 .
+GLOBAL
+_p\9er26
+, 
+_p\9er27
+, 
+_p\9er28
+, 
+_p\9er29
+, 
+_\95\9er14
+, 
+_\95\9er15
+
+
+118 .
+GLOBAL
+_\95\9er16
+, 
+_\95\9er17
+, 
+_\95\9er18
+, 
+_\95\9er19
+, 
+_\95\9er20
+, 
+_\95\9er21
+
+
+119 .
+GLOBAL
+_\95\9er22
+, 
+_\95\9er24
+, 
+_\95\9er26
+, 
+_\95\9er27
+, 
+_\95\9er28
+, 
+_\95\9er29
+
+
+120 .
+GLOBAL
+_µ\9414
+, 
+_µ\9415
+, 
+_µ\9416
+, 
+_µ\9417
+, 
+_µ\9418
+, 
+_µ\9419
+
+
+121 .
+GLOBAL
+_µ\9420
+, 
+_µ\9421
+, 
+_µ\9422
+, 
+_µ\9424
+, 
+_µ\9426
+, 
+_µ\9427
+
+
+122 .
+GLOBAL
+_µ\9428
+, 
+_µ\9429
+, 
+_µü14
+, 
+_µü15
+, 
+_µü16
+, 
+_µü17
+
+
+123 .
+GLOBAL
+_µü18
+, 
+_µü19
+, 
+_µü20
+, 
+_µü21
+, 
+_µü22
+, 
+_µü24
+
+
+124 .
+GLOBAL
+_µü26
+, 
+_µü27
+, 
+_µü28
+, 
+_µü29
+, 
+_dma§0
+, 
+_dmada0
+
+
+125 .
+GLOBAL
+_dma§1
+, 
+_dmada1
+, 
+_dma§2
+, 
+_dmada2
+, 
+_dma§3
+, 
+_dmada3
+
+
+126 .
+GLOBAL
+_dma§4
+, 
+_dmada4
+, 
+_fmcs
+, 
+_fmü
+, 
+_fchü
+, 
+_fmwt
+
+
+127 .
+GLOBAL
+_fmwt2
+, 
+_fmps
+, 
+_fmac
+, 
+_fcha0
+, 
+_fcha1
+, 
+_fsü0
+
+
+128 .
+GLOBAL
+_fsü1
+, 
+_ù¾r4
+, 
+_¡©r4
+, 
+_\94rút4
+, 
+_b\8c4
+, 
+_\9a\8c4
+
+
+129 .
+GLOBAL
+_\8b¡r4
+, 
+_b½\944
+, 
+_b½e4
+, 
+_if1üeq4
+, 
+_if1cmsk4
+, 
+_if1msk124
+
+
+130 .
+GLOBAL
+_if1msk24
+, 
+_if1msk14
+, 
+_if1¬b124
+, 
+_if1¬b24
+, 
+_if1¬b14
+, 
+_if1mùr4
+
+
+131 .
+GLOBAL
+_if1d\8f124
+, 
+_if1d\8f14
+, 
+_if1d\8f24
+, 
+_if1dtb124
+, 
+_if1dtb14
+, 
+_if1dtb24
+
+
+132 .
+GLOBAL
+_if1d\8f_swp124
+, 
+_if1d\8f_swp24
+, 
+_if1d\8f_swp14
+, 
+_if1dtb_swp124
+, 
+_if1dtb_swp24
+, 
+_if1dtb_swp14
+
+
+133 .
+GLOBAL
+_if2üeq4
+, 
+_if2cmsk4
+, 
+_if2msk124
+, 
+_if2msk24
+, 
+_if2msk14
+, 
+_if2¬b124
+
+
+134 .
+GLOBAL
+_if2¬b24
+, 
+_if2¬b14
+, 
+_if2mùr4
+, 
+_if2d\8f124
+, 
+_if2d\8f14
+, 
+_if2d\8f24
+
+
+135 .
+GLOBAL
+_if2dtb124
+, 
+_if2dtb14
+, 
+_if2dtb24
+, 
+_if2d\8f_swp124
+, 
+_if2d\8f_swp24
+, 
+_if2d\8f_swp14
+
+
+136 .
+GLOBAL
+_if2dtb_swp124
+, 
+_if2dtb_swp24
+, 
+_if2dtb_swp14
+, 
+_\8ceqr124
+, 
+_\8ceqr24
+, 
+_\8ceqr14
+
+
+137 .
+GLOBAL
+_\8ceqr344
+, 
+_Ãwdt124
+, 
+_Ãwdt24
+, 
+_Ãwdt14
+, 
+_\9a\8dnd124
+, 
+_\9a\8dnd24
+
+
+138 .
+GLOBAL
+_\9a\8dnd14
+, 
+_msgv®124
+, 
+_msgv®24
+, 
+_msgv®14
+, 
+_bù¾
+, 
+_b¡©
+
+
+139 .
+GLOBAL
+_b\9fc
+, 
+_bßc
+, 
+_b\9cq
+, 
+_bü0
+, 
+_bü1
+, 
+_bad0
+
+
+140 .
+GLOBAL
+_bad1
+, 
+_bad2
+, 
+_bad3
+, 
+_bad4
+, 
+_bad5
+, 
+_bad6
+
+
+141 .
+GLOBAL
+_bad7
+, 
+_fsv1
+, 
+_bsv1
+, 
+_fsv2
+, 
+_bsv2
+
+
+143 
+_pdr14
+ .
+EQU
+ 0x00000E
+
+144 
+PDR14
+ .
+EQU
+ 0x00000E
+
+145 
+_pdr15
+ .
+EQU
+ 0x00000F
+
+146 
+PDR15
+ .
+EQU
+ 0x00000F
+
+147 
+_pdr16
+ .
+EQU
+ 0x000010
+
+148 
+PDR16
+ .
+EQU
+ 0x000010
+
+149 
+_pdr17
+ .
+EQU
+ 0x000011
+
+150 
+PDR17
+ .
+EQU
+ 0x000011
+
+151 
+_pdr18
+ .
+EQU
+ 0x000012
+
+152 
+PDR18
+ .
+EQU
+ 0x000012
+
+153 
+_pdr19
+ .
+EQU
+ 0x000013
+
+154 
+PDR19
+ .
+EQU
+ 0x000013
+
+155 
+_pdr20
+ .
+EQU
+ 0x000014
+
+156 
+PDR20
+ .
+EQU
+ 0x000014
+
+157 
+_pdr21
+ .
+EQU
+ 0x000015
+
+158 
+PDR21
+ .
+EQU
+ 0x000015
+
+159 
+_pdr22
+ .
+EQU
+ 0x000016
+
+160 
+PDR22
+ .
+EQU
+ 0x000016
+
+161 
+_pdr24
+ .
+EQU
+ 0x000018
+
+162 
+PDR24
+ .
+EQU
+ 0x000018
+
+163 
+_pdr26
+ .
+EQU
+ 0x00001A
+
+164 
+PDR26
+ .
+EQU
+ 0x00001A
+
+165 
+_pdr27
+ .
+EQU
+ 0x00001B
+
+166 
+PDR27
+ .
+EQU
+ 0x00001B
+
+167 
+_pdr28
+ .
+EQU
+ 0x00001C
+
+168 
+PDR28
+ .
+EQU
+ 0x00001C
+
+169 
+_pdr29
+ .
+EQU
+ 0x00001D
+
+170 
+PDR29
+ .
+EQU
+ 0x00001D
+
+171 
+_e\9cr0
+ .
+EQU
+ 0x000030
+
+172 
+EIRR0
+ .
+EQU
+ 0x000030
+
+173 
+_\92\9c0
+ .
+EQU
+ 0x000031
+
+174 
+ENIR0
+ .
+EQU
+ 0x000031
+
+175 
+_\96vr0
+ .
+EQU
+ 0x000032
+
+176 
+ELVR0
+ .
+EQU
+ 0x000032
+
+177 
+_e\9cr1
+ .
+EQU
+ 0x000034
+
+178 
+EIRR1
+ .
+EQU
+ 0x000034
+
+179 
+_\92\9c1
+ .
+EQU
+ 0x000035
+
+180 
+ENIR1
+ .
+EQU
+ 0x000035
+
+181 
+_\96vr1
+ .
+EQU
+ 0x000036
+
+182 
+ELVR1
+ .
+EQU
+ 0x000036
+
+183 
+_diü
+ .
+EQU
+ 0x000038
+
+184 
+DICR
+ .
+EQU
+ 0x000038
+
+185 
+_hrþ
+ .
+EQU
+ 0x000039
+
+186 
+HRCL
+ .
+EQU
+ 0x000039
+
+187 
+_rbsync
+ .
+EQU
+ 0x00003A
+
+188 
+RBSYNC
+ .
+EQU
+ 0x00003A
+
+189 
+_sü00
+ .
+EQU
+ 0x000040
+
+190 
+SCR00
+ .
+EQU
+ 0x000040
+
+191 
+_smr00
+ .
+EQU
+ 0x000041
+
+192 
+SMR00
+ .
+EQU
+ 0x000041
+
+193 
+_s¤00
+ .
+EQU
+ 0x000042
+
+194 
+SSR00
+ .
+EQU
+ 0x000042
+
+195 
+_rdr00
+ .
+EQU
+ 0x000043
+
+196 
+RDR00
+ .
+EQU
+ 0x000043
+
+197 
+_tdr00
+ .
+EQU
+ 0x000043
+
+198 
+TDR00
+ .
+EQU
+ 0x000043
+
+199 
+_esü00
+ .
+EQU
+ 0x000044
+
+200 
+ESCR00
+ .
+EQU
+ 0x000044
+
+201 
+_ecü00
+ .
+EQU
+ 0x000045
+
+202 
+ECCR00
+ .
+EQU
+ 0x000045
+
+203 
+_sü01
+ .
+EQU
+ 0x000048
+
+204 
+SCR01
+ .
+EQU
+ 0x000048
+
+205 
+_smr01
+ .
+EQU
+ 0x000049
+
+206 
+SMR01
+ .
+EQU
+ 0x000049
+
+207 
+_s¤01
+ .
+EQU
+ 0x00004A
+
+208 
+SSR01
+ .
+EQU
+ 0x00004A
+
+209 
+_rdr01
+ .
+EQU
+ 0x00004B
+
+210 
+RDR01
+ .
+EQU
+ 0x00004B
+
+211 
+_tdr01
+ .
+EQU
+ 0x00004B
+
+212 
+TDR01
+ .
+EQU
+ 0x00004B
+
+213 
+_esü01
+ .
+EQU
+ 0x00004C
+
+214 
+ESCR01
+ .
+EQU
+ 0x00004C
+
+215 
+_ecü01
+ .
+EQU
+ 0x00004D
+
+216 
+ECCR01
+ .
+EQU
+ 0x00004D
+
+217 
+_sü02
+ .
+EQU
+ 0x000050
+
+218 
+SCR02
+ .
+EQU
+ 0x000050
+
+219 
+_smr02
+ .
+EQU
+ 0x000051
+
+220 
+SMR02
+ .
+EQU
+ 0x000051
+
+221 
+_s¤02
+ .
+EQU
+ 0x000052
+
+222 
+SSR02
+ .
+EQU
+ 0x000052
+
+223 
+_rdr02
+ .
+EQU
+ 0x000053
+
+224 
+RDR02
+ .
+EQU
+ 0x000053
+
+225 
+_tdr02
+ .
+EQU
+ 0x000053
+
+226 
+TDR02
+ .
+EQU
+ 0x000053
+
+227 
+_esü02
+ .
+EQU
+ 0x000054
+
+228 
+ESCR02
+ .
+EQU
+ 0x000054
+
+229 
+_ecü02
+ .
+EQU
+ 0x000055
+
+230 
+ECCR02
+ .
+EQU
+ 0x000055
+
+231 
+_sü03
+ .
+EQU
+ 0x000058
+
+232 
+SCR03
+ .
+EQU
+ 0x000058
+
+233 
+_smr03
+ .
+EQU
+ 0x000059
+
+234 
+SMR03
+ .
+EQU
+ 0x000059
+
+235 
+_s¤03
+ .
+EQU
+ 0x00005A
+
+236 
+SSR03
+ .
+EQU
+ 0x00005A
+
+237 
+_rdr03
+ .
+EQU
+ 0x00005B
+
+238 
+RDR03
+ .
+EQU
+ 0x00005B
+
+239 
+_tdr03
+ .
+EQU
+ 0x00005B
+
+240 
+TDR03
+ .
+EQU
+ 0x00005B
+
+241 
+_esü03
+ .
+EQU
+ 0x00005C
+
+242 
+ESCR03
+ .
+EQU
+ 0x00005C
+
+243 
+_ecü03
+ .
+EQU
+ 0x00005D
+
+244 
+ECCR03
+ .
+EQU
+ 0x00005D
+
+245 
+_sü04
+ .
+EQU
+ 0x000060
+
+246 
+SCR04
+ .
+EQU
+ 0x000060
+
+247 
+_smr04
+ .
+EQU
+ 0x000061
+
+248 
+SMR04
+ .
+EQU
+ 0x000061
+
+249 
+_s¤04
+ .
+EQU
+ 0x000062
+
+250 
+SSR04
+ .
+EQU
+ 0x000062
+
+251 
+_rdr04
+ .
+EQU
+ 0x000063
+
+252 
+RDR04
+ .
+EQU
+ 0x000063
+
+253 
+_tdr04
+ .
+EQU
+ 0x000063
+
+254 
+TDR04
+ .
+EQU
+ 0x000063
+
+255 
+_esü04
+ .
+EQU
+ 0x000064
+
+256 
+ESCR04
+ .
+EQU
+ 0x000064
+
+257 
+_ecü04
+ .
+EQU
+ 0x000065
+
+258 
+ECCR04
+ .
+EQU
+ 0x000065
+
+259 
+_f¤04
+ .
+EQU
+ 0x000066
+
+260 
+FSR04
+ .
+EQU
+ 0x000066
+
+261 
+_fü04
+ .
+EQU
+ 0x000067
+
+262 
+FCR04
+ .
+EQU
+ 0x000067
+
+263 
+_bgr00
+ .
+EQU
+ 0x000080
+
+264 
+BGR00
+ .
+EQU
+ 0x000080
+
+265 
+_bgr100
+ .
+EQU
+ 0x000080
+
+266 
+BGR100
+ .
+EQU
+ 0x000080
+
+267 
+_bgr000
+ .
+EQU
+ 0x000081
+
+268 
+BGR000
+ .
+EQU
+ 0x000081
+
+269 
+_bgr01
+ .
+EQU
+ 0x000082
+
+270 
+BGR01
+ .
+EQU
+ 0x000082
+
+271 
+_bgr101
+ .
+EQU
+ 0x000082
+
+272 
+BGR101
+ .
+EQU
+ 0x000082
+
+273 
+_bgr001
+ .
+EQU
+ 0x000083
+
+274 
+BGR001
+ .
+EQU
+ 0x000083
+
+275 
+_bgr02
+ .
+EQU
+ 0x000084
+
+276 
+BGR02
+ .
+EQU
+ 0x000084
+
+277 
+_bgr102
+ .
+EQU
+ 0x000084
+
+278 
+BGR102
+ .
+EQU
+ 0x000084
+
+279 
+_bgr002
+ .
+EQU
+ 0x000085
+
+280 
+BGR002
+ .
+EQU
+ 0x000085
+
+281 
+_bgr03
+ .
+EQU
+ 0x000086
+
+282 
+BGR03
+ .
+EQU
+ 0x000086
+
+283 
+_bgr103
+ .
+EQU
+ 0x000086
+
+284 
+BGR103
+ .
+EQU
+ 0x000086
+
+285 
+_bgr003
+ .
+EQU
+ 0x000087
+
+286 
+BGR003
+ .
+EQU
+ 0x000087
+
+287 
+_bgr04
+ .
+EQU
+ 0x000088
+
+288 
+BGR04
+ .
+EQU
+ 0x000088
+
+289 
+_bgr104
+ .
+EQU
+ 0x000088
+
+290 
+BGR104
+ .
+EQU
+ 0x000088
+
+291 
+_bgr004
+ .
+EQU
+ 0x000089
+
+292 
+BGR004
+ .
+EQU
+ 0x000089
+
+293 
+_ibü0
+ .
+EQU
+ 0x0000D0
+
+294 
+IBCR0
+ .
+EQU
+ 0x0000D0
+
+295 
+_ib¤0
+ .
+EQU
+ 0x0000D1
+
+296 
+IBSR0
+ .
+EQU
+ 0x0000D1
+
+297 
+_\99ba0
+ .
+EQU
+ 0x0000D2
+
+298 
+ITBA0
+ .
+EQU
+ 0x0000D2
+
+299 
+_\99bah0
+ .
+EQU
+ 0x0000D2
+
+300 
+ITBAH0
+ .
+EQU
+ 0x0000D2
+
+301 
+_\99b®0
+ .
+EQU
+ 0x0000D3
+
+302 
+ITBAL0
+ .
+EQU
+ 0x0000D3
+
+303 
+_\99mk0
+ .
+EQU
+ 0x0000D4
+
+304 
+ITMK0
+ .
+EQU
+ 0x0000D4
+
+305 
+_\99mkh0
+ .
+EQU
+ 0x0000D4
+
+306 
+ITMKH0
+ .
+EQU
+ 0x0000D4
+
+307 
+_\99mkl0
+ .
+EQU
+ 0x0000D5
+
+308 
+ITMKL0
+ .
+EQU
+ 0x0000D5
+
+309 
+_ismk0
+ .
+EQU
+ 0x0000D6
+
+310 
+ISMK0
+ .
+EQU
+ 0x0000D6
+
+311 
+_isba0
+ .
+EQU
+ 0x0000D7
+
+312 
+ISBA0
+ .
+EQU
+ 0x0000D7
+
+313 
+_id¬0
+ .
+EQU
+ 0x0000D9
+
+314 
+IDAR0
+ .
+EQU
+ 0x0000D9
+
+315 
+_icü0
+ .
+EQU
+ 0x0000DA
+
+316 
+ICCR0
+ .
+EQU
+ 0x0000DA
+
+317 
+_gú10
+ .
+EQU
+ 0x000100
+
+318 
+GCN10
+ .
+EQU
+ 0x000100
+
+319 
+_gú20
+ .
+EQU
+ 0x000103
+
+320 
+GCN20
+ .
+EQU
+ 0x000103
+
+321 
+_gú11
+ .
+EQU
+ 0x000104
+
+322 
+GCN11
+ .
+EQU
+ 0x000104
+
+323 
+_gú21
+ .
+EQU
+ 0x000107
+
+324 
+GCN21
+ .
+EQU
+ 0x000107
+
+325 
+_gú12
+ .
+EQU
+ 0x000108
+
+326 
+GCN12
+ .
+EQU
+ 0x000108
+
+327 
+_gú22
+ .
+EQU
+ 0x00010B
+
+328 
+GCN22
+ .
+EQU
+ 0x00010B
+
+329 
+_±mr00
+ .
+EQU
+ 0x000110
+
+330 
+PTMR00
+ .
+EQU
+ 0x000110
+
+331 
+_pc¤00
+ .
+EQU
+ 0x000112
+
+332 
+PCSR00
+ .
+EQU
+ 0x000112
+
+333 
+_pdut00
+ .
+EQU
+ 0x000114
+
+334 
+PDUT00
+ .
+EQU
+ 0x000114
+
+335 
+_pú00
+ .
+EQU
+ 0x000116
+
+336 
+PCN00
+ .
+EQU
+ 0x000116
+
+337 
+_púh00
+ .
+EQU
+ 0x000116
+
+338 
+PCNH00
+ .
+EQU
+ 0x000116
+
+339 
+_púl00
+ .
+EQU
+ 0x000117
+
+340 
+PCNL00
+ .
+EQU
+ 0x000117
+
+341 
+_±mr01
+ .
+EQU
+ 0x000118
+
+342 
+PTMR01
+ .
+EQU
+ 0x000118
+
+343 
+_pc¤01
+ .
+EQU
+ 0x00011A
+
+344 
+PCSR01
+ .
+EQU
+ 0x00011A
+
+345 
+_pdut01
+ .
+EQU
+ 0x00011C
+
+346 
+PDUT01
+ .
+EQU
+ 0x00011C
+
+347 
+_pú01
+ .
+EQU
+ 0x00011E
+
+348 
+PCN01
+ .
+EQU
+ 0x00011E
+
+349 
+_púh01
+ .
+EQU
+ 0x00011E
+
+350 
+PCNH01
+ .
+EQU
+ 0x00011E
+
+351 
+_púl01
+ .
+EQU
+ 0x00011F
+
+352 
+PCNL01
+ .
+EQU
+ 0x00011F
+
+353 
+_±mr02
+ .
+EQU
+ 0x000120
+
+354 
+PTMR02
+ .
+EQU
+ 0x000120
+
+355 
+_pc¤02
+ .
+EQU
+ 0x000122
+
+356 
+PCSR02
+ .
+EQU
+ 0x000122
+
+357 
+_pdut02
+ .
+EQU
+ 0x000124
+
+358 
+PDUT02
+ .
+EQU
+ 0x000124
+
+359 
+_pú02
+ .
+EQU
+ 0x000126
+
+360 
+PCN02
+ .
+EQU
+ 0x000126
+
+361 
+_púh02
+ .
+EQU
+ 0x000126
+
+362 
+PCNH02
+ .
+EQU
+ 0x000126
+
+363 
+_púl02
+ .
+EQU
+ 0x000127
+
+364 
+PCNL02
+ .
+EQU
+ 0x000127
+
+365 
+_±mr03
+ .
+EQU
+ 0x000128
+
+366 
+PTMR03
+ .
+EQU
+ 0x000128
+
+367 
+_pc¤03
+ .
+EQU
+ 0x00012A
+
+368 
+PCSR03
+ .
+EQU
+ 0x00012A
+
+369 
+_pdut03
+ .
+EQU
+ 0x00012C
+
+370 
+PDUT03
+ .
+EQU
+ 0x00012C
+
+371 
+_pú03
+ .
+EQU
+ 0x00012E
+
+372 
+PCN03
+ .
+EQU
+ 0x00012E
+
+373 
+_púh03
+ .
+EQU
+ 0x00012E
+
+374 
+PCNH03
+ .
+EQU
+ 0x00012E
+
+375 
+_púl03
+ .
+EQU
+ 0x00012F
+
+376 
+PCNL03
+ .
+EQU
+ 0x00012F
+
+377 
+_±mr04
+ .
+EQU
+ 0x000130
+
+378 
+PTMR04
+ .
+EQU
+ 0x000130
+
+379 
+_pc¤04
+ .
+EQU
+ 0x000132
+
+380 
+PCSR04
+ .
+EQU
+ 0x000132
+
+381 
+_pdut04
+ .
+EQU
+ 0x000134
+
+382 
+PDUT04
+ .
+EQU
+ 0x000134
+
+383 
+_pú04
+ .
+EQU
+ 0x000136
+
+384 
+PCN04
+ .
+EQU
+ 0x000136
+
+385 
+_púh04
+ .
+EQU
+ 0x000136
+
+386 
+PCNH04
+ .
+EQU
+ 0x000136
+
+387 
+_púl04
+ .
+EQU
+ 0x000137
+
+388 
+PCNL04
+ .
+EQU
+ 0x000137
+
+389 
+_±mr05
+ .
+EQU
+ 0x000138
+
+390 
+PTMR05
+ .
+EQU
+ 0x000138
+
+391 
+_pc¤05
+ .
+EQU
+ 0x00013A
+
+392 
+PCSR05
+ .
+EQU
+ 0x00013A
+
+393 
+_pdut05
+ .
+EQU
+ 0x00013C
+
+394 
+PDUT05
+ .
+EQU
+ 0x00013C
+
+395 
+_pú05
+ .
+EQU
+ 0x00013E
+
+396 
+PCN05
+ .
+EQU
+ 0x00013E
+
+397 
+_púh05
+ .
+EQU
+ 0x00013E
+
+398 
+PCNH05
+ .
+EQU
+ 0x00013E
+
+399 
+_púl05
+ .
+EQU
+ 0x00013F
+
+400 
+PCNL05
+ .
+EQU
+ 0x00013F
+
+401 
+_±mr06
+ .
+EQU
+ 0x000140
+
+402 
+PTMR06
+ .
+EQU
+ 0x000140
+
+403 
+_pc¤06
+ .
+EQU
+ 0x000142
+
+404 
+PCSR06
+ .
+EQU
+ 0x000142
+
+405 
+_pdut06
+ .
+EQU
+ 0x000144
+
+406 
+PDUT06
+ .
+EQU
+ 0x000144
+
+407 
+_pú06
+ .
+EQU
+ 0x000146
+
+408 
+PCN06
+ .
+EQU
+ 0x000146
+
+409 
+_púh06
+ .
+EQU
+ 0x000146
+
+410 
+PCNH06
+ .
+EQU
+ 0x000146
+
+411 
+_púl06
+ .
+EQU
+ 0x000147
+
+412 
+PCNL06
+ .
+EQU
+ 0x000147
+
+413 
+_±mr07
+ .
+EQU
+ 0x000148
+
+414 
+PTMR07
+ .
+EQU
+ 0x000148
+
+415 
+_pc¤07
+ .
+EQU
+ 0x00014A
+
+416 
+PCSR07
+ .
+EQU
+ 0x00014A
+
+417 
+_pdut07
+ .
+EQU
+ 0x00014C
+
+418 
+PDUT07
+ .
+EQU
+ 0x00014C
+
+419 
+_pú07
+ .
+EQU
+ 0x00014E
+
+420 
+PCN07
+ .
+EQU
+ 0x00014E
+
+421 
+_púh07
+ .
+EQU
+ 0x00014E
+
+422 
+PCNH07
+ .
+EQU
+ 0x00014E
+
+423 
+_púl07
+ .
+EQU
+ 0x00014F
+
+424 
+PCNL07
+ .
+EQU
+ 0x00014F
+
+425 
+_±mr08
+ .
+EQU
+ 0x000150
+
+426 
+PTMR08
+ .
+EQU
+ 0x000150
+
+427 
+_pc¤08
+ .
+EQU
+ 0x000152
+
+428 
+PCSR08
+ .
+EQU
+ 0x000152
+
+429 
+_pdut08
+ .
+EQU
+ 0x000154
+
+430 
+PDUT08
+ .
+EQU
+ 0x000154
+
+431 
+_pú08
+ .
+EQU
+ 0x000156
+
+432 
+PCN08
+ .
+EQU
+ 0x000156
+
+433 
+_púh08
+ .
+EQU
+ 0x000156
+
+434 
+PCNH08
+ .
+EQU
+ 0x000156
+
+435 
+_púl08
+ .
+EQU
+ 0x000157
+
+436 
+PCNL08
+ .
+EQU
+ 0x000157
+
+437 
+_±mr09
+ .
+EQU
+ 0x000158
+
+438 
+PTMR09
+ .
+EQU
+ 0x000158
+
+439 
+_pc¤09
+ .
+EQU
+ 0x00015A
+
+440 
+PCSR09
+ .
+EQU
+ 0x00015A
+
+441 
+_pdut09
+ .
+EQU
+ 0x00015C
+
+442 
+PDUT09
+ .
+EQU
+ 0x00015C
+
+443 
+_pú09
+ .
+EQU
+ 0x00015E
+
+444 
+PCN09
+ .
+EQU
+ 0x00015E
+
+445 
+_púh09
+ .
+EQU
+ 0x00015E
+
+446 
+PCNH09
+ .
+EQU
+ 0x00015E
+
+447 
+_púl09
+ .
+EQU
+ 0x00015F
+
+448 
+PCNL09
+ .
+EQU
+ 0x00015F
+
+449 
+_±mr10
+ .
+EQU
+ 0x000160
+
+450 
+PTMR10
+ .
+EQU
+ 0x000160
+
+451 
+_pc¤10
+ .
+EQU
+ 0x000162
+
+452 
+PCSR10
+ .
+EQU
+ 0x000162
+
+453 
+_pdut10
+ .
+EQU
+ 0x000164
+
+454 
+PDUT10
+ .
+EQU
+ 0x000164
+
+455 
+_pú10
+ .
+EQU
+ 0x000166
+
+456 
+PCN10
+ .
+EQU
+ 0x000166
+
+457 
+_púh10
+ .
+EQU
+ 0x000166
+
+458 
+PCNH10
+ .
+EQU
+ 0x000166
+
+459 
+_púl10
+ .
+EQU
+ 0x000167
+
+460 
+PCNL10
+ .
+EQU
+ 0x000167
+
+461 
+_±mr11
+ .
+EQU
+ 0x000168
+
+462 
+PTMR11
+ .
+EQU
+ 0x000168
+
+463 
+_pc¤11
+ .
+EQU
+ 0x00016A
+
+464 
+PCSR11
+ .
+EQU
+ 0x00016A
+
+465 
+_pdut11
+ .
+EQU
+ 0x00016C
+
+466 
+PDUT11
+ .
+EQU
+ 0x00016C
+
+467 
+_pú11
+ .
+EQU
+ 0x00016E
+
+468 
+PCN11
+ .
+EQU
+ 0x00016E
+
+469 
+_púh11
+ .
+EQU
+ 0x00016E
+
+470 
+PCNH11
+ .
+EQU
+ 0x00016E
+
+471 
+_púl11
+ .
+EQU
+ 0x00016F
+
+472 
+PCNL11
+ .
+EQU
+ 0x00016F
+
+473 
+_ics01
+ .
+EQU
+ 0x000181
+
+474 
+ICS01
+ .
+EQU
+ 0x000181
+
+475 
+_ics23
+ .
+EQU
+ 0x000183
+
+476 
+ICS23
+ .
+EQU
+ 0x000183
+
+477 
+_\9dý0
+ .
+EQU
+ 0x000184
+
+478 
+IPCP0
+ .
+EQU
+ 0x000184
+
+479 
+_\9dý1
+ .
+EQU
+ 0x000186
+
+480 
+IPCP1
+ .
+EQU
+ 0x000186
+
+481 
+_\9dý2
+ .
+EQU
+ 0x000188
+
+482 
+IPCP2
+ .
+EQU
+ 0x000188
+
+483 
+_\9dý3
+ .
+EQU
+ 0x00018A
+
+484 
+IPCP3
+ .
+EQU
+ 0x00018A
+
+485 
+_ocs01
+ .
+EQU
+ 0x00018C
+
+486 
+OCS01
+ .
+EQU
+ 0x00018C
+
+487 
+_ocs23
+ .
+EQU
+ 0x00018E
+
+488 
+OCS23
+ .
+EQU
+ 0x00018E
+
+489 
+_ocý0
+ .
+EQU
+ 0x000190
+
+490 
+OCCP0
+ .
+EQU
+ 0x000190
+
+491 
+_ocý1
+ .
+EQU
+ 0x000192
+
+492 
+OCCP1
+ .
+EQU
+ 0x000192
+
+493 
+_ocý2
+ .
+EQU
+ 0x000194
+
+494 
+OCCP2
+ .
+EQU
+ 0x000194
+
+495 
+_ocý3
+ .
+EQU
+ 0x000196
+
+496 
+OCCP3
+ .
+EQU
+ 0x000196
+
+497 
+_ad\94h
+ .
+EQU
+ 0x0001A0
+
+498 
+ADERH
+ .
+EQU
+ 0x0001A0
+
+499 
+_ad\94l
+ .
+EQU
+ 0x0001A2
+
+500 
+ADERL
+ .
+EQU
+ 0x0001A2
+
+501 
+_ad\94
+ .
+EQU
+ 0x0001A0
+
+502 
+ADER
+ .
+EQU
+ 0x0001A0
+
+503 
+_adcs1
+ .
+EQU
+ 0x0001A4
+
+504 
+ADCS1
+ .
+EQU
+ 0x0001A4
+
+505 
+_adcs0
+ .
+EQU
+ 0x0001A5
+
+506 
+ADCS0
+ .
+EQU
+ 0x0001A5
+
+507 
+_adcs
+ .
+EQU
+ 0x0001A4
+
+508 
+ADCS
+ .
+EQU
+ 0x0001A4
+
+509 
+_adü1
+ .
+EQU
+ 0x0001A6
+
+510 
+ADCR1
+ .
+EQU
+ 0x0001A6
+
+511 
+_adü0
+ .
+EQU
+ 0x0001A7
+
+512 
+ADCR0
+ .
+EQU
+ 0x0001A7
+
+513 
+_adü
+ .
+EQU
+ 0x0001A6
+
+514 
+ADCR
+ .
+EQU
+ 0x0001A6
+
+515 
+_adù1
+ .
+EQU
+ 0x0001A8
+
+516 
+ADCT1
+ .
+EQU
+ 0x0001A8
+
+517 
+_adù0
+ .
+EQU
+ 0x0001A9
+
+518 
+ADCT0
+ .
+EQU
+ 0x0001A9
+
+519 
+_adù
+ .
+EQU
+ 0x0001A8
+
+520 
+ADCT
+ .
+EQU
+ 0x0001A8
+
+521 
+_adsch
+ .
+EQU
+ 0x0001AA
+
+522 
+ADSCH
+ .
+EQU
+ 0x0001AA
+
+523 
+_adech
+ .
+EQU
+ 0x0001AB
+
+524 
+ADECH
+ .
+EQU
+ 0x0001AB
+
+525 
+_tm¾r0
+ .
+EQU
+ 0x0001B0
+
+526 
+TMRLR0
+ .
+EQU
+ 0x0001B0
+
+527 
+_tmr0
+ .
+EQU
+ 0x0001B2
+
+528 
+TMR0
+ .
+EQU
+ 0x0001B2
+
+529 
+_tmc¤0
+ .
+EQU
+ 0x0001B6
+
+530 
+TMCSR0
+ .
+EQU
+ 0x0001B6
+
+531 
+_tmc¤h0
+ .
+EQU
+ 0x0001B6
+
+532 
+TMCSRH0
+ .
+EQU
+ 0x0001B6
+
+533 
+_tmc¤l0
+ .
+EQU
+ 0x0001B7
+
+534 
+TMCSRL0
+ .
+EQU
+ 0x0001B7
+
+535 
+_tm¾r1
+ .
+EQU
+ 0x0001B8
+
+536 
+TMRLR1
+ .
+EQU
+ 0x0001B8
+
+537 
+_tmr1
+ .
+EQU
+ 0x0001BA
+
+538 
+TMR1
+ .
+EQU
+ 0x0001BA
+
+539 
+_tmc¤1
+ .
+EQU
+ 0x0001BE
+
+540 
+TMCSR1
+ .
+EQU
+ 0x0001BE
+
+541 
+_tmc¤h1
+ .
+EQU
+ 0x0001BE
+
+542 
+TMCSRH1
+ .
+EQU
+ 0x0001BE
+
+543 
+_tmc¤l1
+ .
+EQU
+ 0x0001BF
+
+544 
+TMCSRL1
+ .
+EQU
+ 0x0001BF
+
+545 
+_tm¾r2
+ .
+EQU
+ 0x0001C0
+
+546 
+TMRLR2
+ .
+EQU
+ 0x0001C0
+
+547 
+_tmr2
+ .
+EQU
+ 0x0001C2
+
+548 
+TMR2
+ .
+EQU
+ 0x0001C2
+
+549 
+_tmc¤2
+ .
+EQU
+ 0x0001C6
+
+550 
+TMCSR2
+ .
+EQU
+ 0x0001C6
+
+551 
+_tmc¤h2
+ .
+EQU
+ 0x0001C6
+
+552 
+TMCSRH2
+ .
+EQU
+ 0x0001C6
+
+553 
+_tmc¤l2
+ .
+EQU
+ 0x0001C7
+
+554 
+TMCSRL2
+ .
+EQU
+ 0x0001C7
+
+555 
+_tm¾r3
+ .
+EQU
+ 0x0001C8
+
+556 
+TMRLR3
+ .
+EQU
+ 0x0001C8
+
+557 
+_tmr3
+ .
+EQU
+ 0x0001CA
+
+558 
+TMR3
+ .
+EQU
+ 0x0001CA
+
+559 
+_tmc¤3
+ .
+EQU
+ 0x0001CE
+
+560 
+TMCSR3
+ .
+EQU
+ 0x0001CE
+
+561 
+_tmc¤h3
+ .
+EQU
+ 0x0001CE
+
+562 
+TMCSRH3
+ .
+EQU
+ 0x0001CE
+
+563 
+_tmc¤l3
+ .
+EQU
+ 0x0001CF
+
+564 
+TMCSRL3
+ .
+EQU
+ 0x0001CF
+
+565 
+_tm¾r4
+ .
+EQU
+ 0x0001D0
+
+566 
+TMRLR4
+ .
+EQU
+ 0x0001D0
+
+567 
+_tmr4
+ .
+EQU
+ 0x0001D2
+
+568 
+TMR4
+ .
+EQU
+ 0x0001D2
+
+569 
+_tmc¤4
+ .
+EQU
+ 0x0001D6
+
+570 
+TMCSR4
+ .
+EQU
+ 0x0001D6
+
+571 
+_tmc¤h4
+ .
+EQU
+ 0x0001D6
+
+572 
+TMCSRH4
+ .
+EQU
+ 0x0001D6
+
+573 
+_tmc¤l4
+ .
+EQU
+ 0x0001D7
+
+574 
+TMCSRL4
+ .
+EQU
+ 0x0001D7
+
+575 
+_tm¾r5
+ .
+EQU
+ 0x0001D8
+
+576 
+TMRLR5
+ .
+EQU
+ 0x0001D8
+
+577 
+_tmr5
+ .
+EQU
+ 0x0001DA
+
+578 
+TMR5
+ .
+EQU
+ 0x0001DA
+
+579 
+_tmc¤5
+ .
+EQU
+ 0x0001DE
+
+580 
+TMCSR5
+ .
+EQU
+ 0x0001DE
+
+581 
+_tmc¤h5
+ .
+EQU
+ 0x0001DE
+
+582 
+TMCSRH5
+ .
+EQU
+ 0x0001DE
+
+583 
+_tmc¤l5
+ .
+EQU
+ 0x0001DF
+
+584 
+TMCSRL5
+ .
+EQU
+ 0x0001DF
+
+585 
+_tm¾r6
+ .
+EQU
+ 0x0001E0
+
+586 
+TMRLR6
+ .
+EQU
+ 0x0001E0
+
+587 
+_tmr6
+ .
+EQU
+ 0x0001E2
+
+588 
+TMR6
+ .
+EQU
+ 0x0001E2
+
+589 
+_tmc¤6
+ .
+EQU
+ 0x0001E6
+
+590 
+TMCSR6
+ .
+EQU
+ 0x0001E6
+
+591 
+_tmc¤h6
+ .
+EQU
+ 0x0001E6
+
+592 
+TMCSRH6
+ .
+EQU
+ 0x0001E6
+
+593 
+_tmc¤l6
+ .
+EQU
+ 0x0001E7
+
+594 
+TMCSRL6
+ .
+EQU
+ 0x0001E7
+
+595 
+_tm¾r7
+ .
+EQU
+ 0x0001E8
+
+596 
+TMRLR7
+ .
+EQU
+ 0x0001E8
+
+597 
+_tmr7
+ .
+EQU
+ 0x0001EA
+
+598 
+TMR7
+ .
+EQU
+ 0x0001EA
+
+599 
+_tmc¤7
+ .
+EQU
+ 0x0001EE
+
+600 
+TMCSR7
+ .
+EQU
+ 0x0001EE
+
+601 
+_tmc¤h7
+ .
+EQU
+ 0x0001EE
+
+602 
+TMCSRH7
+ .
+EQU
+ 0x0001EE
+
+603 
+_tmc¤l7
+ .
+EQU
+ 0x0001EF
+
+604 
+TMCSRL7
+ .
+EQU
+ 0x0001EF
+
+605 
+_tcdt0
+ .
+EQU
+ 0x0001F0
+
+606 
+TCDT0
+ .
+EQU
+ 0x0001F0
+
+607 
+_tccs0
+ .
+EQU
+ 0x0001F3
+
+608 
+TCCS0
+ .
+EQU
+ 0x0001F3
+
+609 
+_tcdt1
+ .
+EQU
+ 0x0001F4
+
+610 
+TCDT1
+ .
+EQU
+ 0x0001F4
+
+611 
+_tccs1
+ .
+EQU
+ 0x0001F7
+
+612 
+TCCS1
+ .
+EQU
+ 0x0001F7
+
+613 
+_tcdt2
+ .
+EQU
+ 0x0001F8
+
+614 
+TCDT2
+ .
+EQU
+ 0x0001F8
+
+615 
+_tccs2
+ .
+EQU
+ 0x0001FB
+
+616 
+TCCS2
+ .
+EQU
+ 0x0001FB
+
+617 
+_tcdt3
+ .
+EQU
+ 0x0001FC
+
+618 
+TCDT3
+ .
+EQU
+ 0x0001FC
+
+619 
+_tccs3
+ .
+EQU
+ 0x0001FF
+
+620 
+TCCS3
+ .
+EQU
+ 0x0001FF
+
+621 
+_dmaÿ0
+ .
+EQU
+ 0x000200
+
+622 
+DMACA0
+ .
+EQU
+ 0x000200
+
+623 
+_dmacb0
+ .
+EQU
+ 0x000204
+
+624 
+DMACB0
+ .
+EQU
+ 0x000204
+
+625 
+_dmaÿ1
+ .
+EQU
+ 0x000208
+
+626 
+DMACA1
+ .
+EQU
+ 0x000208
+
+627 
+_dmacb1
+ .
+EQU
+ 0x00020C
+
+628 
+DMACB1
+ .
+EQU
+ 0x00020C
+
+629 
+_dmaÿ2
+ .
+EQU
+ 0x000210
+
+630 
+DMACA2
+ .
+EQU
+ 0x000210
+
+631 
+_dmacb2
+ .
+EQU
+ 0x000214
+
+632 
+DMACB2
+ .
+EQU
+ 0x000214
+
+633 
+_dmaÿ3
+ .
+EQU
+ 0x000218
+
+634 
+DMACA3
+ .
+EQU
+ 0x000218
+
+635 
+_dmacb3
+ .
+EQU
+ 0x00021C
+
+636 
+DMACB3
+ .
+EQU
+ 0x00021C
+
+637 
+_dmaÿ4
+ .
+EQU
+ 0x000220
+
+638 
+DMACA4
+ .
+EQU
+ 0x000220
+
+639 
+_dmacb4
+ .
+EQU
+ 0x000224
+
+640 
+DMACB4
+ .
+EQU
+ 0x000224
+
+641 
+_dmaü
+ .
+EQU
+ 0x000240
+
+642 
+DMACR
+ .
+EQU
+ 0x000240
+
+643 
+_ics45
+ .
+EQU
+ 0x0002D1
+
+644 
+ICS45
+ .
+EQU
+ 0x0002D1
+
+645 
+_ics67
+ .
+EQU
+ 0x0002D3
+
+646 
+ICS67
+ .
+EQU
+ 0x0002D3
+
+647 
+_\9dý4
+ .
+EQU
+ 0x0002D4
+
+648 
+IPCP4
+ .
+EQU
+ 0x0002D4
+
+649 
+_\9dý5
+ .
+EQU
+ 0x0002D6
+
+650 
+IPCP5
+ .
+EQU
+ 0x0002D6
+
+651 
+_\9dý6
+ .
+EQU
+ 0x0002D8
+
+652 
+IPCP6
+ .
+EQU
+ 0x0002D8
+
+653 
+_\9dý7
+ .
+EQU
+ 0x0002DA
+
+654 
+IPCP7
+ .
+EQU
+ 0x0002DA
+
+655 
+_ocs45
+ .
+EQU
+ 0x0002DC
+
+656 
+OCS45
+ .
+EQU
+ 0x0002DC
+
+657 
+_ocs67
+ .
+EQU
+ 0x0002DE
+
+658 
+OCS67
+ .
+EQU
+ 0x0002DE
+
+659 
+_ocý4
+ .
+EQU
+ 0x0002E0
+
+660 
+OCCP4
+ .
+EQU
+ 0x0002E0
+
+661 
+_ocý5
+ .
+EQU
+ 0x0002E2
+
+662 
+OCCP5
+ .
+EQU
+ 0x0002E2
+
+663 
+_ocý6
+ .
+EQU
+ 0x0002E4
+
+664 
+OCCP6
+ .
+EQU
+ 0x0002E4
+
+665 
+_ocý7
+ .
+EQU
+ 0x0002E6
+
+666 
+OCCP7
+ .
+EQU
+ 0x0002E6
+
+667 
+_tcdt4
+ .
+EQU
+ 0x0002F0
+
+668 
+TCDT4
+ .
+EQU
+ 0x0002F0
+
+669 
+_tccs4
+ .
+EQU
+ 0x0002F3
+
+670 
+TCCS4
+ .
+EQU
+ 0x0002F3
+
+671 
+_tcdt5
+ .
+EQU
+ 0x0002F4
+
+672 
+TCDT5
+ .
+EQU
+ 0x0002F4
+
+673 
+_tccs5
+ .
+EQU
+ 0x0002F7
+
+674 
+TCCS5
+ .
+EQU
+ 0x0002F7
+
+675 
+_tcdt6
+ .
+EQU
+ 0x0002F8
+
+676 
+TCDT6
+ .
+EQU
+ 0x0002F8
+
+677 
+_tccs6
+ .
+EQU
+ 0x0002FB
+
+678 
+TCCS6
+ .
+EQU
+ 0x0002FB
+
+679 
+_tcdt7
+ .
+EQU
+ 0x0002FC
+
+680 
+TCDT7
+ .
+EQU
+ 0x0002FC
+
+681 
+_tccs7
+ .
+EQU
+ 0x0002FF
+
+682 
+TCCS7
+ .
+EQU
+ 0x0002FF
+
+683 
+_roms
+ .
+EQU
+ 0x000390
+
+684 
+ROMS
+ .
+EQU
+ 0x000390
+
+685 
+_bsd0
+ .
+EQU
+ 0x0003F0
+
+686 
+BSD0
+ .
+EQU
+ 0x0003F0
+
+687 
+_bsd1
+ .
+EQU
+ 0x0003F4
+
+688 
+BSD1
+ .
+EQU
+ 0x0003F4
+
+689 
+_bsdc
+ .
+EQU
+ 0x0003F8
+
+690 
+BSDC
+ .
+EQU
+ 0x0003F8
+
+691 
+_b¤r
+ .
+EQU
+ 0x0003FC
+
+692 
+BSRR
+ .
+EQU
+ 0x0003FC
+
+693 
+_iü00
+ .
+EQU
+ 0x000440
+
+694 
+ICR00
+ .
+EQU
+ 0x000440
+
+695 
+_iü01
+ .
+EQU
+ 0x000441
+
+696 
+ICR01
+ .
+EQU
+ 0x000441
+
+697 
+_iü02
+ .
+EQU
+ 0x000442
+
+698 
+ICR02
+ .
+EQU
+ 0x000442
+
+699 
+_iü03
+ .
+EQU
+ 0x000443
+
+700 
+ICR03
+ .
+EQU
+ 0x000443
+
+701 
+_iü04
+ .
+EQU
+ 0x000444
+
+702 
+ICR04
+ .
+EQU
+ 0x000444
+
+703 
+_iü05
+ .
+EQU
+ 0x000445
+
+704 
+ICR05
+ .
+EQU
+ 0x000445
+
+705 
+_iü06
+ .
+EQU
+ 0x000446
+
+706 
+ICR06
+ .
+EQU
+ 0x000446
+
+707 
+_iü07
+ .
+EQU
+ 0x000447
+
+708 
+ICR07
+ .
+EQU
+ 0x000447
+
+709 
+_iü08
+ .
+EQU
+ 0x000448
+
+710 
+ICR08
+ .
+EQU
+ 0x000448
+
+711 
+_iü09
+ .
+EQU
+ 0x000449
+
+712 
+ICR09
+ .
+EQU
+ 0x000449
+
+713 
+_iü10
+ .
+EQU
+ 0x00044A
+
+714 
+ICR10
+ .
+EQU
+ 0x00044A
+
+715 
+_iü11
+ .
+EQU
+ 0x00044B
+
+716 
+ICR11
+ .
+EQU
+ 0x00044B
+
+717 
+_iü12
+ .
+EQU
+ 0x00044C
+
+718 
+ICR12
+ .
+EQU
+ 0x00044C
+
+719 
+_iü13
+ .
+EQU
+ 0x00044D
+
+720 
+ICR13
+ .
+EQU
+ 0x00044D
+
+721 
+_iü14
+ .
+EQU
+ 0x00044E
+
+722 
+ICR14
+ .
+EQU
+ 0x00044E
+
+723 
+_iü15
+ .
+EQU
+ 0x00044F
+
+724 
+ICR15
+ .
+EQU
+ 0x00044F
+
+725 
+_iü16
+ .
+EQU
+ 0x000450
+
+726 
+ICR16
+ .
+EQU
+ 0x000450
+
+727 
+_iü17
+ .
+EQU
+ 0x000451
+
+728 
+ICR17
+ .
+EQU
+ 0x000451
+
+729 
+_iü18
+ .
+EQU
+ 0x000452
+
+730 
+ICR18
+ .
+EQU
+ 0x000452
+
+731 
+_iü19
+ .
+EQU
+ 0x000453
+
+732 
+ICR19
+ .
+EQU
+ 0x000453
+
+733 
+_iü20
+ .
+EQU
+ 0x000454
+
+734 
+ICR20
+ .
+EQU
+ 0x000454
+
+735 
+_iü21
+ .
+EQU
+ 0x000455
+
+736 
+ICR21
+ .
+EQU
+ 0x000455
+
+737 
+_iü22
+ .
+EQU
+ 0x000456
+
+738 
+ICR22
+ .
+EQU
+ 0x000456
+
+739 
+_iü23
+ .
+EQU
+ 0x000457
+
+740 
+ICR23
+ .
+EQU
+ 0x000457
+
+741 
+_iü24
+ .
+EQU
+ 0x000458
+
+742 
+ICR24
+ .
+EQU
+ 0x000458
+
+743 
+_iü25
+ .
+EQU
+ 0x000459
+
+744 
+ICR25
+ .
+EQU
+ 0x000459
+
+745 
+_iü26
+ .
+EQU
+ 0x00045A
+
+746 
+ICR26
+ .
+EQU
+ 0x00045A
+
+747 
+_iü27
+ .
+EQU
+ 0x00045B
+
+748 
+ICR27
+ .
+EQU
+ 0x00045B
+
+749 
+_iü28
+ .
+EQU
+ 0x00045C
+
+750 
+ICR28
+ .
+EQU
+ 0x00045C
+
+751 
+_iü29
+ .
+EQU
+ 0x00045D
+
+752 
+ICR29
+ .
+EQU
+ 0x00045D
+
+753 
+_iü30
+ .
+EQU
+ 0x00045E
+
+754 
+ICR30
+ .
+EQU
+ 0x00045E
+
+755 
+_iü31
+ .
+EQU
+ 0x00045F
+
+756 
+ICR31
+ .
+EQU
+ 0x00045F
+
+757 
+_iü32
+ .
+EQU
+ 0x000460
+
+758 
+ICR32
+ .
+EQU
+ 0x000460
+
+759 
+_iü33
+ .
+EQU
+ 0x000461
+
+760 
+ICR33
+ .
+EQU
+ 0x000461
+
+761 
+_iü34
+ .
+EQU
+ 0x000462
+
+762 
+ICR34
+ .
+EQU
+ 0x000462
+
+763 
+_iü35
+ .
+EQU
+ 0x000463
+
+764 
+ICR35
+ .
+EQU
+ 0x000463
+
+765 
+_iü36
+ .
+EQU
+ 0x000464
+
+766 
+ICR36
+ .
+EQU
+ 0x000464
+
+767 
+_iü37
+ .
+EQU
+ 0x000465
+
+768 
+ICR37
+ .
+EQU
+ 0x000465
+
+769 
+_iü38
+ .
+EQU
+ 0x000466
+
+770 
+ICR38
+ .
+EQU
+ 0x000466
+
+771 
+_iü39
+ .
+EQU
+ 0x000467
+
+772 
+ICR39
+ .
+EQU
+ 0x000467
+
+773 
+_iü40
+ .
+EQU
+ 0x000468
+
+774 
+ICR40
+ .
+EQU
+ 0x000468
+
+775 
+_iü41
+ .
+EQU
+ 0x000469
+
+776 
+ICR41
+ .
+EQU
+ 0x000469
+
+777 
+_iü42
+ .
+EQU
+ 0x00046A
+
+778 
+ICR42
+ .
+EQU
+ 0x00046A
+
+779 
+_iü43
+ .
+EQU
+ 0x00046B
+
+780 
+ICR43
+ .
+EQU
+ 0x00046B
+
+781 
+_iü44
+ .
+EQU
+ 0x00046C
+
+782 
+ICR44
+ .
+EQU
+ 0x00046C
+
+783 
+_iü45
+ .
+EQU
+ 0x00046D
+
+784 
+ICR45
+ .
+EQU
+ 0x00046D
+
+785 
+_iü46
+ .
+EQU
+ 0x00046E
+
+786 
+ICR46
+ .
+EQU
+ 0x00046E
+
+787 
+_iü47
+ .
+EQU
+ 0x00046F
+
+788 
+ICR47
+ .
+EQU
+ 0x00046F
+
+789 
+_iü48
+ .
+EQU
+ 0x000470
+
+790 
+ICR48
+ .
+EQU
+ 0x000470
+
+791 
+_iü49
+ .
+EQU
+ 0x000471
+
+792 
+ICR49
+ .
+EQU
+ 0x000471
+
+793 
+_iü50
+ .
+EQU
+ 0x000472
+
+794 
+ICR50
+ .
+EQU
+ 0x000472
+
+795 
+_iü51
+ .
+EQU
+ 0x000473
+
+796 
+ICR51
+ .
+EQU
+ 0x000473
+
+797 
+_iü52
+ .
+EQU
+ 0x000474
+
+798 
+ICR52
+ .
+EQU
+ 0x000474
+
+799 
+_iü53
+ .
+EQU
+ 0x000475
+
+800 
+ICR53
+ .
+EQU
+ 0x000475
+
+801 
+_iü54
+ .
+EQU
+ 0x000476
+
+802 
+ICR54
+ .
+EQU
+ 0x000476
+
+803 
+_iü55
+ .
+EQU
+ 0x000477
+
+804 
+ICR55
+ .
+EQU
+ 0x000477
+
+805 
+_iü56
+ .
+EQU
+ 0x000478
+
+806 
+ICR56
+ .
+EQU
+ 0x000478
+
+807 
+_iü57
+ .
+EQU
+ 0x000479
+
+808 
+ICR57
+ .
+EQU
+ 0x000479
+
+809 
+_iü58
+ .
+EQU
+ 0x00047A
+
+810 
+ICR58
+ .
+EQU
+ 0x00047A
+
+811 
+_iü59
+ .
+EQU
+ 0x00047B
+
+812 
+ICR59
+ .
+EQU
+ 0x00047B
+
+813 
+_iü60
+ .
+EQU
+ 0x00047C
+
+814 
+ICR60
+ .
+EQU
+ 0x00047C
+
+815 
+_iü61
+ .
+EQU
+ 0x00047D
+
+816 
+ICR61
+ .
+EQU
+ 0x00047D
+
+817 
+_iü62
+ .
+EQU
+ 0x00047E
+
+818 
+ICR62
+ .
+EQU
+ 0x00047E
+
+819 
+_iü63
+ .
+EQU
+ 0x00047F
+
+820 
+ICR63
+ .
+EQU
+ 0x00047F
+
+821 
+_r¤r
+ .
+EQU
+ 0x000480
+
+822 
+RSRR
+ .
+EQU
+ 0x000480
+
+823 
+_¡ü
+ .
+EQU
+ 0x000481
+
+824 
+STCR
+ .
+EQU
+ 0x000481
+
+825 
+_tbü
+ .
+EQU
+ 0x000482
+
+826 
+TBCR
+ .
+EQU
+ 0x000482
+
+827 
+_ùbr
+ .
+EQU
+ 0x000483
+
+828 
+CTBR
+ .
+EQU
+ 0x000483
+
+829 
+_þkr
+ .
+EQU
+ 0x000484
+
+830 
+CLKR
+ .
+EQU
+ 0x000484
+
+831 
+_w´
+ .
+EQU
+ 0x000485
+
+832 
+WPR
+ .
+EQU
+ 0x000485
+
+833 
+_divr0
+ .
+EQU
+ 0x000486
+
+834 
+DIVR0
+ .
+EQU
+ 0x000486
+
+835 
+_divr1
+ .
+EQU
+ 0x000487
+
+836 
+DIVR1
+ .
+EQU
+ 0x000487
+
+837 
+_¶ldivm
+ .
+EQU
+ 0x00048C
+
+838 
+PLLDIVM
+ .
+EQU
+ 0x00048C
+
+839 
+_¶ldivn
+ .
+EQU
+ 0x00048D
+
+840 
+PLLDIVN
+ .
+EQU
+ 0x00048D
+
+841 
+_¶ldivg
+ .
+EQU
+ 0x00048E
+
+842 
+PLLDIVG
+ .
+EQU
+ 0x00048E
+
+843 
+_¶lmulg
+ .
+EQU
+ 0x00048F
+
+844 
+PLLMULG
+ .
+EQU
+ 0x00048F
+
+845 
+_¶lù¾
+ .
+EQU
+ 0x000490
+
+846 
+PLLCTRL
+ .
+EQU
+ 0x000490
+
+847 
+_oscc1
+ .
+EQU
+ 0x000494
+
+848 
+OSCC1
+ .
+EQU
+ 0x000494
+
+849 
+_oscs1
+ .
+EQU
+ 0x000495
+
+850 
+OSCS1
+ .
+EQU
+ 0x000495
+
+851 
+_oscc2
+ .
+EQU
+ 0x000496
+
+852 
+OSCC2
+ .
+EQU
+ 0x000496
+
+853 
+_oscs2
+ .
+EQU
+ 0x000497
+
+854 
+OSCS2
+ .
+EQU
+ 0x000497
+
+855 
+_p܋n
+ .
+EQU
+ 0x000498
+
+856 
+PORTEN
+ .
+EQU
+ 0x000498
+
+857 
+_wtûr
+ .
+EQU
+ 0x0004A1
+
+858 
+WTCER
+ .
+EQU
+ 0x0004A1
+
+859 
+_wtü
+ .
+EQU
+ 0x0004A2
+
+860 
+WTCR
+ .
+EQU
+ 0x0004A2
+
+861 
+_wtbr
+ .
+EQU
+ 0x0004A4
+
+862 
+WTBR
+ .
+EQU
+ 0x0004A4
+
+863 
+_wthr
+ .
+EQU
+ 0x0004A8
+
+864 
+WTHR
+ .
+EQU
+ 0x0004A8
+
+865 
+_wtmr
+ .
+EQU
+ 0x0004A9
+
+866 
+WTMR
+ .
+EQU
+ 0x0004A9
+
+867 
+_wt¤
+ .
+EQU
+ 0x0004AA
+
+868 
+WTSR
+ .
+EQU
+ 0x0004AA
+
+869 
+_csv\8c
+ .
+EQU
+ 0x0004AC
+
+870 
+CSVTR
+ .
+EQU
+ 0x0004AC
+
+871 
+_csvü
+ .
+EQU
+ 0x0004AD
+
+872 
+CSVCR
+ .
+EQU
+ 0x0004AD
+
+873 
+_cscfg
+ .
+EQU
+ 0x0004AE
+
+874 
+CSCFG
+ .
+EQU
+ 0x0004AE
+
+875 
+_cmcfg
+ .
+EQU
+ 0x0004AF
+
+876 
+CMCFG
+ .
+EQU
+ 0x0004AF
+
+877 
+_cuü
+ .
+EQU
+ 0x0004B0
+
+878 
+CUCR
+ .
+EQU
+ 0x0004B0
+
+879 
+_cutd
+ .
+EQU
+ 0x0004B2
+
+880 
+CUTD
+ .
+EQU
+ 0x0004B2
+
+881 
+_cu\8c1
+ .
+EQU
+ 0x0004B4
+
+882 
+CUTR1
+ .
+EQU
+ 0x0004B4
+
+883 
+_cu\8c2
+ .
+EQU
+ 0x0004B6
+
+884 
+CUTR2
+ .
+EQU
+ 0x0004B6
+
+885 
+_cm´
+ .
+EQU
+ 0x0004B8
+
+886 
+CMPR
+ .
+EQU
+ 0x0004B8
+
+887 
+_cmü
+ .
+EQU
+ 0x0004BB
+
+888 
+CMCR
+ .
+EQU
+ 0x0004BB
+
+889 
+_cmt1
+ .
+EQU
+ 0x0004BC
+
+890 
+CMT1
+ .
+EQU
+ 0x0004BC
+
+891 
+_cmt2
+ .
+EQU
+ 0x0004BE
+
+892 
+CMT2
+ .
+EQU
+ 0x0004BE
+
+893 
+_ÿÅ»
+ .
+EQU
+ 0x0004C0
+
+894 
+CANPRE
+ .
+EQU
+ 0x0004C0
+
+895 
+_ÿnckd
+ .
+EQU
+ 0x0004C1
+
+896 
+CANCKD
+ .
+EQU
+ 0x0004C1
+
+897 
+_lv£l
+ .
+EQU
+ 0x0004C4
+
+898 
+LVSEL
+ .
+EQU
+ 0x0004C4
+
+899 
+_lvd\91
+ .
+EQU
+ 0x0004C5
+
+900 
+LVDET
+ .
+EQU
+ 0x0004C5
+
+901 
+_hwwde
+ .
+EQU
+ 0x0004C6
+
+902 
+HWWDE
+ .
+EQU
+ 0x0004C6
+
+903 
+_hwwd
+ .
+EQU
+ 0x0004C7
+
+904 
+HWWD
+ .
+EQU
+ 0x0004C7
+
+905 
+_osüh
+ .
+EQU
+ 0x0004C8
+
+906 
+OSCRH
+ .
+EQU
+ 0x0004C8
+
+907 
+_osül
+ .
+EQU
+ 0x0004C9
+
+908 
+OSCRL
+ .
+EQU
+ 0x0004C9
+
+909 
+_wpüh
+ .
+EQU
+ 0x0004CA
+
+910 
+WPCRH
+ .
+EQU
+ 0x0004CA
+
+911 
+_wpül
+ .
+EQU
+ 0x0004CB
+
+912 
+WPCRL
+ .
+EQU
+ 0x0004CB
+
+913 
+_oscü
+ .
+EQU
+ 0x0004CC
+
+914 
+OSCCR
+ .
+EQU
+ 0x0004CC
+
+915 
+_»g£l
+ .
+EQU
+ 0x0004CE
+
+916 
+REGSEL
+ .
+EQU
+ 0x0004CE
+
+917 
+_»gùr
+ .
+EQU
+ 0x0004CF
+
+918 
+REGCTR
+ .
+EQU
+ 0x0004CF
+
+919 
+_modr
+ .
+EQU
+ 0x0007FD
+
+920 
+MODR
+ .
+EQU
+ 0x0007FD
+
+921 
+_pdrd14
+ .
+EQU
+ 0x000D0E
+
+922 
+PDRD14
+ .
+EQU
+ 0x000D0E
+
+923 
+_pdrd15
+ .
+EQU
+ 0x000D0F
+
+924 
+PDRD15
+ .
+EQU
+ 0x000D0F
+
+925 
+_pdrd16
+ .
+EQU
+ 0x000D10
+
+926 
+PDRD16
+ .
+EQU
+ 0x000D10
+
+927 
+_pdrd17
+ .
+EQU
+ 0x000D11
+
+928 
+PDRD17
+ .
+EQU
+ 0x000D11
+
+929 
+_pdrd18
+ .
+EQU
+ 0x000D12
+
+930 
+PDRD18
+ .
+EQU
+ 0x000D12
+
+931 
+_pdrd19
+ .
+EQU
+ 0x000D13
+
+932 
+PDRD19
+ .
+EQU
+ 0x000D13
+
+933 
+_pdrd20
+ .
+EQU
+ 0x000D14
+
+934 
+PDRD20
+ .
+EQU
+ 0x000D14
+
+935 
+_pdrd21
+ .
+EQU
+ 0x000D15
+
+936 
+PDRD21
+ .
+EQU
+ 0x000D15
+
+937 
+_pdrd22
+ .
+EQU
+ 0x000D16
+
+938 
+PDRD22
+ .
+EQU
+ 0x000D16
+
+939 
+_pdrd24
+ .
+EQU
+ 0x000D18
+
+940 
+PDRD24
+ .
+EQU
+ 0x000D18
+
+941 
+_pdrd26
+ .
+EQU
+ 0x000D1A
+
+942 
+PDRD26
+ .
+EQU
+ 0x000D1A
+
+943 
+_pdrd27
+ .
+EQU
+ 0x000D1B
+
+944 
+PDRD27
+ .
+EQU
+ 0x000D1B
+
+945 
+_pdrd28
+ .
+EQU
+ 0x000D1C
+
+946 
+PDRD28
+ .
+EQU
+ 0x000D1C
+
+947 
+_pdrd29
+ .
+EQU
+ 0x000D1D
+
+948 
+PDRD29
+ .
+EQU
+ 0x000D1D
+
+949 
+_ddr14
+ .
+EQU
+ 0x000D4E
+
+950 
+DDR14
+ .
+EQU
+ 0x000D4E
+
+951 
+_ddr15
+ .
+EQU
+ 0x000D4F
+
+952 
+DDR15
+ .
+EQU
+ 0x000D4F
+
+953 
+_ddr16
+ .
+EQU
+ 0x000D50
+
+954 
+DDR16
+ .
+EQU
+ 0x000D50
+
+955 
+_ddr17
+ .
+EQU
+ 0x000D51
+
+956 
+DDR17
+ .
+EQU
+ 0x000D51
+
+957 
+_ddr18
+ .
+EQU
+ 0x000D52
+
+958 
+DDR18
+ .
+EQU
+ 0x000D52
+
+959 
+_ddr19
+ .
+EQU
+ 0x000D53
+
+960 
+DDR19
+ .
+EQU
+ 0x000D53
+
+961 
+_ddr20
+ .
+EQU
+ 0x000D54
+
+962 
+DDR20
+ .
+EQU
+ 0x000D54
+
+963 
+_ddr21
+ .
+EQU
+ 0x000D55
+
+964 
+DDR21
+ .
+EQU
+ 0x000D55
+
+965 
+_ddr22
+ .
+EQU
+ 0x000D56
+
+966 
+DDR22
+ .
+EQU
+ 0x000D56
+
+967 
+_ddr24
+ .
+EQU
+ 0x000D58
+
+968 
+DDR24
+ .
+EQU
+ 0x000D58
+
+969 
+_ddr26
+ .
+EQU
+ 0x000D5A
+
+970 
+DDR26
+ .
+EQU
+ 0x000D5A
+
+971 
+_ddr27
+ .
+EQU
+ 0x000D5B
+
+972 
+DDR27
+ .
+EQU
+ 0x000D5B
+
+973 
+_ddr28
+ .
+EQU
+ 0x000D5C
+
+974 
+DDR28
+ .
+EQU
+ 0x000D5C
+
+975 
+_ddr29
+ .
+EQU
+ 0x000D5D
+
+976 
+DDR29
+ .
+EQU
+ 0x000D5D
+
+977 
+_pä14
+ .
+EQU
+ 0x000D8E
+
+978 
+PFR14
+ .
+EQU
+ 0x000D8E
+
+979 
+_pä15
+ .
+EQU
+ 0x000D8F
+
+980 
+PFR15
+ .
+EQU
+ 0x000D8F
+
+981 
+_pä16
+ .
+EQU
+ 0x000D90
+
+982 
+PFR16
+ .
+EQU
+ 0x000D90
+
+983 
+_pä17
+ .
+EQU
+ 0x000D91
+
+984 
+PFR17
+ .
+EQU
+ 0x000D91
+
+985 
+_pä18
+ .
+EQU
+ 0x000D92
+
+986 
+PFR18
+ .
+EQU
+ 0x000D92
+
+987 
+_pä19
+ .
+EQU
+ 0x000D93
+
+988 
+PFR19
+ .
+EQU
+ 0x000D93
+
+989 
+_pä20
+ .
+EQU
+ 0x000D94
+
+990 
+PFR20
+ .
+EQU
+ 0x000D94
+
+991 
+_pä21
+ .
+EQU
+ 0x000D95
+
+992 
+PFR21
+ .
+EQU
+ 0x000D95
+
+993 
+_pä22
+ .
+EQU
+ 0x000D96
+
+994 
+PFR22
+ .
+EQU
+ 0x000D96
+
+995 
+_pä24
+ .
+EQU
+ 0x000D98
+
+996 
+PFR24
+ .
+EQU
+ 0x000D98
+
+997 
+_pä26
+ .
+EQU
+ 0x000D9A
+
+998 
+PFR26
+ .
+EQU
+ 0x000D9A
+
+999 
+_pä27
+ .
+EQU
+ 0x000D9B
+
+1000 
+PFR27
+ .
+EQU
+ 0x000D9B
+
+1001 
+_pä28
+ .
+EQU
+ 0x000D9C
+
+1002 
+PFR28
+ .
+EQU
+ 0x000D9C
+
+1003 
+_pä29
+ .
+EQU
+ 0x000D9D
+
+1004 
+PFR29
+ .
+EQU
+ 0x000D9D
+
+1005 
+_\95ä14
+ .
+EQU
+ 0x000DCE
+
+1006 
+EPFR14
+ .
+EQU
+ 0x000DCE
+
+1007 
+_\95ä15
+ .
+EQU
+ 0x000DCF
+
+1008 
+EPFR15
+ .
+EQU
+ 0x000DCF
+
+1009 
+_\95ä16
+ .
+EQU
+ 0x000DD0
+
+1010 
+EPFR16
+ .
+EQU
+ 0x000DD0
+
+1011 
+_\95ä17
+ .
+EQU
+ 0x000DD1
+
+1012 
+EPFR17
+ .
+EQU
+ 0x000DD1
+
+1013 
+_\95ä18
+ .
+EQU
+ 0x000DD2
+
+1014 
+EPFR18
+ .
+EQU
+ 0x000DD2
+
+1015 
+_\95ä19
+ .
+EQU
+ 0x000DD3
+
+1016 
+EPFR19
+ .
+EQU
+ 0x000DD3
+
+1017 
+_\95ä20
+ .
+EQU
+ 0x000DD4
+
+1018 
+EPFR20
+ .
+EQU
+ 0x000DD4
+
+1019 
+_\95ä21
+ .
+EQU
+ 0x000DD5
+
+1020 
+EPFR21
+ .
+EQU
+ 0x000DD5
+
+1021 
+_\95ä22
+ .
+EQU
+ 0x000DD6
+
+1022 
+EPFR22
+ .
+EQU
+ 0x000DD6
+
+1023 
+_\95ä24
+ .
+EQU
+ 0x000DD8
+
+1024 
+EPFR24
+ .
+EQU
+ 0x000DD8
+
+1025 
+_\95ä26
+ .
+EQU
+ 0x000DDA
+
+1026 
+EPFR26
+ .
+EQU
+ 0x000DDA
+
+1027 
+_\95ä27
+ .
+EQU
+ 0x000DDB
+
+1028 
+EPFR27
+ .
+EQU
+ 0x000DDB
+
+1029 
+_\95ä29
+ .
+EQU
+ 0x000DDD
+
+1030 
+EPFR29
+ .
+EQU
+ 0x000DDD
+
+1031 
+_podr14
+ .
+EQU
+ 0x000E0E
+
+1032 
+PODR14
+ .
+EQU
+ 0x000E0E
+
+1033 
+_podr15
+ .
+EQU
+ 0x000E0F
+
+1034 
+PODR15
+ .
+EQU
+ 0x000E0F
+
+1035 
+_podr16
+ .
+EQU
+ 0x000E10
+
+1036 
+PODR16
+ .
+EQU
+ 0x000E10
+
+1037 
+_podr17
+ .
+EQU
+ 0x000E11
+
+1038 
+PODR17
+ .
+EQU
+ 0x000E11
+
+1039 
+_podr18
+ .
+EQU
+ 0x000E12
+
+1040 
+PODR18
+ .
+EQU
+ 0x000E12
+
+1041 
+_podr19
+ .
+EQU
+ 0x000E13
+
+1042 
+PODR19
+ .
+EQU
+ 0x000E13
+
+1043 
+_podr20
+ .
+EQU
+ 0x000E14
+
+1044 
+PODR20
+ .
+EQU
+ 0x000E14
+
+1045 
+_podr21
+ .
+EQU
+ 0x000E15
+
+1046 
+PODR21
+ .
+EQU
+ 0x000E15
+
+1047 
+_podr22
+ .
+EQU
+ 0x000E16
+
+1048 
+PODR22
+ .
+EQU
+ 0x000E16
+
+1049 
+_podr24
+ .
+EQU
+ 0x000E18
+
+1050 
+PODR24
+ .
+EQU
+ 0x000E18
+
+1051 
+_podr26
+ .
+EQU
+ 0x000E1A
+
+1052 
+PODR26
+ .
+EQU
+ 0x000E1A
+
+1053 
+_podr27
+ .
+EQU
+ 0x000E1B
+
+1054 
+PODR27
+ .
+EQU
+ 0x000E1B
+
+1055 
+_podr28
+ .
+EQU
+ 0x000E1C
+
+1056 
+PODR28
+ .
+EQU
+ 0x000E1C
+
+1057 
+_podr29
+ .
+EQU
+ 0x000E1D
+
+1058 
+PODR29
+ .
+EQU
+ 0x000E1D
+
+1059 
+_p\9er14
+ .
+EQU
+ 0x000E4E
+
+1060 
+PILR14
+ .
+EQU
+ 0x000E4E
+
+1061 
+_p\9er15
+ .
+EQU
+ 0x000E4F
+
+1062 
+PILR15
+ .
+EQU
+ 0x000E4F
+
+1063 
+_p\9er16
+ .
+EQU
+ 0x000E50
+
+1064 
+PILR16
+ .
+EQU
+ 0x000E50
+
+1065 
+_p\9er17
+ .
+EQU
+ 0x000E51
+
+1066 
+PILR17
+ .
+EQU
+ 0x000E51
+
+1067 
+_p\9er18
+ .
+EQU
+ 0x000E52
+
+1068 
+PILR18
+ .
+EQU
+ 0x000E52
+
+1069 
+_p\9er19
+ .
+EQU
+ 0x000E53
+
+1070 
+PILR19
+ .
+EQU
+ 0x000E53
+
+1071 
+_p\9er20
+ .
+EQU
+ 0x000E54
+
+1072 
+PILR20
+ .
+EQU
+ 0x000E54
+
+1073 
+_p\9er21
+ .
+EQU
+ 0x000E55
+
+1074 
+PILR21
+ .
+EQU
+ 0x000E55
+
+1075 
+_p\9er22
+ .
+EQU
+ 0x000E56
+
+1076 
+PILR22
+ .
+EQU
+ 0x000E56
+
+1077 
+_p\9er24
+ .
+EQU
+ 0x000E58
+
+1078 
+PILR24
+ .
+EQU
+ 0x000E58
+
+1079 
+_p\9er26
+ .
+EQU
+ 0x000E5A
+
+1080 
+PILR26
+ .
+EQU
+ 0x000E5A
+
+1081 
+_p\9er27
+ .
+EQU
+ 0x000E5B
+
+1082 
+PILR27
+ .
+EQU
+ 0x000E5B
+
+1083 
+_p\9er28
+ .
+EQU
+ 0x000E5C
+
+1084 
+PILR28
+ .
+EQU
+ 0x000E5C
+
+1085 
+_p\9er29
+ .
+EQU
+ 0x000E5D
+
+1086 
+PILR29
+ .
+EQU
+ 0x000E5D
+
+1087 
+_\95\9er14
+ .
+EQU
+ 0x000E8E
+
+1088 
+EPILR14
+ .
+EQU
+ 0x000E8E
+
+1089 
+_\95\9er15
+ .
+EQU
+ 0x000E8F
+
+1090 
+EPILR15
+ .
+EQU
+ 0x000E8F
+
+1091 
+_\95\9er16
+ .
+EQU
+ 0x000E90
+
+1092 
+EPILR16
+ .
+EQU
+ 0x000E90
+
+1093 
+_\95\9er17
+ .
+EQU
+ 0x000E91
+
+1094 
+EPILR17
+ .
+EQU
+ 0x000E91
+
+1095 
+_\95\9er18
+ .
+EQU
+ 0x000E92
+
+1096 
+EPILR18
+ .
+EQU
+ 0x000E92
+
+1097 
+_\95\9er19
+ .
+EQU
+ 0x000E93
+
+1098 
+EPILR19
+ .
+EQU
+ 0x000E93
+
+1099 
+_\95\9er20
+ .
+EQU
+ 0x000E94
+
+1100 
+EPILR20
+ .
+EQU
+ 0x000E94
+
+1101 
+_\95\9er21
+ .
+EQU
+ 0x000E95
+
+1102 
+EPILR21
+ .
+EQU
+ 0x000E95
+
+1103 
+_\95\9er22
+ .
+EQU
+ 0x000E96
+
+1104 
+EPILR22
+ .
+EQU
+ 0x000E96
+
+1105 
+_\95\9er24
+ .
+EQU
+ 0x000E98
+
+1106 
+EPILR24
+ .
+EQU
+ 0x000E98
+
+1107 
+_\95\9er26
+ .
+EQU
+ 0x000E9A
+
+1108 
+EPILR26
+ .
+EQU
+ 0x000E9A
+
+1109 
+_\95\9er27
+ .
+EQU
+ 0x000E9B
+
+1110 
+EPILR27
+ .
+EQU
+ 0x000E9B
+
+1111 
+_\95\9er28
+ .
+EQU
+ 0x000E9C
+
+1112 
+EPILR28
+ .
+EQU
+ 0x000E9C
+
+1113 
+_\95\9er29
+ .
+EQU
+ 0x000E9D
+
+1114 
+EPILR29
+ .
+EQU
+ 0x000E9D
+
+1115 
+_µ\9414
+ .
+EQU
+ 0x000ECE
+
+1116 
+PPER14
+ .
+EQU
+ 0x000ECE
+
+1117 
+_µ\9415
+ .
+EQU
+ 0x000ECF
+
+1118 
+PPER15
+ .
+EQU
+ 0x000ECF
+
+1119 
+_µ\9416
+ .
+EQU
+ 0x000ED0
+
+1120 
+PPER16
+ .
+EQU
+ 0x000ED0
+
+1121 
+_µ\9417
+ .
+EQU
+ 0x000ED1
+
+1122 
+PPER17
+ .
+EQU
+ 0x000ED1
+
+1123 
+_µ\9418
+ .
+EQU
+ 0x000ED1
+
+1124 
+PPER18
+ .
+EQU
+ 0x000ED1
+
+1125 
+_µ\9419
+ .
+EQU
+ 0x000ED2
+
+1126 
+PPER19
+ .
+EQU
+ 0x000ED2
+
+1127 
+_µ\9420
+ .
+EQU
+ 0x000ED4
+
+1128 
+PPER20
+ .
+EQU
+ 0x000ED4
+
+1129 
+_µ\9421
+ .
+EQU
+ 0x000ED5
+
+1130 
+PPER21
+ .
+EQU
+ 0x000ED5
+
+1131 
+_µ\9422
+ .
+EQU
+ 0x000ED6
+
+1132 
+PPER22
+ .
+EQU
+ 0x000ED6
+
+1133 
+_µ\9424
+ .
+EQU
+ 0x000ED8
+
+1134 
+PPER24
+ .
+EQU
+ 0x000ED8
+
+1135 
+_µ\9426
+ .
+EQU
+ 0x000EDA
+
+1136 
+PPER26
+ .
+EQU
+ 0x000EDA
+
+1137 
+_µ\9427
+ .
+EQU
+ 0x000EDB
+
+1138 
+PPER27
+ .
+EQU
+ 0x000EDB
+
+1139 
+_µ\9428
+ .
+EQU
+ 0x000EDC
+
+1140 
+PPER28
+ .
+EQU
+ 0x000EDC
+
+1141 
+_µ\9429
+ .
+EQU
+ 0x000EDD
+
+1142 
+PPER29
+ .
+EQU
+ 0x000EDD
+
+1143 
+_µü14
+ .
+EQU
+ 0x000F0E
+
+1144 
+PPCR14
+ .
+EQU
+ 0x000F0E
+
+1145 
+_µü15
+ .
+EQU
+ 0x000F0F
+
+1146 
+PPCR15
+ .
+EQU
+ 0x000F0F
+
+1147 
+_µü16
+ .
+EQU
+ 0x000F10
+
+1148 
+PPCR16
+ .
+EQU
+ 0x000F10
+
+1149 
+_µü17
+ .
+EQU
+ 0x000F11
+
+1150 
+PPCR17
+ .
+EQU
+ 0x000F11
+
+1151 
+_µü18
+ .
+EQU
+ 0x000F12
+
+1152 
+PPCR18
+ .
+EQU
+ 0x000F12
+
+1153 
+_µü19
+ .
+EQU
+ 0x000F13
+
+1154 
+PPCR19
+ .
+EQU
+ 0x000F13
+
+1155 
+_µü20
+ .
+EQU
+ 0x000F14
+
+1156 
+PPCR20
+ .
+EQU
+ 0x000F14
+
+1157 
+_µü21
+ .
+EQU
+ 0x000F15
+
+1158 
+PPCR21
+ .
+EQU
+ 0x000F15
+
+1159 
+_µü22
+ .
+EQU
+ 0x000F16
+
+1160 
+PPCR22
+ .
+EQU
+ 0x000F16
+
+1161 
+_µü24
+ .
+EQU
+ 0x000F18
+
+1162 
+PPCR24
+ .
+EQU
+ 0x000F18
+
+1163 
+_µü26
+ .
+EQU
+ 0x000F1A
+
+1164 
+PPCR26
+ .
+EQU
+ 0x000F1A
+
+1165 
+_µü27
+ .
+EQU
+ 0x000F1B
+
+1166 
+PPCR27
+ .
+EQU
+ 0x000F1B
+
+1167 
+_µü28
+ .
+EQU
+ 0x000F1C
+
+1168 
+PPCR28
+ .
+EQU
+ 0x000F1C
+
+1169 
+_µü29
+ .
+EQU
+ 0x000F1D
+
+1170 
+PPCR29
+ .
+EQU
+ 0x000F1D
+
+1171 
+_dma§0
+ .
+EQU
+ 0x001000
+
+1172 
+DMASA0
+ .
+EQU
+ 0x001000
+
+1173 
+_dmada0
+ .
+EQU
+ 0x001004
+
+1174 
+DMADA0
+ .
+EQU
+ 0x001004
+
+1175 
+_dma§1
+ .
+EQU
+ 0x001008
+
+1176 
+DMASA1
+ .
+EQU
+ 0x001008
+
+1177 
+_dmada1
+ .
+EQU
+ 0x00100C
+
+1178 
+DMADA1
+ .
+EQU
+ 0x00100C
+
+1179 
+_dma§2
+ .
+EQU
+ 0x001010
+
+1180 
+DMASA2
+ .
+EQU
+ 0x001010
+
+1181 
+_dmada2
+ .
+EQU
+ 0x001014
+
+1182 
+DMADA2
+ .
+EQU
+ 0x001014
+
+1183 
+_dma§3
+ .
+EQU
+ 0x001018
+
+1184 
+DMASA3
+ .
+EQU
+ 0x001018
+
+1185 
+_dmada3
+ .
+EQU
+ 0x00101C
+
+1186 
+DMADA3
+ .
+EQU
+ 0x00101C
+
+1187 
+_dma§4
+ .
+EQU
+ 0x001020
+
+1188 
+DMASA4
+ .
+EQU
+ 0x001020
+
+1189 
+_dmada4
+ .
+EQU
+ 0x001024
+
+1190 
+DMADA4
+ .
+EQU
+ 0x001024
+
+1191 
+_fmcs
+ .
+EQU
+ 0x007000
+
+1192 
+FMCS
+ .
+EQU
+ 0x007000
+
+1193 
+_fmü
+ .
+EQU
+ 0x007001
+
+1194 
+FMCR
+ .
+EQU
+ 0x007001
+
+1195 
+_fchü
+ .
+EQU
+ 0x007002
+
+1196 
+FCHCR
+ .
+EQU
+ 0x007002
+
+1197 
+_fmwt
+ .
+EQU
+ 0x007004
+
+1198 
+FMWT
+ .
+EQU
+ 0x007004
+
+1199 
+_fmwt2
+ .
+EQU
+ 0x007006
+
+1200 
+FMWT2
+ .
+EQU
+ 0x007006
+
+1201 
+_fmps
+ .
+EQU
+ 0x007007
+
+1202 
+FMPS
+ .
+EQU
+ 0x007007
+
+1203 
+_fmac
+ .
+EQU
+ 0x007008
+
+1204 
+FMAC
+ .
+EQU
+ 0x007008
+
+1205 
+_fcha0
+ .
+EQU
+ 0x00700C
+
+1206 
+FCHA0
+ .
+EQU
+ 0x00700C
+
+1207 
+_fcha1
+ .
+EQU
+ 0x007010
+
+1208 
+FCHA1
+ .
+EQU
+ 0x007010
+
+1209 
+_fsü0
+ .
+EQU
+ 0x007100
+
+1210 
+FSCR0
+ .
+EQU
+ 0x007100
+
+1211 
+_fsü1
+ .
+EQU
+ 0x007104
+
+1212 
+FSCR1
+ .
+EQU
+ 0x007104
+
+1213 
+_ù¾r4
+ .
+EQU
+ 0x00C400
+
+1214 
+CTRLR4
+ .
+EQU
+ 0x00C400
+
+1215 
+_¡©r4
+ .
+EQU
+ 0x00C402
+
+1216 
+STATR4
+ .
+EQU
+ 0x00C402
+
+1217 
+_\94rút4
+ .
+EQU
+ 0x00C404
+
+1218 
+ERRCNT4
+ .
+EQU
+ 0x00C404
+
+1219 
+_b\8c4
+ .
+EQU
+ 0x00C406
+
+1220 
+BTR4
+ .
+EQU
+ 0x00C406
+
+1221 
+_\9a\8c4
+ .
+EQU
+ 0x00C408
+
+1222 
+INTR4
+ .
+EQU
+ 0x00C408
+
+1223 
+_\8b¡r4
+ .
+EQU
+ 0x00C40A
+
+1224 
+TESTR4
+ .
+EQU
+ 0x00C40A
+
+1225 
+_b½\944
+ .
+EQU
+ 0x00C40C
+
+1226 
+BRPER4
+ .
+EQU
+ 0x00C40C
+
+1227 
+_b½e4
+ .
+EQU
+ 0x00C40C
+
+1228 
+BRPE4
+ .
+EQU
+ 0x00C40C
+
+1229 
+_if1üeq4
+ .
+EQU
+ 0x00C410
+
+1230 
+IF1CREQ4
+ .
+EQU
+ 0x00C410
+
+1231 
+_if1cmsk4
+ .
+EQU
+ 0x00C412
+
+1232 
+IF1CMSK4
+ .
+EQU
+ 0x00C412
+
+1233 
+_if1msk124
+ .
+EQU
+ 0x00C414
+
+1234 
+IF1MSK124
+ .
+EQU
+ 0x00C414
+
+1235 
+_if1msk24
+ .
+EQU
+ 0x00C414
+
+1236 
+IF1MSK24
+ .
+EQU
+ 0x00C414
+
+1237 
+_if1msk14
+ .
+EQU
+ 0x00C416
+
+1238 
+IF1MSK14
+ .
+EQU
+ 0x00C416
+
+1239 
+_if1¬b124
+ .
+EQU
+ 0x00C418
+
+1240 
+IF1ARB124
+ .
+EQU
+ 0x00C418
+
+1241 
+_if1¬b24
+ .
+EQU
+ 0x00C418
+
+1242 
+IF1ARB24
+ .
+EQU
+ 0x00C418
+
+1243 
+_if1¬b14
+ .
+EQU
+ 0x00C41A
+
+1244 
+IF1ARB14
+ .
+EQU
+ 0x00C41A
+
+1245 
+_if1mùr4
+ .
+EQU
+ 0x00C41C
+
+1246 
+IF1MCTR4
+ .
+EQU
+ 0x00C41C
+
+1247 
+_if1d\8f124
+ .
+EQU
+ 0x00C420
+
+1248 
+IF1DTA124
+ .
+EQU
+ 0x00C420
+
+1249 
+_if1d\8f14
+ .
+EQU
+ 0x00C420
+
+1250 
+IF1DTA14
+ .
+EQU
+ 0x00C420
+
+1251 
+_if1d\8f24
+ .
+EQU
+ 0x00C422
+
+1252 
+IF1DTA24
+ .
+EQU
+ 0x00C422
+
+1253 
+_if1dtb124
+ .
+EQU
+ 0x00C424
+
+1254 
+IF1DTB124
+ .
+EQU
+ 0x00C424
+
+1255 
+_if1dtb14
+ .
+EQU
+ 0x00C424
+
+1256 
+IF1DTB14
+ .
+EQU
+ 0x00C424
+
+1257 
+_if1dtb24
+ .
+EQU
+ 0x00C426
+
+1258 
+IF1DTB24
+ .
+EQU
+ 0x00C426
+
+1259 
+_if1d\8f_swp124
+ .
+EQU
+ 0x00C430
+
+1260 
+IF1DTA_SWP124
+ .
+EQU
+ 0x00C430
+
+1261 
+_if1d\8f_swp24
+ .
+EQU
+ 0x00C430
+
+1262 
+IF1DTA_SWP24
+ .
+EQU
+ 0x00C430
+
+1263 
+_if1d\8f_swp14
+ .
+EQU
+ 0x00C432
+
+1264 
+IF1DTA_SWP14
+ .
+EQU
+ 0x00C432
+
+1265 
+_if1dtb_swp124
+ .
+EQU
+ 0x00C434
+
+1266 
+IF1DTB_SWP124
+ .
+EQU
+ 0x00C434
+
+1267 
+_if1dtb_swp24
+ .
+EQU
+ 0x00C434
+
+1268 
+IF1DTB_SWP24
+ .
+EQU
+ 0x00C434
+
+1269 
+_if1dtb_swp14
+ .
+EQU
+ 0x00C436
+
+1270 
+IF1DTB_SWP14
+ .
+EQU
+ 0x00C436
+
+1271 
+_if2üeq4
+ .
+EQU
+ 0x00C440
+
+1272 
+IF2CREQ4
+ .
+EQU
+ 0x00C440
+
+1273 
+_if2cmsk4
+ .
+EQU
+ 0x00C442
+
+1274 
+IF2CMSK4
+ .
+EQU
+ 0x00C442
+
+1275 
+_if2msk124
+ .
+EQU
+ 0x00C444
+
+1276 
+IF2MSK124
+ .
+EQU
+ 0x00C444
+
+1277 
+_if2msk24
+ .
+EQU
+ 0x00C444
+
+1278 
+IF2MSK24
+ .
+EQU
+ 0x00C444
+
+1279 
+_if2msk14
+ .
+EQU
+ 0x00C446
+
+1280 
+IF2MSK14
+ .
+EQU
+ 0x00C446
+
+1281 
+_if2¬b124
+ .
+EQU
+ 0x00C448
+
+1282 
+IF2ARB124
+ .
+EQU
+ 0x00C448
+
+1283 
+_if2¬b24
+ .
+EQU
+ 0x00C448
+
+1284 
+IF2ARB24
+ .
+EQU
+ 0x00C448
+
+1285 
+_if2¬b14
+ .
+EQU
+ 0x00C44A
+
+1286 
+IF2ARB14
+ .
+EQU
+ 0x00C44A
+
+1287 
+_if2mùr4
+ .
+EQU
+ 0x00C44C
+
+1288 
+IF2MCTR4
+ .
+EQU
+ 0x00C44C
+
+1289 
+_if2d\8f124
+ .
+EQU
+ 0x00C450
+
+1290 
+IF2DTA124
+ .
+EQU
+ 0x00C450
+
+1291 
+_if2d\8f14
+ .
+EQU
+ 0x00C450
+
+1292 
+IF2DTA14
+ .
+EQU
+ 0x00C450
+
+1293 
+_if2d\8f24
+ .
+EQU
+ 0x00C452
+
+1294 
+IF2DTA24
+ .
+EQU
+ 0x00C452
+
+1295 
+_if2dtb124
+ .
+EQU
+ 0x00C454
+
+1296 
+IF2DTB124
+ .
+EQU
+ 0x00C454
+
+1297 
+_if2dtb14
+ .
+EQU
+ 0x00C454
+
+1298 
+IF2DTB14
+ .
+EQU
+ 0x00C454
+
+1299 
+_if2dtb24
+ .
+EQU
+ 0x00C456
+
+1300 
+IF2DTB24
+ .
+EQU
+ 0x00C456
+
+1301 
+_if2d\8f_swp124
+ .
+EQU
+ 0x00C460
+
+1302 
+IF2DTA_SWP124
+ .
+EQU
+ 0x00C460
+
+1303 
+_if2d\8f_swp24
+ .
+EQU
+ 0x00C460
+
+1304 
+IF2DTA_SWP24
+ .
+EQU
+ 0x00C460
+
+1305 
+_if2d\8f_swp14
+ .
+EQU
+ 0x00C462
+
+1306 
+IF2DTA_SWP14
+ .
+EQU
+ 0x00C462
+
+1307 
+_if2dtb_swp124
+ .
+EQU
+ 0x00C464
+
+1308 
+IF2DTB_SWP124
+ .
+EQU
+ 0x00C464
+
+1309 
+_if2dtb_swp24
+ .
+EQU
+ 0x00C464
+
+1310 
+IF2DTB_SWP24
+ .
+EQU
+ 0x00C464
+
+1311 
+_if2dtb_swp14
+ .
+EQU
+ 0x00C466
+
+1312 
+IF2DTB_SWP14
+ .
+EQU
+ 0x00C466
+
+1313 
+_\8ceqr124
+ .
+EQU
+ 0x00C480
+
+1314 
+TREQR124
+ .
+EQU
+ 0x00C480
+
+1315 
+_\8ceqr24
+ .
+EQU
+ 0x00C480
+
+1316 
+TREQR24
+ .
+EQU
+ 0x00C480
+
+1317 
+_\8ceqr14
+ .
+EQU
+ 0x00C482
+
+1318 
+TREQR14
+ .
+EQU
+ 0x00C482
+
+1319 
+_\8ceqr344
+ .
+EQU
+ 0x00C484
+
+1320 
+TREQR344
+ .
+EQU
+ 0x00C484
+
+1321 
+_Ãwdt124
+ .
+EQU
+ 0x00C490
+
+1322 
+NEWDT124
+ .
+EQU
+ 0x00C490
+
+1323 
+_Ãwdt24
+ .
+EQU
+ 0x00C490
+
+1324 
+NEWDT24
+ .
+EQU
+ 0x00C490
+
+1325 
+_Ãwdt14
+ .
+EQU
+ 0x00C492
+
+1326 
+NEWDT14
+ .
+EQU
+ 0x00C492
+
+1327 
+_\9a\8dnd124
+ .
+EQU
+ 0x00C4A0
+
+1328 
+INTPND124
+ .
+EQU
+ 0x00C4A0
+
+1329 
+_\9a\8dnd24
+ .
+EQU
+ 0x00C4A0
+
+1330 
+INTPND24
+ .
+EQU
+ 0x00C4A0
+
+1331 
+_\9a\8dnd14
+ .
+EQU
+ 0x00C4A2
+
+1332 
+INTPND14
+ .
+EQU
+ 0x00C4A2
+
+1333 
+_msgv®124
+ .
+EQU
+ 0x00C4B0
+
+1334 
+MSGVAL124
+ .
+EQU
+ 0x00C4B0
+
+1335 
+_msgv®24
+ .
+EQU
+ 0x00C4B0
+
+1336 
+MSGVAL24
+ .
+EQU
+ 0x00C4B0
+
+1337 
+_msgv®14
+ .
+EQU
+ 0x00C4B2
+
+1338 
+MSGVAL14
+ .
+EQU
+ 0x00C4B2
+
+1339 
+_bù¾
+ .
+EQU
+ 0x00F000
+
+1340 
+BCTRL
+ .
+EQU
+ 0x00F000
+
+1341 
+_b¡©
+ .
+EQU
+ 0x00F004
+
+1342 
+BSTAT
+ .
+EQU
+ 0x00F004
+
+1343 
+_b\9fc
+ .
+EQU
+ 0x00F008
+
+1344 
+BIAC
+ .
+EQU
+ 0x00F008
+
+1345 
+_bßc
+ .
+EQU
+ 0x00F00C
+
+1346 
+BOAC
+ .
+EQU
+ 0x00F00C
+
+1347 
+_b\9cq
+ .
+EQU
+ 0x00F010
+
+1348 
+BIRQ
+ .
+EQU
+ 0x00F010
+
+1349 
+_bü0
+ .
+EQU
+ 0x00F020
+
+1350 
+BCR0
+ .
+EQU
+ 0x00F020
+
+1351 
+_bü1
+ .
+EQU
+ 0x00F024
+
+1352 
+BCR1
+ .
+EQU
+ 0x00F024
+
+1353 
+_bad0
+ .
+EQU
+ 0x00F080
+
+1354 
+BAD0
+ .
+EQU
+ 0x00F080
+
+1355 
+_bad1
+ .
+EQU
+ 0x00F084
+
+1356 
+BAD1
+ .
+EQU
+ 0x00F084
+
+1357 
+_bad2
+ .
+EQU
+ 0x00F088
+
+1358 
+BAD2
+ .
+EQU
+ 0x00F088
+
+1359 
+_bad3
+ .
+EQU
+ 0x00F08C
+
+1360 
+BAD3
+ .
+EQU
+ 0x00F08C
+
+1361 
+_bad4
+ .
+EQU
+ 0x00F090
+
+1362 
+BAD4
+ .
+EQU
+ 0x00F090
+
+1363 
+_bad5
+ .
+EQU
+ 0x00F094
+
+1364 
+BAD5
+ .
+EQU
+ 0x00F094
+
+1365 
+_bad6
+ .
+EQU
+ 0x00F098
+
+1366 
+BAD6
+ .
+EQU
+ 0x00F098
+
+1367 
+_bad7
+ .
+EQU
+ 0x00F09C
+
+1368 
+BAD7
+ .
+EQU
+ 0x00F09C
+
+1369 
+_fsv1
+ .
+EQU
+ 0x148000
+
+1370 
+FSV1
+ .
+EQU
+ 0x148000
+
+1371 
+_bsv1
+ .
+EQU
+ 0x148004
+
+1372 
+BSV1
+ .
+EQU
+ 0x148004
+
+1373 
+_fsv2
+ .
+EQU
+ 0x148008
+
+1374 
+FSV2
+ .
+EQU
+ 0x148008
+
+1375 
+_bsv2
+ .
+EQU
+ 0x14800C
+
+1376 
+BSV2
+ .
+EQU
+ 0x14800C
+
+1377 #´agm¨
+\92dasm
+
+
+1380 #iâdeà
+_MB91XXX_H
+
+
+1381 \ 1
+       #_MB91XXX_H
+
+
+       )
+
+1383 #ifdeà 
+__FASM__
+
+
+1384 #´agm¨
+asm
+
+
+1385 .
+IMPORT
+_pdr14
+, 
+_pdr15
+, 
+_pdr16
+, 
+_pdr17
+, 
+_pdr18
+, 
+_pdr19
+
+
+1386 .
+IMPORT
+_pdr20
+, 
+_pdr21
+, 
+_pdr22
+, 
+_pdr24
+, 
+_pdr26
+, 
+_pdr27
+
+
+1387 .
+IMPORT
+_pdr28
+, 
+_pdr29
+, 
+_e\9cr0
+, 
+_\92\9c0
+, 
+_\96vr0
+, 
+_e\9cr1
+
+
+1388 .
+IMPORT
+_\92\9c1
+, 
+_\96vr1
+, 
+_diü
+, 
+_hrþ
+, 
+_rbsync
+, 
+_sü00
+
+
+1389 .
+IMPORT
+_smr00
+, 
+_s¤00
+, 
+_rdr00
+, 
+_tdr00
+, 
+_esü00
+, 
+_ecü00
+
+
+1390 .
+IMPORT
+_sü01
+, 
+_smr01
+, 
+_s¤01
+, 
+_rdr01
+, 
+_tdr01
+, 
+_esü01
+
+
+1391 .
+IMPORT
+_ecü01
+, 
+_sü02
+, 
+_smr02
+, 
+_s¤02
+, 
+_rdr02
+, 
+_tdr02
+
+
+1392 .
+IMPORT
+_esü02
+, 
+_ecü02
+, 
+_sü03
+, 
+_smr03
+, 
+_s¤03
+, 
+_rdr03
+
+
+1393 .
+IMPORT
+_tdr03
+, 
+_esü03
+, 
+_ecü03
+, 
+_sü04
+, 
+_smr04
+, 
+_s¤04
+
+
+1394 .
+IMPORT
+_rdr04
+, 
+_tdr04
+, 
+_esü04
+, 
+_ecü04
+, 
+_f¤04
+, 
+_fü04
+
+
+1395 .
+IMPORT
+_bgr00
+, 
+_bgr100
+, 
+_bgr000
+, 
+_bgr01
+, 
+_bgr101
+, 
+_bgr001
+
+
+1396 .
+IMPORT
+_bgr02
+, 
+_bgr102
+, 
+_bgr002
+, 
+_bgr03
+, 
+_bgr103
+, 
+_bgr003
+
+
+1397 .
+IMPORT
+_bgr04
+, 
+_bgr104
+, 
+_bgr004
+, 
+_ibü0
+, 
+_ib¤0
+, 
+_\99ba0
+
+
+1398 .
+IMPORT
+_\99bah0
+, 
+_\99b®0
+, 
+_\99mk0
+, 
+_\99mkh0
+, 
+_\99mkl0
+, 
+_ismk0
+
+
+1399 .
+IMPORT
+_isba0
+, 
+_id¬0
+, 
+_icü0
+, 
+_gú10
+, 
+_gú20
+, 
+_gú11
+
+
+1400 .
+IMPORT
+_gú21
+, 
+_gú12
+, 
+_gú22
+, 
+_±mr00
+, 
+_pc¤00
+, 
+_pdut00
+
+
+1401 .
+IMPORT
+_pú00
+, 
+_púh00
+, 
+_púl00
+, 
+_±mr01
+, 
+_pc¤01
+, 
+_pdut01
+
+
+1402 .
+IMPORT
+_pú01
+, 
+_púh01
+, 
+_púl01
+, 
+_±mr02
+, 
+_pc¤02
+, 
+_pdut02
+
+
+1403 .
+IMPORT
+_pú02
+, 
+_púh02
+, 
+_púl02
+, 
+_±mr03
+, 
+_pc¤03
+, 
+_pdut03
+
+
+1404 .
+IMPORT
+_pú03
+, 
+_púh03
+, 
+_púl03
+, 
+_±mr04
+, 
+_pc¤04
+, 
+_pdut04
+
+
+1405 .
+IMPORT
+_pú04
+, 
+_púh04
+, 
+_púl04
+, 
+_±mr05
+, 
+_pc¤05
+, 
+_pdut05
+
+
+1406 .
+IMPORT
+_pú05
+, 
+_púh05
+, 
+_púl05
+, 
+_±mr06
+, 
+_pc¤06
+, 
+_pdut06
+
+
+1407 .
+IMPORT
+_pú06
+, 
+_púh06
+, 
+_púl06
+, 
+_±mr07
+, 
+_pc¤07
+, 
+_pdut07
+
+
+1408 .
+IMPORT
+_pú07
+, 
+_púh07
+, 
+_púl07
+, 
+_±mr08
+, 
+_pc¤08
+, 
+_pdut08
+
+
+1409 .
+IMPORT
+_pú08
+, 
+_púh08
+, 
+_púl08
+, 
+_±mr09
+, 
+_pc¤09
+, 
+_pdut09
+
+
+1410 .
+IMPORT
+_pú09
+, 
+_púh09
+, 
+_púl09
+, 
+_±mr10
+, 
+_pc¤10
+, 
+_pdut10
+
+
+1411 .
+IMPORT
+_pú10
+, 
+_púh10
+, 
+_púl10
+, 
+_±mr11
+, 
+_pc¤11
+, 
+_pdut11
+
+
+1412 .
+IMPORT
+_pú11
+, 
+_púh11
+, 
+_púl11
+, 
+_ics01
+, 
+_ics23
+, 
+_\9dý0
+
+
+1413 .
+IMPORT
+_\9dý1
+, 
+_\9dý2
+, 
+_\9dý3
+, 
+_ocs01
+, 
+_ocs23
+, 
+_ocý0
+
+
+1414 .
+IMPORT
+_ocý1
+, 
+_ocý2
+, 
+_ocý3
+, 
+_ad\94h
+, 
+_ad\94l
+, 
+_ad\94
+
+
+1415 .
+IMPORT
+_adcs1
+, 
+_adcs0
+, 
+_adcs
+, 
+_adü1
+, 
+_adü0
+, 
+_adü
+
+
+1416 .
+IMPORT
+_adù1
+, 
+_adù0
+, 
+_adù
+, 
+_adsch
+, 
+_adech
+, 
+_tm¾r0
+
+
+1417 .
+IMPORT
+_tmr0
+, 
+_tmc¤0
+, 
+_tmc¤h0
+, 
+_tmc¤l0
+, 
+_tm¾r1
+, 
+_tmr1
+
+
+1418 .
+IMPORT
+_tmc¤1
+, 
+_tmc¤h1
+, 
+_tmc¤l1
+, 
+_tm¾r2
+, 
+_tmr2
+, 
+_tmc¤2
+
+
+1419 .
+IMPORT
+_tmc¤h2
+, 
+_tmc¤l2
+, 
+_tm¾r3
+, 
+_tmr3
+, 
+_tmc¤3
+, 
+_tmc¤h3
+
+
+1420 .
+IMPORT
+_tmc¤l3
+, 
+_tm¾r4
+, 
+_tmr4
+, 
+_tmc¤4
+, 
+_tmc¤h4
+, 
+_tmc¤l4
+
+
+1421 .
+IMPORT
+_tm¾r5
+, 
+_tmr5
+, 
+_tmc¤5
+, 
+_tmc¤h5
+, 
+_tmc¤l5
+, 
+_tm¾r6
+
+
+1422 .
+IMPORT
+_tmr6
+, 
+_tmc¤6
+, 
+_tmc¤h6
+, 
+_tmc¤l6
+, 
+_tm¾r7
+, 
+_tmr7
+
+
+1423 .
+IMPORT
+_tmc¤7
+, 
+_tmc¤h7
+, 
+_tmc¤l7
+, 
+_tcdt0
+, 
+_tccs0
+, 
+_tcdt1
+
+
+1424 .
+IMPORT
+_tccs1
+, 
+_tcdt2
+, 
+_tccs2
+, 
+_tcdt3
+, 
+_tccs3
+, 
+_dmaÿ0
+
+
+1425 .
+IMPORT
+_dmacb0
+, 
+_dmaÿ1
+, 
+_dmacb1
+, 
+_dmaÿ2
+, 
+_dmacb2
+, 
+_dmaÿ3
+
+
+1426 .
+IMPORT
+_dmacb3
+, 
+_dmaÿ4
+, 
+_dmacb4
+, 
+_dmaü
+, 
+_ics45
+, 
+_ics67
+
+
+1427 .
+IMPORT
+_\9dý4
+, 
+_\9dý5
+, 
+_\9dý6
+, 
+_\9dý7
+, 
+_ocs45
+, 
+_ocs67
+
+
+1428 .
+IMPORT
+_ocý4
+, 
+_ocý5
+, 
+_ocý6
+, 
+_ocý7
+, 
+_tcdt4
+, 
+_tccs4
+
+
+1429 .
+IMPORT
+_tcdt5
+, 
+_tccs5
+, 
+_tcdt6
+, 
+_tccs6
+, 
+_tcdt7
+, 
+_tccs7
+
+
+1430 .
+IMPORT
+_roms
+, 
+_bsd0
+, 
+_bsd1
+, 
+_bsdc
+, 
+_b¤r
+, 
+_iü00
+
+
+1431 .
+IMPORT
+_iü01
+, 
+_iü02
+, 
+_iü03
+, 
+_iü04
+, 
+_iü05
+, 
+_iü06
+
+
+1432 .
+IMPORT
+_iü07
+, 
+_iü08
+, 
+_iü09
+, 
+_iü10
+, 
+_iü11
+, 
+_iü12
+
+
+1433 .
+IMPORT
+_iü13
+, 
+_iü14
+, 
+_iü15
+, 
+_iü16
+, 
+_iü17
+, 
+_iü18
+
+
+1434 .
+IMPORT
+_iü19
+, 
+_iü20
+, 
+_iü21
+, 
+_iü22
+, 
+_iü23
+, 
+_iü24
+
+
+1435 .
+IMPORT
+_iü25
+, 
+_iü26
+, 
+_iü27
+, 
+_iü28
+, 
+_iü29
+, 
+_iü30
+
+
+1436 .
+IMPORT
+_iü31
+, 
+_iü32
+, 
+_iü33
+, 
+_iü34
+, 
+_iü35
+, 
+_iü36
+
+
+1437 .
+IMPORT
+_iü37
+, 
+_iü38
+, 
+_iü39
+, 
+_iü40
+, 
+_iü41
+, 
+_iü42
+
+
+1438 .
+IMPORT
+_iü43
+, 
+_iü44
+, 
+_iü45
+, 
+_iü46
+, 
+_iü47
+, 
+_iü48
+
+
+1439 .
+IMPORT
+_iü49
+, 
+_iü50
+, 
+_iü51
+, 
+_iü52
+, 
+_iü53
+, 
+_iü54
+
+
+1440 .
+IMPORT
+_iü55
+, 
+_iü56
+, 
+_iü57
+, 
+_iü58
+, 
+_iü59
+, 
+_iü60
+
+
+1441 .
+IMPORT
+_iü61
+, 
+_iü62
+, 
+_iü63
+, 
+_r¤r
+, 
+_¡ü
+, 
+_tbü
+
+
+1442 .
+IMPORT
+_ùbr
+, 
+_þkr
+, 
+_w´
+, 
+_divr0
+, 
+_divr1
+, 
+_¶ldivm
+
+
+1443 .
+IMPORT
+_¶ldivn
+, 
+_¶ldivg
+, 
+_¶lmulg
+, 
+_¶lù¾
+, 
+_oscc1
+, 
+_oscs1
+
+
+1444 .
+IMPORT
+_oscc2
+, 
+_oscs2
+, 
+_p܋n
+, 
+_wtûr
+, 
+_wtü
+, 
+_wtbr
+
+
+1445 .
+IMPORT
+_wthr
+, 
+_wtmr
+, 
+_wt¤
+, 
+_csv\8c
+, 
+_csvü
+, 
+_cscfg
+
+
+1446 .
+IMPORT
+_cmcfg
+, 
+_cuü
+, 
+_cutd
+, 
+_cu\8c1
+, 
+_cu\8c2
+, 
+_cm´
+
+
+1447 .
+IMPORT
+_cmü
+, 
+_cmt1
+, 
+_cmt2
+, 
+_ÿÅ»
+, 
+_ÿnckd
+, 
+_lv£l
+
+
+1448 .
+IMPORT
+_lvd\91
+, 
+_hwwde
+, 
+_hwwd
+, 
+_osüh
+, 
+_osül
+, 
+_wpüh
+
+
+1449 .
+IMPORT
+_wpül
+, 
+_oscü
+, 
+_»g£l
+, 
+_»gùr
+, 
+_modr
+, 
+_pdrd14
+
+
+1450 .
+IMPORT
+_pdrd15
+, 
+_pdrd16
+, 
+_pdrd17
+, 
+_pdrd18
+, 
+_pdrd19
+, 
+_pdrd20
+
+
+1451 .
+IMPORT
+_pdrd21
+, 
+_pdrd22
+, 
+_pdrd24
+, 
+_pdrd26
+, 
+_pdrd27
+, 
+_pdrd28
+
+
+1452 .
+IMPORT
+_pdrd29
+, 
+_ddr14
+, 
+_ddr15
+, 
+_ddr16
+, 
+_ddr17
+, 
+_ddr18
+
+
+1453 .
+IMPORT
+_ddr19
+, 
+_ddr20
+, 
+_ddr21
+, 
+_ddr22
+, 
+_ddr24
+, 
+_ddr26
+
+
+1454 .
+IMPORT
+_ddr27
+, 
+_ddr28
+, 
+_ddr29
+, 
+_pä14
+, 
+_pä15
+, 
+_pä16
+
+
+1455 .
+IMPORT
+_pä17
+, 
+_pä18
+, 
+_pä19
+, 
+_pä20
+, 
+_pä21
+, 
+_pä22
+
+
+1456 .
+IMPORT
+_pä24
+, 
+_pä26
+, 
+_pä27
+, 
+_pä28
+, 
+_pä29
+, 
+_\95ä14
+
+
+1457 .
+IMPORT
+_\95ä15
+, 
+_\95ä16
+, 
+_\95ä17
+, 
+_\95ä18
+, 
+_\95ä19
+, 
+_\95ä20
+
+
+1458 .
+IMPORT
+_\95ä21
+, 
+_\95ä22
+, 
+_\95ä24
+, 
+_\95ä26
+, 
+_\95ä27
+, 
+_\95ä29
+
+
+1459 .
+IMPORT
+_podr14
+, 
+_podr15
+, 
+_podr16
+, 
+_podr17
+, 
+_podr18
+, 
+_podr19
+
+
+1460 .
+IMPORT
+_podr20
+, 
+_podr21
+, 
+_podr22
+, 
+_podr24
+, 
+_podr26
+, 
+_podr27
+
+
+1461 .
+IMPORT
+_podr28
+, 
+_podr29
+, 
+_p\9er14
+, 
+_p\9er15
+, 
+_p\9er16
+, 
+_p\9er17
+
+
+1462 .
+IMPORT
+_p\9er18
+, 
+_p\9er19
+, 
+_p\9er20
+, 
+_p\9er21
+, 
+_p\9er22
+, 
+_p\9er24
+
+
+1463 .
+IMPORT
+_p\9er26
+, 
+_p\9er27
+, 
+_p\9er28
+, 
+_p\9er29
+, 
+_\95\9er14
+, 
+_\95\9er15
+
+
+1464 .
+IMPORT
+_\95\9er16
+, 
+_\95\9er17
+, 
+_\95\9er18
+, 
+_\95\9er19
+, 
+_\95\9er20
+, 
+_\95\9er21
+
+
+1465 .
+IMPORT
+_\95\9er22
+, 
+_\95\9er24
+, 
+_\95\9er26
+, 
+_\95\9er27
+, 
+_\95\9er28
+, 
+_\95\9er29
+
+
+1466 .
+IMPORT
+_µ\9414
+, 
+_µ\9415
+, 
+_µ\9416
+, 
+_µ\9417
+, 
+_µ\9418
+, 
+_µ\9419
+
+
+1467 .
+IMPORT
+_µ\9420
+, 
+_µ\9421
+, 
+_µ\9422
+, 
+_µ\9424
+, 
+_µ\9426
+, 
+_µ\9427
+
+
+1468 .
+IMPORT
+_µ\9428
+, 
+_µ\9429
+, 
+_µü14
+, 
+_µü15
+, 
+_µü16
+, 
+_µü17
+
+
+1469 .
+IMPORT
+_µü18
+, 
+_µü19
+, 
+_µü20
+, 
+_µü21
+, 
+_µü22
+, 
+_µü24
+
+
+1470 .
+IMPORT
+_µü26
+, 
+_µü27
+, 
+_µü28
+, 
+_µü29
+, 
+_dma§0
+, 
+_dmada0
+
+
+1471 .
+IMPORT
+_dma§1
+, 
+_dmada1
+, 
+_dma§2
+, 
+_dmada2
+, 
+_dma§3
+, 
+_dmada3
+
+
+1472 .
+IMPORT
+_dma§4
+, 
+_dmada4
+, 
+_fmcs
+, 
+_fmü
+, 
+_fchü
+, 
+_fmwt
+
+
+1473 .
+IMPORT
+_fmwt2
+, 
+_fmps
+, 
+_fmac
+, 
+_fcha0
+, 
+_fcha1
+, 
+_fsü0
+
+
+1474 .
+IMPORT
+_fsü1
+, 
+_ù¾r4
+, 
+_¡©r4
+, 
+_\94rút4
+, 
+_b\8c4
+, 
+_\9a\8c4
+
+
+1475 .
+IMPORT
+_\8b¡r4
+, 
+_b½\944
+, 
+_b½e4
+, 
+_if1üeq4
+, 
+_if1cmsk4
+, 
+_if1msk124
+
+
+1476 .
+IMPORT
+_if1msk24
+, 
+_if1msk14
+, 
+_if1¬b124
+, 
+_if1¬b24
+, 
+_if1¬b14
+, 
+_if1mùr4
+
+
+1477 .
+IMPORT
+_if1d\8f124
+, 
+_if1d\8f14
+, 
+_if1d\8f24
+, 
+_if1dtb124
+, 
+_if1dtb14
+, 
+_if1dtb24
+
+
+1478 .
+IMPORT
+_if1d\8f_swp124
+, 
+_if1d\8f_swp24
+, 
+_if1d\8f_swp14
+, 
+_if1dtb_swp124
+, 
+_if1dtb_swp24
+, 
+_if1dtb_swp14
+
+
+1479 .
+IMPORT
+_if2üeq4
+, 
+_if2cmsk4
+, 
+_if2msk124
+, 
+_if2msk24
+, 
+_if2msk14
+, 
+_if2¬b124
+
+
+1480 .
+IMPORT
+_if2¬b24
+, 
+_if2¬b14
+, 
+_if2mùr4
+, 
+_if2d\8f124
+, 
+_if2d\8f14
+, 
+_if2d\8f24
+
+
+1481 .
+IMPORT
+_if2dtb124
+, 
+_if2dtb14
+, 
+_if2dtb24
+, 
+_if2d\8f_swp124
+, 
+_if2d\8f_swp24
+, 
+_if2d\8f_swp14
+
+
+1482 .
+IMPORT
+_if2dtb_swp124
+, 
+_if2dtb_swp24
+, 
+_if2dtb_swp14
+, 
+_\8ceqr124
+, 
+_\8ceqr24
+, 
+_\8ceqr14
+
+
+1483 .
+IMPORT
+_\8ceqr344
+, 
+_Ãwdt124
+, 
+_Ãwdt24
+, 
+_Ãwdt14
+, 
+_\9a\8dnd124
+, 
+_\9a\8dnd24
+
+
+1484 .
+IMPORT
+_\9a\8dnd14
+, 
+_msgv®124
+, 
+_msgv®24
+, 
+_msgv®14
+, 
+_bù¾
+, 
+_b¡©
+
+
+1485 .
+IMPORT
+_b\9fc
+, 
+_bßc
+, 
+_b\9cq
+, 
+_bü0
+, 
+_bü1
+, 
+_bad0
+
+
+1486 .
+IMPORT
+_bad1
+, 
+_bad2
+, 
+_bad3
+, 
+_bad4
+, 
+_bad5
+, 
+_bad6
+
+
+1487 .
+IMPORT
+_bad7
+, 
+_fsv1
+, 
+_bsv1
+, 
+_fsv2
+, 
+_bsv2
+
+
+1488 #´agm¨
+\92dasm
+
+
+1514 \e\1d\ 5
+       tIO_BYTE
+;
+
+1515 \e\1d\16
+       tIO_WORD
+;
+
+1516 \e\1d\13
+       tIO_LWORD
+;
+
+1517 \ecڡ \1d\16
+       tIO_WORD_READ
+;
+
+1520 
+IO_BYTE
+       mby\8b
+;
+
+1522 
+IO_BYTE
+       m_D7
+ :1;
+
+1523 
+IO_BYTE
+       m_D6
+ :1;
+
+1524 
+IO_BYTE
+       m_D5
+ :1;
+
+1525 
+IO_BYTE
+       m_D4
+ :1;
+
+1526 
+IO_BYTE
+       m_D3
+ :1;
+
+1527 
+IO_BYTE
+       m_D2
+ :1;
+
+1528 
+IO_BYTE
+       m_D1
+ :1;
+
+1529 
+IO_BYTE
+       m_D0
+ :1;
+
+1530 }
+       mb\99
+;
+
+1531 }
+       tPDR14STR
+;
+
+1533 
+IO_BYTE
+       mby\8b
+;
+
+1535 
+IO_BYTE
+       m_D7
+ :1;
+
+1536 
+IO_BYTE
+       m_D6
+ :1;
+
+1537 
+IO_BYTE
+       m_D5
+ :1;
+
+1538 
+IO_BYTE
+       m_D4
+ :1;
+
+1539 
+IO_BYTE
+       m_D3
+ :1;
+
+1540 
+IO_BYTE
+       m_D2
+ :1;
+
+1541 
+IO_BYTE
+       m_D1
+ :1;
+
+1542 
+IO_BYTE
+       m_D0
+ :1;
+
+1543 }
+       mb\99
+;
+
+1544 }
+       tPDR15STR
+;
+
+1546 
+IO_BYTE
+       mby\8b
+;
+
+1548 
+IO_BYTE
+       m_D7
+ :1;
+
+1549 
+IO_BYTE
+       m_D6
+ :1;
+
+1550 
+IO_BYTE
+       m_D5
+ :1;
+
+1551 
+IO_BYTE
+       m_D4
+ :1;
+
+1552 
+IO_BYTE
+       m_D3
+ :1;
+
+1553 
+IO_BYTE
+       m_D2
+ :1;
+
+1554 
+IO_BYTE
+       m_D1
+ :1;
+
+1555 
+IO_BYTE
+       m_D0
+ :1;
+
+1556 }
+       mb\99
+;
+
+1557 }
+       tPDR16STR
+;
+
+1559 
+IO_BYTE
+       mby\8b
+;
+
+1561 
+IO_BYTE
+       m_D7
+ :1;
+
+1562 
+IO_BYTE
+       m_D6
+ :1;
+
+1563 
+IO_BYTE
+       m_D5
+ :1;
+
+1564 
+IO_BYTE
+       m_D4
+ :1;
+
+1565 
+IO_BYTE
+       m_D3
+ :1;
+
+1566 
+IO_BYTE
+       m_D2
+ :1;
+
+1567 
+IO_BYTE
+       m_D1
+ :1;
+
+1568 
+IO_BYTE
+       m_D0
+ :1;
+
+1569 }
+       mb\99
+;
+
+1570 }
+       tPDR17STR
+;
+
+1572 
+IO_BYTE
+       mby\8b
+;
+
+1574 
+       mIO_BYTE
+ :1;
+
+1575 
+IO_BYTE
+       m_D6
+ :1;
+
+1576 
+       mIO_BYTE
+ :1;
+
+1577 
+       mIO_BYTE
+ :1;
+
+1578 
+       mIO_BYTE
+ :1;
+
+1579 
+IO_BYTE
+       m_D2
+ :1;
+
+1580 
+       mIO_BYTE
+ :1;
+
+1581 
+       mIO_BYTE
+ :1;
+
+1582 }
+       mb\99
+;
+
+1583 }
+       tPDR18STR
+;
+
+1585 
+IO_BYTE
+       mby\8b
+;
+
+1587 
+       mIO_BYTE
+ :1;
+
+1588 
+IO_BYTE
+       m_D6
+ :1;
+
+1589 
+       mIO_BYTE
+ :1;
+
+1590 
+       mIO_BYTE
+ :1;
+
+1591 
+       mIO_BYTE
+ :1;
+
+1592 
+IO_BYTE
+       m_D2
+ :1;
+
+1593 
+IO_BYTE
+       m_D1
+ :1;
+
+1594 
+IO_BYTE
+       m_D0
+ :1;
+
+1595 }
+       mb\99
+;
+
+1596 }
+       tPDR19STR
+;
+
+1598 
+IO_BYTE
+       mby\8b
+;
+
+1600 
+IO_BYTE
+       m_D7
+ :1;
+
+1601 
+IO_BYTE
+       m_D6
+ :1;
+
+1602 
+IO_BYTE
+       m_D5
+ :1;
+
+1603 
+IO_BYTE
+       m_D4
+ :1;
+
+1604 
+IO_BYTE
+       m_D3
+ :1;
+
+1605 
+IO_BYTE
+       m_D2
+ :1;
+
+1606 
+IO_BYTE
+       m_D1
+ :1;
+
+1607 
+IO_BYTE
+       m_D0
+ :1;
+
+1608 }
+       mb\99
+;
+
+1609 }
+       tPDR20STR
+;
+
+1611 
+IO_BYTE
+       mby\8b
+;
+
+1613 
+IO_BYTE
+       m_D7
+ :1;
+
+1614 
+IO_BYTE
+       m_D6
+ :1;
+
+1615 
+IO_BYTE
+       m_D5
+ :1;
+
+1616 
+IO_BYTE
+       m_D4
+ :1;
+
+1617 
+IO_BYTE
+       m_D3
+ :1;
+
+1618 
+IO_BYTE
+       m_D2
+ :1;
+
+1619 
+IO_BYTE
+       m_D1
+ :1;
+
+1620 
+IO_BYTE
+       m_D0
+ :1;
+
+1621 }
+       mb\99
+;
+
+1622 }
+       tPDR21STR
+;
+
+1624 
+IO_BYTE
+       mby\8b
+;
+
+1626 
+       mIO_BYTE
+ :1;
+
+1627 
+       mIO_BYTE
+ :1;
+
+1628 
+IO_BYTE
+       m_D5
+ :1;
+
+1629 
+IO_BYTE
+       m_D4
+ :1;
+
+1630 
+       mIO_BYTE
+ :1;
+
+1631 
+       mIO_BYTE
+ :1;
+
+1632 
+IO_BYTE
+       m_D1
+ :1;
+
+1633 
+IO_BYTE
+       m_D0
+ :1;
+
+1634 }
+       mb\99
+;
+
+1635 }
+       tPDR22STR
+;
+
+1637 
+IO_BYTE
+       mby\8b
+;
+
+1639 
+IO_BYTE
+       m_D7
+ :1;
+
+1640 
+IO_BYTE
+       m_D6
+ :1;
+
+1641 
+IO_BYTE
+       m_D5
+ :1;
+
+1642 
+IO_BYTE
+       m_D4
+ :1;
+
+1643 
+IO_BYTE
+       m_D3
+ :1;
+
+1644 
+IO_BYTE
+       m_D2
+ :1;
+
+1645 
+IO_BYTE
+       m_D1
+ :1;
+
+1646 
+IO_BYTE
+       m_D0
+ :1;
+
+1647 }
+       mb\99
+;
+
+1648 }
+       tPDR24STR
+;
+
+1650 
+IO_BYTE
+       mby\8b
+;
+
+1652 
+       mIO_BYTE
+ :1;
+
+1653 
+       mIO_BYTE
+ :1;
+
+1654 
+       mIO_BYTE
+ :1;
+
+1655 
+       mIO_BYTE
+ :1;
+
+1656 
+       mIO_BYTE
+ :1;
+
+1657 
+       mIO_BYTE
+ :1;
+
+1658 
+IO_BYTE
+       m_D1
+ :1;
+
+1659 
+IO_BYTE
+       m_D0
+ :1;
+
+1660 }
+       mb\99
+;
+
+1661 }
+       tPDR26STR
+;
+
+1663 
+IO_BYTE
+       mby\8b
+;
+
+1665 
+IO_BYTE
+       m_D7
+ :1;
+
+1666 
+IO_BYTE
+       m_D6
+ :1;
+
+1667 
+IO_BYTE
+       m_D5
+ :1;
+
+1668 
+IO_BYTE
+       m_D4
+ :1;
+
+1669 
+IO_BYTE
+       m_D3
+ :1;
+
+1670 
+IO_BYTE
+       m_D2
+ :1;
+
+1671 
+IO_BYTE
+       m_D1
+ :1;
+
+1672 
+IO_BYTE
+       m_D0
+ :1;
+
+1673 }
+       mb\99
+;
+
+1674 }
+       tPDR27STR
+;
+
+1676 
+IO_BYTE
+       mby\8b
+;
+
+1678 
+IO_BYTE
+       m_D7
+ :1;
+
+1679 
+IO_BYTE
+       m_D6
+ :1;
+
+1680 
+IO_BYTE
+       m_D5
+ :1;
+
+1681 
+IO_BYTE
+       m_D4
+ :1;
+
+1682 
+IO_BYTE
+       m_D3
+ :1;
+
+1683 
+IO_BYTE
+       m_D2
+ :1;
+
+1684 
+IO_BYTE
+       m_D1
+ :1;
+
+1685 
+IO_BYTE
+       m_D0
+ :1;
+
+1686 }
+       mb\99
+;
+
+1687 }
+       tPDR28STR
+;
+
+1689 
+IO_BYTE
+       mby\8b
+;
+
+1691 
+IO_BYTE
+       m_D7
+ :1;
+
+1692 
+IO_BYTE
+       m_D6
+ :1;
+
+1693 
+IO_BYTE
+       m_D5
+ :1;
+
+1694 
+IO_BYTE
+       m_D4
+ :1;
+
+1695 
+IO_BYTE
+       m_D3
+ :1;
+
+1696 
+IO_BYTE
+       m_D2
+ :1;
+
+1697 
+IO_BYTE
+       m_D1
+ :1;
+
+1698 
+IO_BYTE
+       m_D0
+ :1;
+
+1699 }
+       mb\99
+;
+
+1700 }
+       tPDR29STR
+;
+
+1702 
+IO_BYTE
+       mby\8b
+;
+
+1704 
+IO_BYTE
+       m_ER7
+ :1;
+
+1705 
+IO_BYTE
+       m_ER6
+ :1;
+
+1706 
+IO_BYTE
+       m_ER5
+ :1;
+
+1707 
+IO_BYTE
+       m_ER4
+ :1;
+
+1708 
+IO_BYTE
+       m_ER3
+ :1;
+
+1709 
+IO_BYTE
+       m_ER2
+ :1;
+
+1710 
+IO_BYTE
+       m_ER1
+ :1;
+
+1711 
+IO_BYTE
+       m_ER0
+ :1;
+
+1712 }
+       mb\99
+;
+
+1713 }
+       tEIRR0STR
+;
+
+1715 
+IO_BYTE
+       mby\8b
+;
+
+1717 
+IO_BYTE
+       m_EN7
+ :1;
+
+1718 
+IO_BYTE
+       m_EN6
+ :1;
+
+1719 
+IO_BYTE
+       m_EN5
+ :1;
+
+1720 
+IO_BYTE
+       m_EN4
+ :1;
+
+1721 
+IO_BYTE
+       m_EN3
+ :1;
+
+1722 
+IO_BYTE
+       m_EN2
+ :1;
+
+1723 
+IO_BYTE
+       m_EN1
+ :1;
+
+1724 
+IO_BYTE
+       m_EN0
+ :1;
+
+1725 }
+       mb\99
+;
+
+1726 }
+       tENIR0STR
+;
+
+1728 
+IO_WORD
+       mwÜd
+;
+
+1730 
+IO_WORD
+       m_LB7
+ :1;
+
+1731 
+IO_WORD
+       m_LA7
+ :1;
+
+1732 
+IO_WORD
+       m_LB6
+ :1;
+
+1733 
+IO_WORD
+       m_LA6
+ :1;
+
+1734 
+IO_WORD
+       m_LB5
+ :1;
+
+1735 
+IO_WORD
+       m_LA5
+ :1;
+
+1736 
+IO_WORD
+       m_LB4
+ :1;
+
+1737 
+IO_WORD
+       m_LA4
+ :1;
+
+1738 
+IO_WORD
+       m_LB3
+ :1;
+
+1739 
+IO_WORD
+       m_LA3
+ :1;
+
+1740 
+IO_WORD
+       m_LB2
+ :1;
+
+1741 
+IO_WORD
+       m_LA2
+ :1;
+
+1742 
+IO_WORD
+       m_LB1
+ :1;
+
+1743 
+IO_WORD
+       m_LA1
+ :1;
+
+1744 
+IO_WORD
+       m_LB0
+ :1;
+
+1745 
+IO_WORD
+       m_LA0
+ :1;
+
+1746 }
+       mb\99
+;
+
+1747 }
+       tELVR0STR
+;
+
+1749 
+IO_BYTE
+       mby\8b
+;
+
+1751 
+IO_BYTE
+       m_ER15
+ :1;
+
+1752 
+IO_BYTE
+       m_ER14
+ :1;
+
+1753 
+IO_BYTE
+       m_ER13
+ :1;
+
+1754 
+IO_BYTE
+       m_ER12
+ :1;
+
+1755 
+IO_BYTE
+       m_ER11
+ :1;
+
+1756 
+IO_BYTE
+       m_ER10
+ :1;
+
+1757 
+IO_BYTE
+       m_ER9
+ :1;
+
+1758 
+IO_BYTE
+       m_ER8
+ :1;
+
+1759 }
+       mb\99
+;
+
+1760 }
+       tEIRR1STR
+;
+
+1762 
+IO_BYTE
+       mby\8b
+;
+
+1764 
+IO_BYTE
+       m_EN15
+ :1;
+
+1765 
+IO_BYTE
+       m_EN14
+ :1;
+
+1766 
+IO_BYTE
+       m_EN13
+ :1;
+
+1767 
+IO_BYTE
+       m_EN12
+ :1;
+
+1768 
+IO_BYTE
+       m_EN11
+ :1;
+
+1769 
+IO_BYTE
+       m_EN10
+ :1;
+
+1770 
+IO_BYTE
+       m_EN9
+ :1;
+
+1771 
+IO_BYTE
+       m_EN8
+ :1;
+
+1772 }
+       mb\99
+;
+
+1773 }
+       tENIR1STR
+;
+
+1775 
+IO_WORD
+       mwÜd
+;
+
+1777 
+IO_WORD
+       m_LB15
+ :1;
+
+1778 
+IO_WORD
+       m_LA15
+ :1;
+
+1779 
+IO_WORD
+       m_LB14
+ :1;
+
+1780 
+IO_WORD
+       m_LA14
+ :1;
+
+1781 
+IO_WORD
+       m_LB13
+ :1;
+
+1782 
+IO_WORD
+       m_LA13
+ :1;
+
+1783 
+IO_WORD
+       m_LB12
+ :1;
+
+1784 
+IO_WORD
+       m_LA12
+ :1;
+
+1785 
+IO_WORD
+       m_LB11
+ :1;
+
+1786 
+IO_WORD
+       m_LA11
+ :1;
+
+1787 
+IO_WORD
+       m_LB10
+ :1;
+
+1788 
+IO_WORD
+       m_LA10
+ :1;
+
+1789 
+IO_WORD
+       m_LB9
+ :1;
+
+1790 
+IO_WORD
+       m_LA9
+ :1;
+
+1791 
+IO_WORD
+       m_LB8
+ :1;
+
+1792 
+IO_WORD
+       m_LA8
+ :1;
+
+1793 }
+       mb\99
+;
+
+1794 }
+       tELVR1STR
+;
+
+1796 
+IO_BYTE
+       mby\8b
+;
+
+1798 
+       mIO_BYTE
+ :1;
+
+1799 
+       mIO_BYTE
+ :1;
+
+1800 
+       mIO_BYTE
+ :1;
+
+1801 
+       mIO_BYTE
+ :1;
+
+1802 
+       mIO_BYTE
+ :1;
+
+1803 
+       mIO_BYTE
+ :1;
+
+1804 
+       mIO_BYTE
+ :1;
+
+1805 
+IO_BYTE
+       m_DLYI
+ :1;
+
+1806 }
+       mb\99
+;
+
+1807 }
+       tDICRSTR
+;
+
+1809 
+IO_BYTE
+       mby\8b
+;
+
+1811 
+IO_BYTE
+       m_MHALTI
+ :1;
+
+1812 
+       mIO_BYTE
+ :1;
+
+1813 
+       mIO_BYTE
+ :1;
+
+1814 
+IO_BYTE
+       m_LVL4
+ :1;
+
+1815 
+IO_BYTE
+       m_LVL3
+ :1;
+
+1816 
+IO_BYTE
+       m_LVL2
+ :1;
+
+1817 
+IO_BYTE
+       m_LVL1
+ :1;
+
+1818 
+IO_BYTE
+       m_LVL0
+ :1;
+
+1819 }
+       mb\99
+;
+
+1821 
+       mIO_BYTE
+ :1;
+
+1822 
+       mIO_BYTE
+ :1;
+
+1823 
+       mIO_BYTE
+ :1;
+
+1824 
+IO_BYTE
+       m_LVL
+ :5;
+
+1825 }
+       mb\99c
+;
+
+1826 }
+       tHRCLSTR
+;
+
+1828 
+IO_BYTE
+       mby\8b
+;
+
+1830 
+IO_BYTE
+       m_PEN
+ :1;
+
+1831 
+IO_BYTE
+       m_P
+ :1;
+
+1832 
+IO_BYTE
+       m_SBL
+ :1;
+
+1833 
+IO_BYTE
+       m_CL
+ :1;
+
+1834 
+IO_BYTE
+       m_AD
+ :1;
+
+1835 
+IO_BYTE
+       m_CRE
+ :1;
+
+1836 
+IO_BYTE
+       m_RXE
+ :1;
+
+1837 
+IO_BYTE
+       m_TXE
+ :1;
+
+1838 }
+       mb\99
+;
+
+1839 }
+       tSCR00STR
+;
+
+1841 
+IO_BYTE
+       mby\8b
+;
+
+1843 
+IO_BYTE
+       m_MD1
+ :1;
+
+1844 
+IO_BYTE
+       m_MD0
+ :1;
+
+1845 
+IO_BYTE
+       m_OTO
+ :1;
+
+1846 
+IO_BYTE
+       m_EXT
+ :1;
+
+1847 
+IO_BYTE
+       m_REST
+ :1;
+
+1848 
+IO_BYTE
+       m_UPCL
+ :1;
+
+1849 
+IO_BYTE
+       m_SCKE
+ :1;
+
+1850 
+IO_BYTE
+       m_SOE
+ :1;
+
+1851 }
+       mb\99
+;
+
+1853 
+IO_BYTE
+       m_MD
+ :2;
+
+1854 }
+       mb\99c
+;
+
+1855 }
+       tSMR00STR
+;
+
+1857 
+IO_BYTE
+       mby\8b
+;
+
+1859 
+IO_BYTE
+       m_PE
+ :1;
+
+1860 
+IO_BYTE
+       m_ORE
+ :1;
+
+1861 
+IO_BYTE
+       m_FRE
+ :1;
+
+1862 
+IO_BYTE
+       m_RDRF
+ :1;
+
+1863 
+IO_BYTE
+       m_TDRE
+ :1;
+
+1864 
+IO_BYTE
+       m_BDS
+ :1;
+
+1865 
+IO_BYTE
+       m_RIE
+ :1;
+
+1866 
+IO_BYTE
+       m_TIE
+ :1;
+
+1867 }
+       mb\99
+;
+
+1868 }
+       tSSR00STR
+;
+
+1870 
+IO_BYTE
+       mby\8b
+;
+
+1872 
+IO_BYTE
+       m_LBIE
+ :1;
+
+1873 
+IO_BYTE
+       m_LBD
+ :1;
+
+1874 
+IO_BYTE
+       m_LBL1
+ :1;
+
+1875 
+IO_BYTE
+       m_LBL0
+ :1;
+
+1876 
+IO_BYTE
+       m_SOPE
+ :1;
+
+1877 
+IO_BYTE
+       m_SIOP
+ :1;
+
+1878 
+IO_BYTE
+       m_CCO
+ :1;
+
+1879 
+IO_BYTE
+       m_SCES
+ :1;
+
+1880 }
+       mb\99
+;
+
+1882 
+       mIO_BYTE
+ :1;
+
+1883 
+       mIO_BYTE
+ :1;
+
+1884 
+IO_BYTE
+       m_LBL
+ :2;
+
+1885 }
+       mb\99c
+;
+
+1886 }
+       tESCR00STR
+;
+
+1888 
+IO_BYTE
+       mby\8b
+;
+
+1890 
+IO_BYTE
+       m_INV
+ :1;
+
+1891 
+IO_BYTE
+       m_LBR
+ :1;
+
+1892 
+IO_BYTE
+       m_MS
+ :1;
+
+1893 
+IO_BYTE
+       m_SCDE
+ :1;
+
+1894 
+IO_BYTE
+       m_SSM
+ :1;
+
+1895 
+IO_BYTE
+       m_BIE
+ :1;
+
+1896 
+IO_BYTE
+       m_RBI
+ :1;
+
+1897 
+IO_BYTE
+       m_TBI
+ :1;
+
+1898 }
+       mb\99
+;
+
+1899 }
+       tECCR00STR
+;
+
+1901 
+IO_BYTE
+       mby\8b
+;
+
+1903 
+IO_BYTE
+       m_PEN
+ :1;
+
+1904 
+IO_BYTE
+       m_P
+ :1;
+
+1905 
+IO_BYTE
+       m_SBL
+ :1;
+
+1906 
+IO_BYTE
+       m_CL
+ :1;
+
+1907 
+IO_BYTE
+       m_AD
+ :1;
+
+1908 
+IO_BYTE
+       m_CRE
+ :1;
+
+1909 
+IO_BYTE
+       m_RXE
+ :1;
+
+1910 
+IO_BYTE
+       m_TXE
+ :1;
+
+1911 }
+       mb\99
+;
+
+1912 }
+       tSCR01STR
+;
+
+1914 
+IO_BYTE
+       mby\8b
+;
+
+1916 
+IO_BYTE
+       m_MD1
+ :1;
+
+1917 
+IO_BYTE
+       m_MD0
+ :1;
+
+1918 
+IO_BYTE
+       m_OTO
+ :1;
+
+1919 
+IO_BYTE
+       m_EXT
+ :1;
+
+1920 
+IO_BYTE
+       m_REST
+ :1;
+
+1921 
+IO_BYTE
+       m_UPCL
+ :1;
+
+1922 
+IO_BYTE
+       m_SCKE
+ :1;
+
+1923 
+IO_BYTE
+       m_SOE
+ :1;
+
+1924 }
+       mb\99
+;
+
+1926 
+IO_BYTE
+       m_MD
+ :2;
+
+1927 }
+       mb\99c
+;
+
+1928 }
+       tSMR01STR
+;
+
+1930 
+IO_BYTE
+       mby\8b
+;
+
+1932 
+IO_BYTE
+       m_PE
+ :1;
+
+1933 
+IO_BYTE
+       m_ORE
+ :1;
+
+1934 
+IO_BYTE
+       m_FRE
+ :1;
+
+1935 
+IO_BYTE
+       m_RDRF
+ :1;
+
+1936 
+IO_BYTE
+       m_TDRE
+ :1;
+
+1937 
+IO_BYTE
+       m_BDS
+ :1;
+
+1938 
+IO_BYTE
+       m_RIE
+ :1;
+
+1939 
+IO_BYTE
+       m_TIE
+ :1;
+
+1940 }
+       mb\99
+;
+
+1941 }
+       tSSR01STR
+;
+
+1943 
+IO_BYTE
+       mby\8b
+;
+
+1945 
+IO_BYTE
+       m_LBIE
+ :1;
+
+1946 
+IO_BYTE
+       m_LBD
+ :1;
+
+1947 
+IO_BYTE
+       m_LBL1
+ :1;
+
+1948 
+IO_BYTE
+       m_LBL0
+ :1;
+
+1949 
+IO_BYTE
+       m_SOPE
+ :1;
+
+1950 
+IO_BYTE
+       m_SIOP
+ :1;
+
+1951 
+IO_BYTE
+       m_CCO
+ :1;
+
+1952 
+IO_BYTE
+       m_SCES
+ :1;
+
+1953 }
+       mb\99
+;
+
+1955 
+       mIO_BYTE
+ :1;
+
+1956 
+       mIO_BYTE
+ :1;
+
+1957 
+IO_BYTE
+       m_LBL
+ :2;
+
+1958 }
+       mb\99c
+;
+
+1959 }
+       tESCR01STR
+;
+
+1961 
+IO_BYTE
+       mby\8b
+;
+
+1963 
+IO_BYTE
+       m_INV
+ :1;
+
+1964 
+IO_BYTE
+       m_LBR
+ :1;
+
+1965 
+IO_BYTE
+       m_MS
+ :1;
+
+1966 
+IO_BYTE
+       m_SCDE
+ :1;
+
+1967 
+IO_BYTE
+       m_SSM
+ :1;
+
+1968 
+IO_BYTE
+       m_BIE
+ :1;
+
+1969 
+IO_BYTE
+       m_RBI
+ :1;
+
+1970 
+IO_BYTE
+       m_TBI
+ :1;
+
+1971 }
+       mb\99
+;
+
+1972 }
+       tECCR01STR
+;
+
+1974 
+IO_BYTE
+       mby\8b
+;
+
+1976 
+IO_BYTE
+       m_PEN
+ :1;
+
+1977 
+IO_BYTE
+       m_P
+ :1;
+
+1978 
+IO_BYTE
+       m_SBL
+ :1;
+
+1979 
+IO_BYTE
+       m_CL
+ :1;
+
+1980 
+IO_BYTE
+       m_AD
+ :1;
+
+1981 
+IO_BYTE
+       m_CRE
+ :1;
+
+1982 
+IO_BYTE
+       m_RXE
+ :1;
+
+1983 
+IO_BYTE
+       m_TXE
+ :1;
+
+1984 }
+       mb\99
+;
+
+1985 }
+       tSCR02STR
+;
+
+1987 
+IO_BYTE
+       mby\8b
+;
+
+1989 
+IO_BYTE
+       m_MD1
+ :1;
+
+1990 
+IO_BYTE
+       m_MD0
+ :1;
+
+1991 
+IO_BYTE
+       m_OTO
+ :1;
+
+1992 
+IO_BYTE
+       m_EXT
+ :1;
+
+1993 
+IO_BYTE
+       m_REST
+ :1;
+
+1994 
+IO_BYTE
+       m_UPCL
+ :1;
+
+1995 
+IO_BYTE
+       m_SCKE
+ :1;
+
+1996 
+IO_BYTE
+       m_SOE
+ :1;
+
+1997 }
+       mb\99
+;
+
+1999 
+IO_BYTE
+       m_MD
+ :2;
+
+2000 }
+       mb\99c
+;
+
+2001 }
+       tSMR02STR
+;
+
+2003 
+IO_BYTE
+       mby\8b
+;
+
+2005 
+IO_BYTE
+       m_PE
+ :1;
+
+2006 
+IO_BYTE
+       m_ORE
+ :1;
+
+2007 
+IO_BYTE
+       m_FRE
+ :1;
+
+2008 
+IO_BYTE
+       m_RDRF
+ :1;
+
+2009 
+IO_BYTE
+       m_TDRE
+ :1;
+
+2010 
+IO_BYTE
+       m_BDS
+ :1;
+
+2011 
+IO_BYTE
+       m_RIE
+ :1;
+
+2012 
+IO_BYTE
+       m_TIE
+ :1;
+
+2013 }
+       mb\99
+;
+
+2014 }
+       tSSR02STR
+;
+
+2016 
+IO_BYTE
+       mby\8b
+;
+
+2018 
+IO_BYTE
+       m_LBIE
+ :1;
+
+2019 
+IO_BYTE
+       m_LBD
+ :1;
+
+2020 
+IO_BYTE
+       m_LBL1
+ :1;
+
+2021 
+IO_BYTE
+       m_LBL0
+ :1;
+
+2022 
+IO_BYTE
+       m_SOPE
+ :1;
+
+2023 
+IO_BYTE
+       m_SIOP
+ :1;
+
+2024 
+IO_BYTE
+       m_CCO
+ :1;
+
+2025 
+IO_BYTE
+       m_SCES
+ :1;
+
+2026 }
+       mb\99
+;
+
+2028 
+       mIO_BYTE
+ :1;
+
+2029 
+       mIO_BYTE
+ :1;
+
+2030 
+IO_BYTE
+       m_LBL
+ :2;
+
+2031 }
+       mb\99c
+;
+
+2032 }
+       tESCR02STR
+;
+
+2034 
+IO_BYTE
+       mby\8b
+;
+
+2036 
+IO_BYTE
+       m_INV
+ :1;
+
+2037 
+IO_BYTE
+       m_LBR
+ :1;
+
+2038 
+IO_BYTE
+       m_MS
+ :1;
+
+2039 
+IO_BYTE
+       m_SCDE
+ :1;
+
+2040 
+IO_BYTE
+       m_SSM
+ :1;
+
+2041 
+IO_BYTE
+       m_BIE
+ :1;
+
+2042 
+IO_BYTE
+       m_RBI
+ :1;
+
+2043 
+IO_BYTE
+       m_TBI
+ :1;
+
+2044 }
+       mb\99
+;
+
+2045 }
+       tECCR02STR
+;
+
+2047 
+IO_BYTE
+       mby\8b
+;
+
+2049 
+IO_BYTE
+       m_PEN
+ :1;
+
+2050 
+IO_BYTE
+       m_P
+ :1;
+
+2051 
+IO_BYTE
+       m_SBL
+ :1;
+
+2052 
+IO_BYTE
+       m_CL
+ :1;
+
+2053 
+IO_BYTE
+       m_AD
+ :1;
+
+2054 
+IO_BYTE
+       m_CRE
+ :1;
+
+2055 
+IO_BYTE
+       m_RXE
+ :1;
+
+2056 
+IO_BYTE
+       m_TXE
+ :1;
+
+2057 }
+       mb\99
+;
+
+2058 }
+       tSCR03STR
+;
+
+2060 
+IO_BYTE
+       mby\8b
+;
+
+2062 
+IO_BYTE
+       m_MD1
+ :1;
+
+2063 
+IO_BYTE
+       m_MD0
+ :1;
+
+2064 
+IO_BYTE
+       m_OTO
+ :1;
+
+2065 
+IO_BYTE
+       m_EXT
+ :1;
+
+2066 
+IO_BYTE
+       m_REST
+ :1;
+
+2067 
+IO_BYTE
+       m_UPCL
+ :1;
+
+2068 
+IO_BYTE
+       m_SCKE
+ :1;
+
+2069 
+IO_BYTE
+       m_SOE
+ :1;
+
+2070 }
+       mb\99
+;
+
+2072 
+IO_BYTE
+       m_MD
+ :2;
+
+2073 }
+       mb\99c
+;
+
+2074 }
+       tSMR03STR
+;
+
+2076 
+IO_BYTE
+       mby\8b
+;
+
+2078 
+IO_BYTE
+       m_PE
+ :1;
+
+2079 
+IO_BYTE
+       m_ORE
+ :1;
+
+2080 
+IO_BYTE
+       m_FRE
+ :1;
+
+2081 
+IO_BYTE
+       m_RDRF
+ :1;
+
+2082 
+IO_BYTE
+       m_TDRE
+ :1;
+
+2083 
+IO_BYTE
+       m_BDS
+ :1;
+
+2084 
+IO_BYTE
+       m_RIE
+ :1;
+
+2085 
+IO_BYTE
+       m_TIE
+ :1;
+
+2086 }
+       mb\99
+;
+
+2087 }
+       tSSR03STR
+;
+
+2089 
+IO_BYTE
+       mby\8b
+;
+
+2091 
+IO_BYTE
+       m_LBIE
+ :1;
+
+2092 
+IO_BYTE
+       m_LBD
+ :1;
+
+2093 
+IO_BYTE
+       m_LBL1
+ :1;
+
+2094 
+IO_BYTE
+       m_LBL0
+ :1;
+
+2095 
+IO_BYTE
+       m_SOPE
+ :1;
+
+2096 
+IO_BYTE
+       m_SIOP
+ :1;
+
+2097 
+IO_BYTE
+       m_CCO
+ :1;
+
+2098 
+IO_BYTE
+       m_SCES
+ :1;
+
+2099 }
+       mb\99
+;
+
+2101 
+       mIO_BYTE
+ :1;
+
+2102 
+       mIO_BYTE
+ :1;
+
+2103 
+IO_BYTE
+       m_LBL
+ :2;
+
+2104 }
+       mb\99c
+;
+
+2105 }
+       tESCR03STR
+;
+
+2107 
+IO_BYTE
+       mby\8b
+;
+
+2109 
+IO_BYTE
+       m_INV
+ :1;
+
+2110 
+IO_BYTE
+       m_LBR
+ :1;
+
+2111 
+IO_BYTE
+       m_MS
+ :1;
+
+2112 
+IO_BYTE
+       m_SCDE
+ :1;
+
+2113 
+IO_BYTE
+       m_SSM
+ :1;
+
+2114 
+IO_BYTE
+       m_BIE
+ :1;
+
+2115 
+IO_BYTE
+       m_RBI
+ :1;
+
+2116 
+IO_BYTE
+       m_TBI
+ :1;
+
+2117 }
+       mb\99
+;
+
+2118 }
+       tECCR03STR
+;
+
+2120 
+IO_BYTE
+       mby\8b
+;
+
+2122 
+IO_BYTE
+       m_PEN
+ :1;
+
+2123 
+IO_BYTE
+       m_P
+ :1;
+
+2124 
+IO_BYTE
+       m_SBL
+ :1;
+
+2125 
+IO_BYTE
+       m_CL
+ :1;
+
+2126 
+IO_BYTE
+       m_AD
+ :1;
+
+2127 
+IO_BYTE
+       m_CRE
+ :1;
+
+2128 
+IO_BYTE
+       m_RXE
+ :1;
+
+2129 
+IO_BYTE
+       m_TXE
+ :1;
+
+2130 }
+       mb\99
+;
+
+2131 }
+       tSCR04STR
+;
+
+2133 
+IO_BYTE
+       mby\8b
+;
+
+2135 
+IO_BYTE
+       m_MD1
+ :1;
+
+2136 
+IO_BYTE
+       m_MD0
+ :1;
+
+2137 
+IO_BYTE
+       m_OTO
+ :1;
+
+2138 
+IO_BYTE
+       m_EXT
+ :1;
+
+2139 
+IO_BYTE
+       m_REST
+ :1;
+
+2140 
+IO_BYTE
+       m_UPCL
+ :1;
+
+2141 
+IO_BYTE
+       m_SCKE
+ :1;
+
+2142 
+IO_BYTE
+       m_SOE
+ :1;
+
+2143 }
+       mb\99
+;
+
+2145 
+IO_BYTE
+       m_MD
+ :2;
+
+2146 }
+       mb\99c
+;
+
+2147 }
+       tSMR04STR
+;
+
+2149 
+IO_BYTE
+       mby\8b
+;
+
+2151 
+IO_BYTE
+       m_PE
+ :1;
+
+2152 
+IO_BYTE
+       m_ORE
+ :1;
+
+2153 
+IO_BYTE
+       m_FRE
+ :1;
+
+2154 
+IO_BYTE
+       m_RDRF
+ :1;
+
+2155 
+IO_BYTE
+       m_TDRE
+ :1;
+
+2156 
+IO_BYTE
+       m_BDS
+ :1;
+
+2157 
+IO_BYTE
+       m_RIE
+ :1;
+
+2158 
+IO_BYTE
+       m_TIE
+ :1;
+
+2159 }
+       mb\99
+;
+
+2160 }
+       tSSR04STR
+;
+
+2162 
+IO_BYTE
+       mby\8b
+;
+
+2164 
+IO_BYTE
+       m_LBIE
+ :1;
+
+2165 
+IO_BYTE
+       m_LBD
+ :1;
+
+2166 
+IO_BYTE
+       m_LBL1
+ :1;
+
+2167 
+IO_BYTE
+       m_LBL0
+ :1;
+
+2168 
+IO_BYTE
+       m_SOPE
+ :1;
+
+2169 
+IO_BYTE
+       m_SIOP
+ :1;
+
+2170 
+IO_BYTE
+       m_CCO
+ :1;
+
+2171 
+IO_BYTE
+       m_SCES
+ :1;
+
+2172 }
+       mb\99
+;
+
+2174 
+       mIO_BYTE
+ :1;
+
+2175 
+       mIO_BYTE
+ :1;
+
+2176 
+IO_BYTE
+       m_LBL
+ :2;
+
+2177 }
+       mb\99c
+;
+
+2178 }
+       tESCR04STR
+;
+
+2180 
+IO_BYTE
+       mby\8b
+;
+
+2182 
+IO_BYTE
+       m_INV
+ :1;
+
+2183 
+IO_BYTE
+       m_LBR
+ :1;
+
+2184 
+IO_BYTE
+       m_MS
+ :1;
+
+2185 
+IO_BYTE
+       m_SCDE
+ :1;
+
+2186 
+IO_BYTE
+       m_SSM
+ :1;
+
+2187 
+IO_BYTE
+       m_BIE
+ :1;
+
+2188 
+IO_BYTE
+       m_RBI
+ :1;
+
+2189 
+IO_BYTE
+       m_TBI
+ :1;
+
+2190 }
+       mb\99
+;
+
+2191 }
+       tECCR04STR
+;
+
+2193 
+IO_BYTE
+       mby\8b
+;
+
+2195 
+IO_BYTE
+       m_RXL3
+ :1;
+
+2196 
+IO_BYTE
+       m_RXL2
+ :1;
+
+2197 
+IO_BYTE
+       m_RXL1
+ :1;
+
+2198 
+IO_BYTE
+       m_RXL0
+ :1;
+
+2199 
+       mIO_BYTE
+ :1;
+
+2200 
+IO_BYTE
+       m_ERX
+ :1;
+
+2201 
+IO_BYTE
+       m_ETX
+ :1;
+
+2202 
+IO_BYTE
+       m_SVD
+ :1;
+
+2203 }
+       mb\99
+;
+
+2205 
+IO_BYTE
+       m_RXL
+ :4;
+
+2206 }
+       mb\99c
+;
+
+2207 }
+       tFCR04STR
+;
+
+2209 
+IO_BYTE
+       mby\8b
+;
+
+2211 
+IO_BYTE
+       m_BER
+ :1;
+
+2212 
+IO_BYTE
+       m_BEIE
+ :1;
+
+2213 
+IO_BYTE
+       m_SCC
+ :1;
+
+2214 
+IO_BYTE
+       m_MSS
+ :1;
+
+2215 
+IO_BYTE
+       m_ACK
+ :1;
+
+2216 
+IO_BYTE
+       m_GCAA
+ :1;
+
+2217 
+IO_BYTE
+       m_INTE
+ :1;
+
+2218 
+IO_BYTE
+       m_INT
+ :1;
+
+2219 }
+       mb\99
+;
+
+2220 }
+       tIBCR0STR
+;
+
+2222 
+IO_BYTE
+       mby\8b
+;
+
+2224 
+IO_BYTE
+       m_BB
+ :1;
+
+2225 
+IO_BYTE
+       m_RSC
+ :1;
+
+2226 
+IO_BYTE
+       m_AL
+ :1;
+
+2227 
+IO_BYTE
+       m_LRB
+ :1;
+
+2228 
+IO_BYTE
+       m_TRX
+ :1;
+
+2229 
+IO_BYTE
+       m_AAS
+ :1;
+
+2230 
+IO_BYTE
+       m_GCA
+ :1;
+
+2231 
+IO_BYTE
+       m_ADT
+ :1;
+
+2232 }
+       mb\99
+;
+
+2233 }
+       tIBSR0STR
+;
+
+2235 
+IO_WORD
+       mwÜd
+;
+
+2237 
+       mIO_WORD
+ :1;
+
+2238 
+       mIO_WORD
+ :1;
+
+2239 
+       mIO_WORD
+ :1;
+
+2240 
+       mIO_WORD
+ :1;
+
+2241 
+       mIO_WORD
+ :1;
+
+2242 
+       mIO_WORD
+ :1;
+
+2243 
+IO_WORD
+       m_TA9
+ :1;
+
+2244 
+IO_WORD
+       m_TA8
+ :1;
+
+2245 
+IO_WORD
+       m_TA7
+ :1;
+
+2246 
+IO_WORD
+       m_TA6
+ :1;
+
+2247 
+IO_WORD
+       m_TA5
+ :1;
+
+2248 
+IO_WORD
+       m_TA4
+ :1;
+
+2249 
+IO_WORD
+       m_TA3
+ :1;
+
+2250 
+IO_WORD
+       m_TA2
+ :1;
+
+2251 
+IO_WORD
+       m_TA1
+ :1;
+
+2252 
+IO_WORD
+       m_TA0
+ :1;
+
+2253 }
+       mb\99
+;
+
+2254 }
+       tITBA0STR
+;
+
+2256 
+IO_BYTE
+       mby\8b
+;
+
+2258 
+       mIO_BYTE
+ :1;
+
+2259 
+       mIO_BYTE
+ :1;
+
+2260 
+       mIO_BYTE
+ :1;
+
+2261 
+       mIO_BYTE
+ :1;
+
+2262 
+       mIO_BYTE
+ :1;
+
+2263 
+       mIO_BYTE
+ :1;
+
+2264 
+IO_BYTE
+       m_TA9
+ :1;
+
+2265 
+IO_BYTE
+       m_TA8
+ :1;
+
+2266 }
+       mb\99
+;
+
+2267 }
+       tITBAH0STR
+;
+
+2269 
+IO_BYTE
+       mby\8b
+;
+
+2271 
+IO_BYTE
+       m_TA7
+ :1;
+
+2272 
+IO_BYTE
+       m_TA6
+ :1;
+
+2273 
+IO_BYTE
+       m_TA5
+ :1;
+
+2274 
+IO_BYTE
+       m_TA4
+ :1;
+
+2275 
+IO_BYTE
+       m_TA3
+ :1;
+
+2276 
+IO_BYTE
+       m_TA2
+ :1;
+
+2277 
+IO_BYTE
+       m_TA1
+ :1;
+
+2278 
+IO_BYTE
+       m_TA0
+ :1;
+
+2279 }
+       mb\99
+;
+
+2280 }
+       tITBAL0STR
+;
+
+2282 
+IO_WORD
+       mwÜd
+;
+
+2284 
+IO_WORD
+       m_ENTB
+ :1;
+
+2285 
+IO_WORD
+       m_RAL
+ :1;
+
+2286 
+       mIO_WORD
+ :1;
+
+2287 
+       mIO_WORD
+ :1;
+
+2288 
+       mIO_WORD
+ :1;
+
+2289 
+       mIO_WORD
+ :1;
+
+2290 
+IO_WORD
+       m_TM9
+ :1;
+
+2291 
+IO_WORD
+       m_TM8
+ :1;
+
+2292 
+IO_WORD
+       m_TM7
+ :1;
+
+2293 
+IO_WORD
+       m_TM6
+ :1;
+
+2294 
+IO_WORD
+       m_TM5
+ :1;
+
+2295 
+IO_WORD
+       m_TM4
+ :1;
+
+2296 
+IO_WORD
+       m_TM3
+ :1;
+
+2297 
+IO_WORD
+       m_TM2
+ :1;
+
+2298 
+IO_WORD
+       m_TM1
+ :1;
+
+2299 
+IO_WORD
+       m_TM0
+ :1;
+
+2300 }
+       mb\99
+;
+
+2301 }
+       tITMK0STR
+;
+
+2303 
+IO_BYTE
+       mby\8b
+;
+
+2305 
+IO_BYTE
+       m_ENTB
+ :1;
+
+2306 
+IO_BYTE
+       m_RAL
+ :1;
+
+2307 
+       mIO_BYTE
+ :1;
+
+2308 
+       mIO_BYTE
+ :1;
+
+2309 
+       mIO_BYTE
+ :1;
+
+2310 
+       mIO_BYTE
+ :1;
+
+2311 
+IO_BYTE
+       m_TM9
+ :1;
+
+2312 
+IO_BYTE
+       m_TM8
+ :1;
+
+2313 }
+       mb\99
+;
+
+2314 }
+       tITMKH0STR
+;
+
+2316 
+IO_BYTE
+       mby\8b
+;
+
+2318 
+IO_BYTE
+       m_TM7
+ :1;
+
+2319 
+IO_BYTE
+       m_TM6
+ :1;
+
+2320 
+IO_BYTE
+       m_TM5
+ :1;
+
+2321 
+IO_BYTE
+       m_TM4
+ :1;
+
+2322 
+IO_BYTE
+       m_TM3
+ :1;
+
+2323 
+IO_BYTE
+       m_TM2
+ :1;
+
+2324 
+IO_BYTE
+       m_TM1
+ :1;
+
+2325 
+IO_BYTE
+       m_TM0
+ :1;
+
+2326 }
+       mb\99
+;
+
+2327 }
+       tITMKL0STR
+;
+
+2329 
+IO_BYTE
+       mby\8b
+;
+
+2331 
+IO_BYTE
+       m_ENSB
+ :1;
+
+2332 
+IO_BYTE
+       m_SM6
+ :1;
+
+2333 
+IO_BYTE
+       m_SM5
+ :1;
+
+2334 
+IO_BYTE
+       m_SM4
+ :1;
+
+2335 
+IO_BYTE
+       m_SM3
+ :1;
+
+2336 
+IO_BYTE
+       m_SM2
+ :1;
+
+2337 
+IO_BYTE
+       m_SM1
+ :1;
+
+2338 
+IO_BYTE
+       m_SM0
+ :1;
+
+2339 }
+       mb\99
+;
+
+2340 }
+       tISMK0STR
+;
+
+2342 
+IO_BYTE
+       mby\8b
+;
+
+2344 
+       mIO_BYTE
+ :1;
+
+2345 
+IO_BYTE
+       m_SA6
+ :1;
+
+2346 
+IO_BYTE
+       m_SA5
+ :1;
+
+2347 
+IO_BYTE
+       m_SA4
+ :1;
+
+2348 
+IO_BYTE
+       m_SA3
+ :1;
+
+2349 
+IO_BYTE
+       m_SA2
+ :1;
+
+2350 
+IO_BYTE
+       m_SA1
+ :1;
+
+2351 
+IO_BYTE
+       m_SA0
+ :1;
+
+2352 }
+       mb\99
+;
+
+2353 }
+       tISBA0STR
+;
+
+2355 
+IO_BYTE
+       mby\8b
+;
+
+2357 
+IO_BYTE
+       m_D7
+ :1;
+
+2358 
+IO_BYTE
+       m_D6
+ :1;
+
+2359 
+IO_BYTE
+       m_D5
+ :1;
+
+2360 
+IO_BYTE
+       m_D4
+ :1;
+
+2361 
+IO_BYTE
+       m_D3
+ :1;
+
+2362 
+IO_BYTE
+       m_D2
+ :1;
+
+2363 
+IO_BYTE
+       m_D1
+ :1;
+
+2364 
+IO_BYTE
+       m_D0
+ :1;
+
+2365 }
+       mb\99
+;
+
+2366 }
+       tIDAR0STR
+;
+
+2368 
+IO_BYTE
+       mby\8b
+;
+
+2370 
+       mIO_BYTE
+ :1;
+
+2371 
+IO_BYTE
+       m_NSF
+ :1;
+
+2372 
+IO_BYTE
+       m_EN
+ :1;
+
+2373 
+IO_BYTE
+       m_CS4
+ :1;
+
+2374 
+IO_BYTE
+       m_CS3
+ :1;
+
+2375 
+IO_BYTE
+       m_CS2
+ :1;
+
+2376 
+IO_BYTE
+       m_CS1
+ :1;
+
+2377 
+IO_BYTE
+       m_CS0
+ :1;
+
+2378 }
+       mb\99
+;
+
+2380 
+       mIO_BYTE
+ :1;
+
+2381 
+       mIO_BYTE
+ :1;
+
+2382 
+       mIO_BYTE
+ :1;
+
+2383 
+IO_BYTE
+       m_CS
+ :5;
+
+2384 }
+       mb\99c
+;
+
+2385 }
+       tICCR0STR
+;
+
+2387 
+IO_WORD
+       mwÜd
+;
+
+2389 
+IO_WORD
+       m_TSEL33
+ :1;
+
+2390 
+IO_WORD
+       m_TSEL32
+ :1;
+
+2391 
+IO_WORD
+       m_TSEL31
+ :1;
+
+2392 
+IO_WORD
+       m_TSEL30
+ :1;
+
+2393 
+IO_WORD
+       m_TSEL23
+ :1;
+
+2394 
+IO_WORD
+       m_TSEL22
+ :1;
+
+2395 
+IO_WORD
+       m_TSEL21
+ :1;
+
+2396 
+IO_WORD
+       m_TSEL20
+ :1;
+
+2397 
+IO_WORD
+       m_TSEL13
+ :1;
+
+2398 
+IO_WORD
+       m_TSEL12
+ :1;
+
+2399 
+IO_WORD
+       m_TSEL11
+ :1;
+
+2400 
+IO_WORD
+       m_TSEL10
+ :1;
+
+2401 
+IO_WORD
+       m_TSEL03
+ :1;
+
+2402 
+IO_WORD
+       m_TSEL02
+ :1;
+
+2403 
+IO_WORD
+       m_TSEL01
+ :1;
+
+2404 
+IO_WORD
+       m_TSEL00
+ :1;
+
+2405 }
+       mb\99
+;
+
+2406 }
+       tGCN10STR
+;
+
+2408 
+IO_BYTE
+       mby\8b
+;
+
+2410 
+       mIO_BYTE
+ :1;
+
+2411 
+       mIO_BYTE
+ :1;
+
+2412 
+       mIO_BYTE
+ :1;
+
+2413 
+       mIO_BYTE
+ :1;
+
+2414 
+IO_BYTE
+       m_EN3
+ :1;
+
+2415 
+IO_BYTE
+       m_EN2
+ :1;
+
+2416 
+IO_BYTE
+       m_EN1
+ :1;
+
+2417 
+IO_BYTE
+       m_EN0
+ :1;
+
+2418 }
+       mb\99
+;
+
+2419 }
+       tGCN20STR
+;
+
+2421 
+IO_WORD
+       mwÜd
+;
+
+2423 
+IO_WORD
+       m_TSEL33
+ :1;
+
+2424 
+IO_WORD
+       m_TSEL32
+ :1;
+
+2425 
+IO_WORD
+       m_TSEL31
+ :1;
+
+2426 
+IO_WORD
+       m_TSEL30
+ :1;
+
+2427 
+IO_WORD
+       m_TSEL23
+ :1;
+
+2428 
+IO_WORD
+       m_TSEL22
+ :1;
+
+2429 
+IO_WORD
+       m_TSEL21
+ :1;
+
+2430 
+IO_WORD
+       m_TSEL20
+ :1;
+
+2431 
+IO_WORD
+       m_TSEL13
+ :1;
+
+2432 
+IO_WORD
+       m_TSEL12
+ :1;
+
+2433 
+IO_WORD
+       m_TSEL11
+ :1;
+
+2434 
+IO_WORD
+       m_TSEL10
+ :1;
+
+2435 
+IO_WORD
+       m_TSEL03
+ :1;
+
+2436 
+IO_WORD
+       m_TSEL02
+ :1;
+
+2437 
+IO_WORD
+       m_TSEL01
+ :1;
+
+2438 
+IO_WORD
+       m_TSEL00
+ :1;
+
+2439 }
+       mb\99
+;
+
+2440 }
+       tGCN11STR
+;
+
+2442 
+IO_BYTE
+       mby\8b
+;
+
+2444 
+       mIO_BYTE
+ :1;
+
+2445 
+       mIO_BYTE
+ :1;
+
+2446 
+       mIO_BYTE
+ :1;
+
+2447 
+       mIO_BYTE
+ :1;
+
+2448 
+IO_BYTE
+       m_EN3
+ :1;
+
+2449 
+IO_BYTE
+       m_EN2
+ :1;
+
+2450 
+IO_BYTE
+       m_EN1
+ :1;
+
+2451 
+IO_BYTE
+       m_EN0
+ :1;
+
+2452 }
+       mb\99
+;
+
+2453 }
+       tGCN21STR
+;
+
+2455 
+IO_WORD
+       mwÜd
+;
+
+2457 
+IO_WORD
+       m_TSEL33
+ :1;
+
+2458 
+IO_WORD
+       m_TSEL32
+ :1;
+
+2459 
+IO_WORD
+       m_TSEL31
+ :1;
+
+2460 
+IO_WORD
+       m_TSEL30
+ :1;
+
+2461 
+IO_WORD
+       m_TSEL23
+ :1;
+
+2462 
+IO_WORD
+       m_TSEL22
+ :1;
+
+2463 
+IO_WORD
+       m_TSEL21
+ :1;
+
+2464 
+IO_WORD
+       m_TSEL20
+ :1;
+
+2465 
+IO_WORD
+       m_TSEL13
+ :1;
+
+2466 
+IO_WORD
+       m_TSEL12
+ :1;
+
+2467 
+IO_WORD
+       m_TSEL11
+ :1;
+
+2468 
+IO_WORD
+       m_TSEL10
+ :1;
+
+2469 
+IO_WORD
+       m_TSEL03
+ :1;
+
+2470 
+IO_WORD
+       m_TSEL02
+ :1;
+
+2471 
+IO_WORD
+       m_TSEL01
+ :1;
+
+2472 
+IO_WORD
+       m_TSEL00
+ :1;
+
+2473 }
+       mb\99
+;
+
+2474 }
+       tGCN12STR
+;
+
+2476 
+IO_BYTE
+       mby\8b
+;
+
+2478 
+       mIO_BYTE
+ :1;
+
+2479 
+       mIO_BYTE
+ :1;
+
+2480 
+       mIO_BYTE
+ :1;
+
+2481 
+       mIO_BYTE
+ :1;
+
+2482 
+IO_BYTE
+       m_EN3
+ :1;
+
+2483 
+IO_BYTE
+       m_EN2
+ :1;
+
+2484 
+IO_BYTE
+       m_EN1
+ :1;
+
+2485 
+IO_BYTE
+       m_EN0
+ :1;
+
+2486 }
+       mb\99
+;
+
+2487 }
+       tGCN22STR
+;
+
+2489 
+IO_WORD
+       mwÜd
+;
+
+2491 
+IO_WORD
+       m_CNTE
+ :1;
+
+2492 
+IO_WORD
+       m_STGR
+ :1;
+
+2493 
+IO_WORD
+       m_MDSE
+ :1;
+
+2494 
+IO_WORD
+       m_RTRG
+ :1;
+
+2495 
+IO_WORD
+       m_CKS1
+ :1;
+
+2496 
+IO_WORD
+       m_CKS0
+ :1;
+
+2497 
+IO_WORD
+       m_PGMS
+ :1;
+
+2498 
+       mIO_WORD
+ :1;
+
+2499 
+IO_WORD
+       m_EGS1
+ :1;
+
+2500 
+IO_WORD
+       m_EGS0
+ :1;
+
+2501 
+IO_WORD
+       m_IREN
+ :1;
+
+2502 
+IO_WORD
+       m_IRQF
+ :1;
+
+2503 
+IO_WORD
+       m_IRS1
+ :1;
+
+2504 
+IO_WORD
+       m_IRS0
+ :1;
+
+2505 
+       mIO_WORD
+ :1;
+
+2506 
+IO_WORD
+       m_OSEL
+ :1;
+
+2507 }
+       mb\99
+;
+
+2509 
+       mIO_WORD
+ :1;
+
+2510 
+       mIO_WORD
+ :1;
+
+2511 
+       mIO_WORD
+ :1;
+
+2512 
+       mIO_WORD
+ :1;
+
+2513 
+IO_WORD
+       m_CKS
+ :2;
+
+2514 
+       mIO_WORD
+ :1;
+
+2515 
+       mIO_WORD
+ :1;
+
+2516 
+IO_WORD
+       m_EGS
+ :2;
+
+2517 
+       mIO_WORD
+ :1;
+
+2518 
+       mIO_WORD
+ :1;
+
+2519 
+IO_WORD
+       m_IRS
+ :2;
+
+2520 }
+       mb\99c
+;
+
+2521 }
+       tPCN00STR
+;
+
+2523 
+IO_BYTE
+       mby\8b
+;
+
+2525 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2526 
+IO_BYTE
+       m_STGR
+ :1;
+
+2527 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2528 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2529 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2530 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2531 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2532 
+       mIO_BYTE
+ :1;
+
+2533 }
+       mb\99
+;
+
+2535 
+       mIO_BYTE
+ :1;
+
+2536 
+       mIO_BYTE
+ :1;
+
+2537 
+       mIO_BYTE
+ :1;
+
+2538 
+       mIO_BYTE
+ :1;
+
+2539 
+IO_BYTE
+       m_CKS
+ :2;
+
+2540 }
+       mb\99c
+;
+
+2541 }
+       tPCNH00STR
+;
+
+2543 
+IO_BYTE
+       mby\8b
+;
+
+2545 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2546 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2547 
+IO_BYTE
+       m_IREN
+ :1;
+
+2548 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2549 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2550 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2551 
+       mIO_BYTE
+ :1;
+
+2552 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2553 }
+       mb\99
+;
+
+2555 
+IO_BYTE
+       m_EGS
+ :2;
+
+2556 
+       mIO_BYTE
+ :1;
+
+2557 
+       mIO_BYTE
+ :1;
+
+2558 
+IO_BYTE
+       m_IRS
+ :2;
+
+2559 }
+       mb\99c
+;
+
+2560 }
+       tPCNL00STR
+;
+
+2562 
+IO_WORD
+       mwÜd
+;
+
+2564 
+IO_WORD
+       m_CNTE
+ :1;
+
+2565 
+IO_WORD
+       m_STGR
+ :1;
+
+2566 
+IO_WORD
+       m_MDSE
+ :1;
+
+2567 
+IO_WORD
+       m_RTRG
+ :1;
+
+2568 
+IO_WORD
+       m_CKS1
+ :1;
+
+2569 
+IO_WORD
+       m_CKS0
+ :1;
+
+2570 
+IO_WORD
+       m_PGMS
+ :1;
+
+2571 
+       mIO_WORD
+ :1;
+
+2572 
+IO_WORD
+       m_EGS1
+ :1;
+
+2573 
+IO_WORD
+       m_EGS0
+ :1;
+
+2574 
+IO_WORD
+       m_IREN
+ :1;
+
+2575 
+IO_WORD
+       m_IRQF
+ :1;
+
+2576 
+IO_WORD
+       m_IRS1
+ :1;
+
+2577 
+IO_WORD
+       m_IRS0
+ :1;
+
+2578 
+       mIO_WORD
+ :1;
+
+2579 
+IO_WORD
+       m_OSEL
+ :1;
+
+2580 }
+       mb\99
+;
+
+2582 
+       mIO_WORD
+ :1;
+
+2583 
+       mIO_WORD
+ :1;
+
+2584 
+       mIO_WORD
+ :1;
+
+2585 
+       mIO_WORD
+ :1;
+
+2586 
+IO_WORD
+       m_CKS
+ :2;
+
+2587 
+       mIO_WORD
+ :1;
+
+2588 
+       mIO_WORD
+ :1;
+
+2589 
+IO_WORD
+       m_EGS
+ :2;
+
+2590 
+       mIO_WORD
+ :1;
+
+2591 
+       mIO_WORD
+ :1;
+
+2592 
+IO_WORD
+       m_IRS
+ :2;
+
+2593 }
+       mb\99c
+;
+
+2594 }
+       tPCN01STR
+;
+
+2596 
+IO_BYTE
+       mby\8b
+;
+
+2598 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2599 
+IO_BYTE
+       m_STGR
+ :1;
+
+2600 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2601 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2602 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2603 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2604 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2605 
+       mIO_BYTE
+ :1;
+
+2606 }
+       mb\99
+;
+
+2608 
+       mIO_BYTE
+ :1;
+
+2609 
+       mIO_BYTE
+ :1;
+
+2610 
+       mIO_BYTE
+ :1;
+
+2611 
+       mIO_BYTE
+ :1;
+
+2612 
+IO_BYTE
+       m_CKS
+ :2;
+
+2613 }
+       mb\99c
+;
+
+2614 }
+       tPCNH01STR
+;
+
+2616 
+IO_BYTE
+       mby\8b
+;
+
+2618 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2619 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2620 
+IO_BYTE
+       m_IREN
+ :1;
+
+2621 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2622 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2623 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2624 
+       mIO_BYTE
+ :1;
+
+2625 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2626 }
+       mb\99
+;
+
+2628 
+IO_BYTE
+       m_EGS
+ :2;
+
+2629 
+       mIO_BYTE
+ :1;
+
+2630 
+       mIO_BYTE
+ :1;
+
+2631 
+IO_BYTE
+       m_IRS
+ :2;
+
+2632 }
+       mb\99c
+;
+
+2633 }
+       tPCNL01STR
+;
+
+2635 
+IO_WORD
+       mwÜd
+;
+
+2637 
+IO_WORD
+       m_CNTE
+ :1;
+
+2638 
+IO_WORD
+       m_STGR
+ :1;
+
+2639 
+IO_WORD
+       m_MDSE
+ :1;
+
+2640 
+IO_WORD
+       m_RTRG
+ :1;
+
+2641 
+IO_WORD
+       m_CKS1
+ :1;
+
+2642 
+IO_WORD
+       m_CKS0
+ :1;
+
+2643 
+IO_WORD
+       m_PGMS
+ :1;
+
+2644 
+       mIO_WORD
+ :1;
+
+2645 
+IO_WORD
+       m_EGS1
+ :1;
+
+2646 
+IO_WORD
+       m_EGS0
+ :1;
+
+2647 
+IO_WORD
+       m_IREN
+ :1;
+
+2648 
+IO_WORD
+       m_IRQF
+ :1;
+
+2649 
+IO_WORD
+       m_IRS1
+ :1;
+
+2650 
+IO_WORD
+       m_IRS0
+ :1;
+
+2651 
+       mIO_WORD
+ :1;
+
+2652 
+IO_WORD
+       m_OSEL
+ :1;
+
+2653 }
+       mb\99
+;
+
+2655 
+       mIO_WORD
+ :1;
+
+2656 
+       mIO_WORD
+ :1;
+
+2657 
+       mIO_WORD
+ :1;
+
+2658 
+       mIO_WORD
+ :1;
+
+2659 
+IO_WORD
+       m_CKS
+ :2;
+
+2660 
+       mIO_WORD
+ :1;
+
+2661 
+       mIO_WORD
+ :1;
+
+2662 
+IO_WORD
+       m_EGS
+ :2;
+
+2663 
+       mIO_WORD
+ :1;
+
+2664 
+       mIO_WORD
+ :1;
+
+2665 
+IO_WORD
+       m_IRS
+ :2;
+
+2666 }
+       mb\99c
+;
+
+2667 }
+       tPCN02STR
+;
+
+2669 
+IO_BYTE
+       mby\8b
+;
+
+2671 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2672 
+IO_BYTE
+       m_STGR
+ :1;
+
+2673 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2674 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2675 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2676 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2677 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2678 
+       mIO_BYTE
+ :1;
+
+2679 }
+       mb\99
+;
+
+2681 
+       mIO_BYTE
+ :1;
+
+2682 
+       mIO_BYTE
+ :1;
+
+2683 
+       mIO_BYTE
+ :1;
+
+2684 
+       mIO_BYTE
+ :1;
+
+2685 
+IO_BYTE
+       m_CKS
+ :2;
+
+2686 }
+       mb\99c
+;
+
+2687 }
+       tPCNH02STR
+;
+
+2689 
+IO_BYTE
+       mby\8b
+;
+
+2691 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2692 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2693 
+IO_BYTE
+       m_IREN
+ :1;
+
+2694 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2695 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2696 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2697 
+       mIO_BYTE
+ :1;
+
+2698 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2699 }
+       mb\99
+;
+
+2701 
+IO_BYTE
+       m_EGS
+ :2;
+
+2702 
+       mIO_BYTE
+ :1;
+
+2703 
+       mIO_BYTE
+ :1;
+
+2704 
+IO_BYTE
+       m_IRS
+ :2;
+
+2705 }
+       mb\99c
+;
+
+2706 }
+       tPCNL02STR
+;
+
+2708 
+IO_WORD
+       mwÜd
+;
+
+2710 
+IO_WORD
+       m_CNTE
+ :1;
+
+2711 
+IO_WORD
+       m_STGR
+ :1;
+
+2712 
+IO_WORD
+       m_MDSE
+ :1;
+
+2713 
+IO_WORD
+       m_RTRG
+ :1;
+
+2714 
+IO_WORD
+       m_CKS1
+ :1;
+
+2715 
+IO_WORD
+       m_CKS0
+ :1;
+
+2716 
+IO_WORD
+       m_PGMS
+ :1;
+
+2717 
+       mIO_WORD
+ :1;
+
+2718 
+IO_WORD
+       m_EGS1
+ :1;
+
+2719 
+IO_WORD
+       m_EGS0
+ :1;
+
+2720 
+IO_WORD
+       m_IREN
+ :1;
+
+2721 
+IO_WORD
+       m_IRQF
+ :1;
+
+2722 
+IO_WORD
+       m_IRS1
+ :1;
+
+2723 
+IO_WORD
+       m_IRS0
+ :1;
+
+2724 
+       mIO_WORD
+ :1;
+
+2725 
+IO_WORD
+       m_OSEL
+ :1;
+
+2726 }
+       mb\99
+;
+
+2728 
+       mIO_WORD
+ :1;
+
+2729 
+       mIO_WORD
+ :1;
+
+2730 
+       mIO_WORD
+ :1;
+
+2731 
+       mIO_WORD
+ :1;
+
+2732 
+IO_WORD
+       m_CKS
+ :2;
+
+2733 
+       mIO_WORD
+ :1;
+
+2734 
+       mIO_WORD
+ :1;
+
+2735 
+IO_WORD
+       m_EGS
+ :2;
+
+2736 
+       mIO_WORD
+ :1;
+
+2737 
+       mIO_WORD
+ :1;
+
+2738 
+IO_WORD
+       m_IRS
+ :2;
+
+2739 }
+       mb\99c
+;
+
+2740 }
+       tPCN03STR
+;
+
+2742 
+IO_BYTE
+       mby\8b
+;
+
+2744 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2745 
+IO_BYTE
+       m_STGR
+ :1;
+
+2746 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2747 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2748 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2749 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2750 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2751 
+       mIO_BYTE
+ :1;
+
+2752 }
+       mb\99
+;
+
+2754 
+       mIO_BYTE
+ :1;
+
+2755 
+       mIO_BYTE
+ :1;
+
+2756 
+       mIO_BYTE
+ :1;
+
+2757 
+       mIO_BYTE
+ :1;
+
+2758 
+IO_BYTE
+       m_CKS
+ :2;
+
+2759 }
+       mb\99c
+;
+
+2760 }
+       tPCNH03STR
+;
+
+2762 
+IO_BYTE
+       mby\8b
+;
+
+2764 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2765 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2766 
+IO_BYTE
+       m_IREN
+ :1;
+
+2767 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2768 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2769 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2770 
+       mIO_BYTE
+ :1;
+
+2771 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2772 }
+       mb\99
+;
+
+2774 
+IO_BYTE
+       m_EGS
+ :2;
+
+2775 
+       mIO_BYTE
+ :1;
+
+2776 
+       mIO_BYTE
+ :1;
+
+2777 
+IO_BYTE
+       m_IRS
+ :2;
+
+2778 }
+       mb\99c
+;
+
+2779 }
+       tPCNL03STR
+;
+
+2781 
+IO_WORD
+       mwÜd
+;
+
+2783 
+IO_WORD
+       m_CNTE
+ :1;
+
+2784 
+IO_WORD
+       m_STGR
+ :1;
+
+2785 
+IO_WORD
+       m_MDSE
+ :1;
+
+2786 
+IO_WORD
+       m_RTRG
+ :1;
+
+2787 
+IO_WORD
+       m_CKS1
+ :1;
+
+2788 
+IO_WORD
+       m_CKS0
+ :1;
+
+2789 
+IO_WORD
+       m_PGMS
+ :1;
+
+2790 
+       mIO_WORD
+ :1;
+
+2791 
+IO_WORD
+       m_EGS1
+ :1;
+
+2792 
+IO_WORD
+       m_EGS0
+ :1;
+
+2793 
+IO_WORD
+       m_IREN
+ :1;
+
+2794 
+IO_WORD
+       m_IRQF
+ :1;
+
+2795 
+IO_WORD
+       m_IRS1
+ :1;
+
+2796 
+IO_WORD
+       m_IRS0
+ :1;
+
+2797 
+       mIO_WORD
+ :1;
+
+2798 
+IO_WORD
+       m_OSEL
+ :1;
+
+2799 }
+       mb\99
+;
+
+2801 
+       mIO_WORD
+ :1;
+
+2802 
+       mIO_WORD
+ :1;
+
+2803 
+       mIO_WORD
+ :1;
+
+2804 
+       mIO_WORD
+ :1;
+
+2805 
+IO_WORD
+       m_CKS
+ :2;
+
+2806 
+       mIO_WORD
+ :1;
+
+2807 
+       mIO_WORD
+ :1;
+
+2808 
+IO_WORD
+       m_EGS
+ :2;
+
+2809 
+       mIO_WORD
+ :1;
+
+2810 
+       mIO_WORD
+ :1;
+
+2811 
+IO_WORD
+       m_IRS
+ :2;
+
+2812 }
+       mb\99c
+;
+
+2813 }
+       tPCN04STR
+;
+
+2815 
+IO_BYTE
+       mby\8b
+;
+
+2817 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2818 
+IO_BYTE
+       m_STGR
+ :1;
+
+2819 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2820 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2821 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2822 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2823 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2824 
+       mIO_BYTE
+ :1;
+
+2825 }
+       mb\99
+;
+
+2827 
+       mIO_BYTE
+ :1;
+
+2828 
+       mIO_BYTE
+ :1;
+
+2829 
+       mIO_BYTE
+ :1;
+
+2830 
+       mIO_BYTE
+ :1;
+
+2831 
+IO_BYTE
+       m_CKS
+ :2;
+
+2832 }
+       mb\99c
+;
+
+2833 }
+       tPCNH04STR
+;
+
+2835 
+IO_BYTE
+       mby\8b
+;
+
+2837 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2838 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2839 
+IO_BYTE
+       m_IREN
+ :1;
+
+2840 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2841 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2842 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2843 
+       mIO_BYTE
+ :1;
+
+2844 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2845 }
+       mb\99
+;
+
+2847 
+IO_BYTE
+       m_EGS
+ :2;
+
+2848 
+       mIO_BYTE
+ :1;
+
+2849 
+       mIO_BYTE
+ :1;
+
+2850 
+IO_BYTE
+       m_IRS
+ :2;
+
+2851 }
+       mb\99c
+;
+
+2852 }
+       tPCNL04STR
+;
+
+2854 
+IO_WORD
+       mwÜd
+;
+
+2856 
+IO_WORD
+       m_CNTE
+ :1;
+
+2857 
+IO_WORD
+       m_STGR
+ :1;
+
+2858 
+IO_WORD
+       m_MDSE
+ :1;
+
+2859 
+IO_WORD
+       m_RTRG
+ :1;
+
+2860 
+IO_WORD
+       m_CKS1
+ :1;
+
+2861 
+IO_WORD
+       m_CKS0
+ :1;
+
+2862 
+IO_WORD
+       m_PGMS
+ :1;
+
+2863 
+       mIO_WORD
+ :1;
+
+2864 
+IO_WORD
+       m_EGS1
+ :1;
+
+2865 
+IO_WORD
+       m_EGS0
+ :1;
+
+2866 
+IO_WORD
+       m_IREN
+ :1;
+
+2867 
+IO_WORD
+       m_IRQF
+ :1;
+
+2868 
+IO_WORD
+       m_IRS1
+ :1;
+
+2869 
+IO_WORD
+       m_IRS0
+ :1;
+
+2870 
+       mIO_WORD
+ :1;
+
+2871 
+IO_WORD
+       m_OSEL
+ :1;
+
+2872 }
+       mb\99
+;
+
+2874 
+       mIO_WORD
+ :1;
+
+2875 
+       mIO_WORD
+ :1;
+
+2876 
+       mIO_WORD
+ :1;
+
+2877 
+       mIO_WORD
+ :1;
+
+2878 
+IO_WORD
+       m_CKS
+ :2;
+
+2879 
+       mIO_WORD
+ :1;
+
+2880 
+       mIO_WORD
+ :1;
+
+2881 
+IO_WORD
+       m_EGS
+ :2;
+
+2882 
+       mIO_WORD
+ :1;
+
+2883 
+       mIO_WORD
+ :1;
+
+2884 
+IO_WORD
+       m_IRS
+ :2;
+
+2885 }
+       mb\99c
+;
+
+2886 }
+       tPCN05STR
+;
+
+2888 
+IO_BYTE
+       mby\8b
+;
+
+2890 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2891 
+IO_BYTE
+       m_STGR
+ :1;
+
+2892 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2893 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2894 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2895 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2896 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2897 
+       mIO_BYTE
+ :1;
+
+2898 }
+       mb\99
+;
+
+2900 
+       mIO_BYTE
+ :1;
+
+2901 
+       mIO_BYTE
+ :1;
+
+2902 
+       mIO_BYTE
+ :1;
+
+2903 
+       mIO_BYTE
+ :1;
+
+2904 
+IO_BYTE
+       m_CKS
+ :2;
+
+2905 }
+       mb\99c
+;
+
+2906 }
+       tPCNH05STR
+;
+
+2908 
+IO_BYTE
+       mby\8b
+;
+
+2910 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2911 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2912 
+IO_BYTE
+       m_IREN
+ :1;
+
+2913 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2914 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2915 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2916 
+       mIO_BYTE
+ :1;
+
+2917 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2918 }
+       mb\99
+;
+
+2920 
+IO_BYTE
+       m_EGS
+ :2;
+
+2921 
+       mIO_BYTE
+ :1;
+
+2922 
+       mIO_BYTE
+ :1;
+
+2923 
+IO_BYTE
+       m_IRS
+ :2;
+
+2924 }
+       mb\99c
+;
+
+2925 }
+       tPCNL05STR
+;
+
+2927 
+IO_WORD
+       mwÜd
+;
+
+2929 
+IO_WORD
+       m_CNTE
+ :1;
+
+2930 
+IO_WORD
+       m_STGR
+ :1;
+
+2931 
+IO_WORD
+       m_MDSE
+ :1;
+
+2932 
+IO_WORD
+       m_RTRG
+ :1;
+
+2933 
+IO_WORD
+       m_CKS1
+ :1;
+
+2934 
+IO_WORD
+       m_CKS0
+ :1;
+
+2935 
+IO_WORD
+       m_PGMS
+ :1;
+
+2936 
+       mIO_WORD
+ :1;
+
+2937 
+IO_WORD
+       m_EGS1
+ :1;
+
+2938 
+IO_WORD
+       m_EGS0
+ :1;
+
+2939 
+IO_WORD
+       m_IREN
+ :1;
+
+2940 
+IO_WORD
+       m_IRQF
+ :1;
+
+2941 
+IO_WORD
+       m_IRS1
+ :1;
+
+2942 
+IO_WORD
+       m_IRS0
+ :1;
+
+2943 
+       mIO_WORD
+ :1;
+
+2944 
+IO_WORD
+       m_OSEL
+ :1;
+
+2945 }
+       mb\99
+;
+
+2947 
+       mIO_WORD
+ :1;
+
+2948 
+       mIO_WORD
+ :1;
+
+2949 
+       mIO_WORD
+ :1;
+
+2950 
+       mIO_WORD
+ :1;
+
+2951 
+IO_WORD
+       m_CKS
+ :2;
+
+2952 
+       mIO_WORD
+ :1;
+
+2953 
+       mIO_WORD
+ :1;
+
+2954 
+IO_WORD
+       m_EGS
+ :2;
+
+2955 
+       mIO_WORD
+ :1;
+
+2956 
+       mIO_WORD
+ :1;
+
+2957 
+IO_WORD
+       m_IRS
+ :2;
+
+2958 }
+       mb\99c
+;
+
+2959 }
+       tPCN06STR
+;
+
+2961 
+IO_BYTE
+       mby\8b
+;
+
+2963 
+IO_BYTE
+       m_CNTE
+ :1;
+
+2964 
+IO_BYTE
+       m_STGR
+ :1;
+
+2965 
+IO_BYTE
+       m_MDSE
+ :1;
+
+2966 
+IO_BYTE
+       m_RTRG
+ :1;
+
+2967 
+IO_BYTE
+       m_CKS1
+ :1;
+
+2968 
+IO_BYTE
+       m_CKS0
+ :1;
+
+2969 
+IO_BYTE
+       m_PGMS
+ :1;
+
+2970 
+       mIO_BYTE
+ :1;
+
+2971 }
+       mb\99
+;
+
+2973 
+       mIO_BYTE
+ :1;
+
+2974 
+       mIO_BYTE
+ :1;
+
+2975 
+       mIO_BYTE
+ :1;
+
+2976 
+       mIO_BYTE
+ :1;
+
+2977 
+IO_BYTE
+       m_CKS
+ :2;
+
+2978 }
+       mb\99c
+;
+
+2979 }
+       tPCNH06STR
+;
+
+2981 
+IO_BYTE
+       mby\8b
+;
+
+2983 
+IO_BYTE
+       m_EGS1
+ :1;
+
+2984 
+IO_BYTE
+       m_EGS0
+ :1;
+
+2985 
+IO_BYTE
+       m_IREN
+ :1;
+
+2986 
+IO_BYTE
+       m_IRQF
+ :1;
+
+2987 
+IO_BYTE
+       m_IRS1
+ :1;
+
+2988 
+IO_BYTE
+       m_IRS0
+ :1;
+
+2989 
+       mIO_BYTE
+ :1;
+
+2990 
+IO_BYTE
+       m_OSEL
+ :1;
+
+2991 }
+       mb\99
+;
+
+2993 
+IO_BYTE
+       m_EGS
+ :2;
+
+2994 
+       mIO_BYTE
+ :1;
+
+2995 
+       mIO_BYTE
+ :1;
+
+2996 
+IO_BYTE
+       m_IRS
+ :2;
+
+2997 }
+       mb\99c
+;
+
+2998 }
+       tPCNL06STR
+;
+
+3000 
+IO_WORD
+       mwÜd
+;
+
+3002 
+IO_WORD
+       m_CNTE
+ :1;
+
+3003 
+IO_WORD
+       m_STGR
+ :1;
+
+3004 
+IO_WORD
+       m_MDSE
+ :1;
+
+3005 
+IO_WORD
+       m_RTRG
+ :1;
+
+3006 
+IO_WORD
+       m_CKS1
+ :1;
+
+3007 
+IO_WORD
+       m_CKS0
+ :1;
+
+3008 
+IO_WORD
+       m_PGMS
+ :1;
+
+3009 
+       mIO_WORD
+ :1;
+
+3010 
+IO_WORD
+       m_EGS1
+ :1;
+
+3011 
+IO_WORD
+       m_EGS0
+ :1;
+
+3012 
+IO_WORD
+       m_IREN
+ :1;
+
+3013 
+IO_WORD
+       m_IRQF
+ :1;
+
+3014 
+IO_WORD
+       m_IRS1
+ :1;
+
+3015 
+IO_WORD
+       m_IRS0
+ :1;
+
+3016 
+       mIO_WORD
+ :1;
+
+3017 
+IO_WORD
+       m_OSEL
+ :1;
+
+3018 }
+       mb\99
+;
+
+3020 
+       mIO_WORD
+ :1;
+
+3021 
+       mIO_WORD
+ :1;
+
+3022 
+       mIO_WORD
+ :1;
+
+3023 
+       mIO_WORD
+ :1;
+
+3024 
+IO_WORD
+       m_CKS
+ :2;
+
+3025 
+       mIO_WORD
+ :1;
+
+3026 
+       mIO_WORD
+ :1;
+
+3027 
+IO_WORD
+       m_EGS
+ :2;
+
+3028 
+       mIO_WORD
+ :1;
+
+3029 
+       mIO_WORD
+ :1;
+
+3030 
+IO_WORD
+       m_IRS
+ :2;
+
+3031 }
+       mb\99c
+;
+
+3032 }
+       tPCN07STR
+;
+
+3034 
+IO_BYTE
+       mby\8b
+;
+
+3036 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3037 
+IO_BYTE
+       m_STGR
+ :1;
+
+3038 
+IO_BYTE
+       m_MDSE
+ :1;
+
+3039 
+IO_BYTE
+       m_RTRG
+ :1;
+
+3040 
+IO_BYTE
+       m_CKS1
+ :1;
+
+3041 
+IO_BYTE
+       m_CKS0
+ :1;
+
+3042 
+IO_BYTE
+       m_PGMS
+ :1;
+
+3043 
+       mIO_BYTE
+ :1;
+
+3044 }
+       mb\99
+;
+
+3046 
+       mIO_BYTE
+ :1;
+
+3047 
+       mIO_BYTE
+ :1;
+
+3048 
+       mIO_BYTE
+ :1;
+
+3049 
+       mIO_BYTE
+ :1;
+
+3050 
+IO_BYTE
+       m_CKS
+ :2;
+
+3051 }
+       mb\99c
+;
+
+3052 }
+       tPCNH07STR
+;
+
+3054 
+IO_BYTE
+       mby\8b
+;
+
+3056 
+IO_BYTE
+       m_EGS1
+ :1;
+
+3057 
+IO_BYTE
+       m_EGS0
+ :1;
+
+3058 
+IO_BYTE
+       m_IREN
+ :1;
+
+3059 
+IO_BYTE
+       m_IRQF
+ :1;
+
+3060 
+IO_BYTE
+       m_IRS1
+ :1;
+
+3061 
+IO_BYTE
+       m_IRS0
+ :1;
+
+3062 
+       mIO_BYTE
+ :1;
+
+3063 
+IO_BYTE
+       m_OSEL
+ :1;
+
+3064 }
+       mb\99
+;
+
+3066 
+IO_BYTE
+       m_EGS
+ :2;
+
+3067 
+       mIO_BYTE
+ :1;
+
+3068 
+       mIO_BYTE
+ :1;
+
+3069 
+IO_BYTE
+       m_IRS
+ :2;
+
+3070 }
+       mb\99c
+;
+
+3071 }
+       tPCNL07STR
+;
+
+3073 
+IO_WORD
+       mwÜd
+;
+
+3075 
+IO_WORD
+       m_CNTE
+ :1;
+
+3076 
+IO_WORD
+       m_STGR
+ :1;
+
+3077 
+IO_WORD
+       m_MDSE
+ :1;
+
+3078 
+IO_WORD
+       m_RTRG
+ :1;
+
+3079 
+IO_WORD
+       m_CKS1
+ :1;
+
+3080 
+IO_WORD
+       m_CKS0
+ :1;
+
+3081 
+IO_WORD
+       m_PGMS
+ :1;
+
+3082 
+       mIO_WORD
+ :1;
+
+3083 
+IO_WORD
+       m_EGS1
+ :1;
+
+3084 
+IO_WORD
+       m_EGS0
+ :1;
+
+3085 
+IO_WORD
+       m_IREN
+ :1;
+
+3086 
+IO_WORD
+       m_IRQF
+ :1;
+
+3087 
+IO_WORD
+       m_IRS1
+ :1;
+
+3088 
+IO_WORD
+       m_IRS0
+ :1;
+
+3089 
+       mIO_WORD
+ :1;
+
+3090 
+IO_WORD
+       m_OSEL
+ :1;
+
+3091 }
+       mb\99
+;
+
+3093 
+       mIO_WORD
+ :1;
+
+3094 
+       mIO_WORD
+ :1;
+
+3095 
+       mIO_WORD
+ :1;
+
+3096 
+       mIO_WORD
+ :1;
+
+3097 
+IO_WORD
+       m_CKS
+ :2;
+
+3098 
+       mIO_WORD
+ :1;
+
+3099 
+       mIO_WORD
+ :1;
+
+3100 
+IO_WORD
+       m_EGS
+ :2;
+
+3101 
+       mIO_WORD
+ :1;
+
+3102 
+       mIO_WORD
+ :1;
+
+3103 
+IO_WORD
+       m_IRS
+ :2;
+
+3104 }
+       mb\99c
+;
+
+3105 }
+       tPCN08STR
+;
+
+3107 
+IO_BYTE
+       mby\8b
+;
+
+3109 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3110 
+IO_BYTE
+       m_STGR
+ :1;
+
+3111 
+IO_BYTE
+       m_MDSE
+ :1;
+
+3112 
+IO_BYTE
+       m_RTRG
+ :1;
+
+3113 
+IO_BYTE
+       m_CKS1
+ :1;
+
+3114 
+IO_BYTE
+       m_CKS0
+ :1;
+
+3115 
+IO_BYTE
+       m_PGMS
+ :1;
+
+3116 
+       mIO_BYTE
+ :1;
+
+3117 }
+       mb\99
+;
+
+3119 
+       mIO_BYTE
+ :1;
+
+3120 
+       mIO_BYTE
+ :1;
+
+3121 
+       mIO_BYTE
+ :1;
+
+3122 
+       mIO_BYTE
+ :1;
+
+3123 
+IO_BYTE
+       m_CKS
+ :2;
+
+3124 }
+       mb\99c
+;
+
+3125 }
+       tPCNH08STR
+;
+
+3127 
+IO_BYTE
+       mby\8b
+;
+
+3129 
+IO_BYTE
+       m_EGS1
+ :1;
+
+3130 
+IO_BYTE
+       m_EGS0
+ :1;
+
+3131 
+IO_BYTE
+       m_IREN
+ :1;
+
+3132 
+IO_BYTE
+       m_IRQF
+ :1;
+
+3133 
+IO_BYTE
+       m_IRS1
+ :1;
+
+3134 
+IO_BYTE
+       m_IRS0
+ :1;
+
+3135 
+       mIO_BYTE
+ :1;
+
+3136 
+IO_BYTE
+       m_OSEL
+ :1;
+
+3137 }
+       mb\99
+;
+
+3139 
+IO_BYTE
+       m_EGS
+ :2;
+
+3140 
+       mIO_BYTE
+ :1;
+
+3141 
+       mIO_BYTE
+ :1;
+
+3142 
+IO_BYTE
+       m_IRS
+ :2;
+
+3143 }
+       mb\99c
+;
+
+3144 }
+       tPCNL08STR
+;
+
+3146 
+IO_WORD
+       mwÜd
+;
+
+3148 
+IO_WORD
+       m_CNTE
+ :1;
+
+3149 
+IO_WORD
+       m_STGR
+ :1;
+
+3150 
+IO_WORD
+       m_MDSE
+ :1;
+
+3151 
+IO_WORD
+       m_RTRG
+ :1;
+
+3152 
+IO_WORD
+       m_CKS1
+ :1;
+
+3153 
+IO_WORD
+       m_CKS0
+ :1;
+
+3154 
+IO_WORD
+       m_PGMS
+ :1;
+
+3155 
+       mIO_WORD
+ :1;
+
+3156 
+IO_WORD
+       m_EGS1
+ :1;
+
+3157 
+IO_WORD
+       m_EGS0
+ :1;
+
+3158 
+IO_WORD
+       m_IREN
+ :1;
+
+3159 
+IO_WORD
+       m_IRQF
+ :1;
+
+3160 
+IO_WORD
+       m_IRS1
+ :1;
+
+3161 
+IO_WORD
+       m_IRS0
+ :1;
+
+3162 
+       mIO_WORD
+ :1;
+
+3163 
+IO_WORD
+       m_OSEL
+ :1;
+
+3164 }
+       mb\99
+;
+
+3166 
+       mIO_WORD
+ :1;
+
+3167 
+       mIO_WORD
+ :1;
+
+3168 
+       mIO_WORD
+ :1;
+
+3169 
+       mIO_WORD
+ :1;
+
+3170 
+IO_WORD
+       m_CKS
+ :2;
+
+3171 
+       mIO_WORD
+ :1;
+
+3172 
+       mIO_WORD
+ :1;
+
+3173 
+IO_WORD
+       m_EGS
+ :2;
+
+3174 
+       mIO_WORD
+ :1;
+
+3175 
+       mIO_WORD
+ :1;
+
+3176 
+IO_WORD
+       m_IRS
+ :2;
+
+3177 }
+       mb\99c
+;
+
+3178 }
+       tPCN09STR
+;
+
+3180 
+IO_BYTE
+       mby\8b
+;
+
+3182 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3183 
+IO_BYTE
+       m_STGR
+ :1;
+
+3184 
+IO_BYTE
+       m_MDSE
+ :1;
+
+3185 
+IO_BYTE
+       m_RTRG
+ :1;
+
+3186 
+IO_BYTE
+       m_CKS1
+ :1;
+
+3187 
+IO_BYTE
+       m_CKS0
+ :1;
+
+3188 
+IO_BYTE
+       m_PGMS
+ :1;
+
+3189 
+       mIO_BYTE
+ :1;
+
+3190 }
+       mb\99
+;
+
+3192 
+       mIO_BYTE
+ :1;
+
+3193 
+       mIO_BYTE
+ :1;
+
+3194 
+       mIO_BYTE
+ :1;
+
+3195 
+       mIO_BYTE
+ :1;
+
+3196 
+IO_BYTE
+       m_CKS
+ :2;
+
+3197 }
+       mb\99c
+;
+
+3198 }
+       tPCNH09STR
+;
+
+3200 
+IO_BYTE
+       mby\8b
+;
+
+3202 
+IO_BYTE
+       m_EGS1
+ :1;
+
+3203 
+IO_BYTE
+       m_EGS0
+ :1;
+
+3204 
+IO_BYTE
+       m_IREN
+ :1;
+
+3205 
+IO_BYTE
+       m_IRQF
+ :1;
+
+3206 
+IO_BYTE
+       m_IRS1
+ :1;
+
+3207 
+IO_BYTE
+       m_IRS0
+ :1;
+
+3208 
+       mIO_BYTE
+ :1;
+
+3209 
+IO_BYTE
+       m_OSEL
+ :1;
+
+3210 }
+       mb\99
+;
+
+3212 
+IO_BYTE
+       m_EGS
+ :2;
+
+3213 
+       mIO_BYTE
+ :1;
+
+3214 
+       mIO_BYTE
+ :1;
+
+3215 
+IO_BYTE
+       m_IRS
+ :2;
+
+3216 }
+       mb\99c
+;
+
+3217 }
+       tPCNL09STR
+;
+
+3219 
+IO_WORD
+       mwÜd
+;
+
+3221 
+IO_WORD
+       m_CNTE
+ :1;
+
+3222 
+IO_WORD
+       m_STGR
+ :1;
+
+3223 
+IO_WORD
+       m_MDSE
+ :1;
+
+3224 
+IO_WORD
+       m_RTRG
+ :1;
+
+3225 
+IO_WORD
+       m_CKS1
+ :1;
+
+3226 
+IO_WORD
+       m_CKS0
+ :1;
+
+3227 
+IO_WORD
+       m_PGMS
+ :1;
+
+3228 
+       mIO_WORD
+ :1;
+
+3229 
+IO_WORD
+       m_EGS1
+ :1;
+
+3230 
+IO_WORD
+       m_EGS0
+ :1;
+
+3231 
+IO_WORD
+       m_IREN
+ :1;
+
+3232 
+IO_WORD
+       m_IRQF
+ :1;
+
+3233 
+IO_WORD
+       m_IRS1
+ :1;
+
+3234 
+IO_WORD
+       m_IRS0
+ :1;
+
+3235 
+       mIO_WORD
+ :1;
+
+3236 
+IO_WORD
+       m_OSEL
+ :1;
+
+3237 }
+       mb\99
+;
+
+3239 
+       mIO_WORD
+ :1;
+
+3240 
+       mIO_WORD
+ :1;
+
+3241 
+       mIO_WORD
+ :1;
+
+3242 
+       mIO_WORD
+ :1;
+
+3243 
+IO_WORD
+       m_CKS
+ :2;
+
+3244 
+       mIO_WORD
+ :1;
+
+3245 
+       mIO_WORD
+ :1;
+
+3246 
+IO_WORD
+       m_EGS
+ :2;
+
+3247 
+       mIO_WORD
+ :1;
+
+3248 
+       mIO_WORD
+ :1;
+
+3249 
+IO_WORD
+       m_IRS
+ :2;
+
+3250 }
+       mb\99c
+;
+
+3251 }
+       tPCN10STR
+;
+
+3253 
+IO_BYTE
+       mby\8b
+;
+
+3255 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3256 
+IO_BYTE
+       m_STGR
+ :1;
+
+3257 
+IO_BYTE
+       m_MDSE
+ :1;
+
+3258 
+IO_BYTE
+       m_RTRG
+ :1;
+
+3259 
+IO_BYTE
+       m_CKS1
+ :1;
+
+3260 
+IO_BYTE
+       m_CKS0
+ :1;
+
+3261 
+IO_BYTE
+       m_PGMS
+ :1;
+
+3262 
+       mIO_BYTE
+ :1;
+
+3263 }
+       mb\99
+;
+
+3265 
+       mIO_BYTE
+ :1;
+
+3266 
+       mIO_BYTE
+ :1;
+
+3267 
+       mIO_BYTE
+ :1;
+
+3268 
+       mIO_BYTE
+ :1;
+
+3269 
+IO_BYTE
+       m_CKS
+ :2;
+
+3270 }
+       mb\99c
+;
+
+3271 }
+       tPCNH10STR
+;
+
+3273 
+IO_BYTE
+       mby\8b
+;
+
+3275 
+IO_BYTE
+       m_EGS1
+ :1;
+
+3276 
+IO_BYTE
+       m_EGS0
+ :1;
+
+3277 
+IO_BYTE
+       m_IREN
+ :1;
+
+3278 
+IO_BYTE
+       m_IRQF
+ :1;
+
+3279 
+IO_BYTE
+       m_IRS1
+ :1;
+
+3280 
+IO_BYTE
+       m_IRS0
+ :1;
+
+3281 
+       mIO_BYTE
+ :1;
+
+3282 
+IO_BYTE
+       m_OSEL
+ :1;
+
+3283 }
+       mb\99
+;
+
+3285 
+IO_BYTE
+       m_EGS
+ :2;
+
+3286 
+       mIO_BYTE
+ :1;
+
+3287 
+       mIO_BYTE
+ :1;
+
+3288 
+IO_BYTE
+       m_IRS
+ :2;
+
+3289 }
+       mb\99c
+;
+
+3290 }
+       tPCNL10STR
+;
+
+3292 
+IO_WORD
+       mwÜd
+;
+
+3294 
+IO_WORD
+       m_CNTE
+ :1;
+
+3295 
+IO_WORD
+       m_STGR
+ :1;
+
+3296 
+IO_WORD
+       m_MDSE
+ :1;
+
+3297 
+IO_WORD
+       m_RTRG
+ :1;
+
+3298 
+IO_WORD
+       m_CKS1
+ :1;
+
+3299 
+IO_WORD
+       m_CKS0
+ :1;
+
+3300 
+IO_WORD
+       m_PGMS
+ :1;
+
+3301 
+       mIO_WORD
+ :1;
+
+3302 
+IO_WORD
+       m_EGS1
+ :1;
+
+3303 
+IO_WORD
+       m_EGS0
+ :1;
+
+3304 
+IO_WORD
+       m_IREN
+ :1;
+
+3305 
+IO_WORD
+       m_IRQF
+ :1;
+
+3306 
+IO_WORD
+       m_IRS1
+ :1;
+
+3307 
+IO_WORD
+       m_IRS0
+ :1;
+
+3308 
+       mIO_WORD
+ :1;
+
+3309 
+IO_WORD
+       m_OSEL
+ :1;
+
+3310 }
+       mb\99
+;
+
+3312 
+       mIO_WORD
+ :1;
+
+3313 
+       mIO_WORD
+ :1;
+
+3314 
+       mIO_WORD
+ :1;
+
+3315 
+       mIO_WORD
+ :1;
+
+3316 
+IO_WORD
+       m_CKS
+ :2;
+
+3317 
+       mIO_WORD
+ :1;
+
+3318 
+       mIO_WORD
+ :1;
+
+3319 
+IO_WORD
+       m_EGS
+ :2;
+
+3320 
+       mIO_WORD
+ :1;
+
+3321 
+       mIO_WORD
+ :1;
+
+3322 
+IO_WORD
+       m_IRS
+ :2;
+
+3323 }
+       mb\99c
+;
+
+3324 }
+       tPCN11STR
+;
+
+3326 
+IO_BYTE
+       mby\8b
+;
+
+3328 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3329 
+IO_BYTE
+       m_STGR
+ :1;
+
+3330 
+IO_BYTE
+       m_MDSE
+ :1;
+
+3331 
+IO_BYTE
+       m_RTRG
+ :1;
+
+3332 
+IO_BYTE
+       m_CKS1
+ :1;
+
+3333 
+IO_BYTE
+       m_CKS0
+ :1;
+
+3334 
+IO_BYTE
+       m_PGMS
+ :1;
+
+3335 
+       mIO_BYTE
+ :1;
+
+3336 }
+       mb\99
+;
+
+3338 
+       mIO_BYTE
+ :1;
+
+3339 
+       mIO_BYTE
+ :1;
+
+3340 
+       mIO_BYTE
+ :1;
+
+3341 
+       mIO_BYTE
+ :1;
+
+3342 
+IO_BYTE
+       m_CKS
+ :2;
+
+3343 }
+       mb\99c
+;
+
+3344 }
+       tPCNH11STR
+;
+
+3346 
+IO_BYTE
+       mby\8b
+;
+
+3348 
+IO_BYTE
+       m_EGS1
+ :1;
+
+3349 
+IO_BYTE
+       m_EGS0
+ :1;
+
+3350 
+IO_BYTE
+       m_IREN
+ :1;
+
+3351 
+IO_BYTE
+       m_IRQF
+ :1;
+
+3352 
+IO_BYTE
+       m_IRS1
+ :1;
+
+3353 
+IO_BYTE
+       m_IRS0
+ :1;
+
+3354 
+       mIO_BYTE
+ :1;
+
+3355 
+IO_BYTE
+       m_OSEL
+ :1;
+
+3356 }
+       mb\99
+;
+
+3358 
+IO_BYTE
+       m_EGS
+ :2;
+
+3359 
+       mIO_BYTE
+ :1;
+
+3360 
+       mIO_BYTE
+ :1;
+
+3361 
+IO_BYTE
+       m_IRS
+ :2;
+
+3362 }
+       mb\99c
+;
+
+3363 }
+       tPCNL11STR
+;
+
+3365 
+IO_BYTE
+       mby\8b
+;
+
+3367 
+IO_BYTE
+       m_ICP1
+ :1;
+
+3368 
+IO_BYTE
+       m_ICP0
+ :1;
+
+3369 
+IO_BYTE
+       m_ICE1
+ :1;
+
+3370 
+IO_BYTE
+       m_ICE0
+ :1;
+
+3371 
+IO_BYTE
+       m_EG11
+ :1;
+
+3372 
+IO_BYTE
+       m_EG10
+ :1;
+
+3373 
+IO_BYTE
+       m_EG01
+ :1;
+
+3374 
+IO_BYTE
+       m_EG00
+ :1;
+
+3375 }
+       mb\99
+;
+
+3377 
+       mIO_BYTE
+ :1;
+
+3378 
+       mIO_BYTE
+ :1;
+
+3379 
+       mIO_BYTE
+ :1;
+
+3380 
+       mIO_BYTE
+ :1;
+
+3381 
+IO_BYTE
+       m_EG1
+ :2;
+
+3382 
+IO_BYTE
+       m_EG0
+ :2;
+
+3383 }
+       mb\99c
+;
+
+3384 }
+       tICS01STR
+;
+
+3386 
+IO_BYTE
+       mby\8b
+;
+
+3388 
+IO_BYTE
+       m_ICP3
+ :1;
+
+3389 
+IO_BYTE
+       m_ICP2
+ :1;
+
+3390 
+IO_BYTE
+       m_ICE3
+ :1;
+
+3391 
+IO_BYTE
+       m_ICE2
+ :1;
+
+3392 
+IO_BYTE
+       m_EG31
+ :1;
+
+3393 
+IO_BYTE
+       m_EG30
+ :1;
+
+3394 
+IO_BYTE
+       m_EG21
+ :1;
+
+3395 
+IO_BYTE
+       m_EG20
+ :1;
+
+3396 }
+       mb\99
+;
+
+3398 
+       mIO_BYTE
+ :1;
+
+3399 
+       mIO_BYTE
+ :1;
+
+3400 
+       mIO_BYTE
+ :1;
+
+3401 
+       mIO_BYTE
+ :1;
+
+3402 
+IO_BYTE
+       m_EG3
+ :2;
+
+3403 
+IO_BYTE
+       m_EG2
+ :2;
+
+3404 }
+       mb\99c
+;
+
+3405 }
+       tICS23STR
+;
+
+3407 
+IO_WORD
+       mwÜd
+;
+
+3409 
+IO_WORD
+       m_CP15
+ :1;
+
+3410 
+IO_WORD
+       m_CP14
+ :1;
+
+3411 
+IO_WORD
+       m_CP13
+ :1;
+
+3412 
+IO_WORD
+       m_CP12
+ :1;
+
+3413 
+IO_WORD
+       m_CP11
+ :1;
+
+3414 
+IO_WORD
+       m_CP10
+ :1;
+
+3415 
+IO_WORD
+       m_CP9
+ :1;
+
+3416 
+IO_WORD
+       m_CP8
+ :1;
+
+3417 
+IO_WORD
+       m_CP7
+ :1;
+
+3418 
+IO_WORD
+       m_CP6
+ :1;
+
+3419 
+IO_WORD
+       m_CP5
+ :1;
+
+3420 
+IO_WORD
+       m_CP4
+ :1;
+
+3421 
+IO_WORD
+       m_CP3
+ :1;
+
+3422 
+IO_WORD
+       m_CP2
+ :1;
+
+3423 
+IO_WORD
+       m_CP1
+ :1;
+
+3424 
+IO_WORD
+       m_CP0
+ :1;
+
+3425 }
+       mb\99
+;
+
+3426 }
+       tIPCP0STR
+;
+
+3428 
+IO_WORD
+       mwÜd
+;
+
+3430 
+IO_WORD
+       m_CP15
+ :1;
+
+3431 
+IO_WORD
+       m_CP14
+ :1;
+
+3432 
+IO_WORD
+       m_CP13
+ :1;
+
+3433 
+IO_WORD
+       m_CP12
+ :1;
+
+3434 
+IO_WORD
+       m_CP11
+ :1;
+
+3435 
+IO_WORD
+       m_CP10
+ :1;
+
+3436 
+IO_WORD
+       m_CP9
+ :1;
+
+3437 
+IO_WORD
+       m_CP8
+ :1;
+
+3438 
+IO_WORD
+       m_CP7
+ :1;
+
+3439 
+IO_WORD
+       m_CP6
+ :1;
+
+3440 
+IO_WORD
+       m_CP5
+ :1;
+
+3441 
+IO_WORD
+       m_CP4
+ :1;
+
+3442 
+IO_WORD
+       m_CP3
+ :1;
+
+3443 
+IO_WORD
+       m_CP2
+ :1;
+
+3444 
+IO_WORD
+       m_CP1
+ :1;
+
+3445 
+IO_WORD
+       m_CP0
+ :1;
+
+3446 }
+       mb\99
+;
+
+3447 }
+       tIPCP1STR
+;
+
+3449 
+IO_WORD
+       mwÜd
+;
+
+3451 
+IO_WORD
+       m_CP15
+ :1;
+
+3452 
+IO_WORD
+       m_CP14
+ :1;
+
+3453 
+IO_WORD
+       m_CP13
+ :1;
+
+3454 
+IO_WORD
+       m_CP12
+ :1;
+
+3455 
+IO_WORD
+       m_CP11
+ :1;
+
+3456 
+IO_WORD
+       m_CP10
+ :1;
+
+3457 
+IO_WORD
+       m_CP9
+ :1;
+
+3458 
+IO_WORD
+       m_CP8
+ :1;
+
+3459 
+IO_WORD
+       m_CP7
+ :1;
+
+3460 
+IO_WORD
+       m_CP6
+ :1;
+
+3461 
+IO_WORD
+       m_CP5
+ :1;
+
+3462 
+IO_WORD
+       m_CP4
+ :1;
+
+3463 
+IO_WORD
+       m_CP3
+ :1;
+
+3464 
+IO_WORD
+       m_CP2
+ :1;
+
+3465 
+IO_WORD
+       m_CP1
+ :1;
+
+3466 
+IO_WORD
+       m_CP0
+ :1;
+
+3467 }
+       mb\99
+;
+
+3468 }
+       tIPCP2STR
+;
+
+3470 
+IO_WORD
+       mwÜd
+;
+
+3472 
+IO_WORD
+       m_CP15
+ :1;
+
+3473 
+IO_WORD
+       m_CP14
+ :1;
+
+3474 
+IO_WORD
+       m_CP13
+ :1;
+
+3475 
+IO_WORD
+       m_CP12
+ :1;
+
+3476 
+IO_WORD
+       m_CP11
+ :1;
+
+3477 
+IO_WORD
+       m_CP10
+ :1;
+
+3478 
+IO_WORD
+       m_CP9
+ :1;
+
+3479 
+IO_WORD
+       m_CP8
+ :1;
+
+3480 
+IO_WORD
+       m_CP7
+ :1;
+
+3481 
+IO_WORD
+       m_CP6
+ :1;
+
+3482 
+IO_WORD
+       m_CP5
+ :1;
+
+3483 
+IO_WORD
+       m_CP4
+ :1;
+
+3484 
+IO_WORD
+       m_CP3
+ :1;
+
+3485 
+IO_WORD
+       m_CP2
+ :1;
+
+3486 
+IO_WORD
+       m_CP1
+ :1;
+
+3487 
+IO_WORD
+       m_CP0
+ :1;
+
+3488 }
+       mb\99
+;
+
+3489 }
+       tIPCP3STR
+;
+
+3491 
+IO_WORD
+       mwÜd
+;
+
+3493 
+       mIO_WORD
+ :1;
+
+3494 
+       mIO_WORD
+ :1;
+
+3495 
+       mIO_WORD
+ :1;
+
+3496 
+IO_WORD
+       m_CMOD
+ :1;
+
+3497 
+       mIO_WORD
+ :1;
+
+3498 
+       mIO_WORD
+ :1;
+
+3499 
+IO_WORD
+       m_OTD1
+ :1;
+
+3500 
+IO_WORD
+       m_OTD0
+ :1;
+
+3501 
+IO_WORD
+       m_ICP1
+ :1;
+
+3502 
+IO_WORD
+       m_ICP0
+ :1;
+
+3503 
+IO_WORD
+       m_ICE1
+ :1;
+
+3504 
+IO_WORD
+       m_ICE0
+ :1;
+
+3505 
+       mIO_WORD
+ :1;
+
+3506 
+       mIO_WORD
+ :1;
+
+3507 
+IO_WORD
+       m_CST1
+ :1;
+
+3508 
+IO_WORD
+       m_CST0
+ :1;
+
+3509 }
+       mb\99
+;
+
+3510 }
+       tOCS01STR
+;
+
+3512 
+IO_WORD
+       mwÜd
+;
+
+3514 
+       mIO_WORD
+ :1;
+
+3515 
+       mIO_WORD
+ :1;
+
+3516 
+       mIO_WORD
+ :1;
+
+3517 
+IO_WORD
+       m_CMOD
+ :1;
+
+3518 
+       mIO_WORD
+ :1;
+
+3519 
+       mIO_WORD
+ :1;
+
+3520 
+IO_WORD
+       m_OTD3
+ :1;
+
+3521 
+IO_WORD
+       m_OTD2
+ :1;
+
+3522 
+IO_WORD
+       m_ICP3
+ :1;
+
+3523 
+IO_WORD
+       m_ICP2
+ :1;
+
+3524 
+IO_WORD
+       m_ICE3
+ :1;
+
+3525 
+IO_WORD
+       m_ICE2
+ :1;
+
+3526 
+       mIO_WORD
+ :1;
+
+3527 
+       mIO_WORD
+ :1;
+
+3528 
+IO_WORD
+       m_CST3
+ :1;
+
+3529 
+IO_WORD
+       m_CST2
+ :1;
+
+3530 }
+       mb\99
+;
+
+3531 }
+       tOCS23STR
+;
+
+3533 
+IO_WORD
+       mwÜd
+;
+
+3535 
+IO_WORD
+       m_C15
+ :1;
+
+3536 
+IO_WORD
+       m_C14
+ :1;
+
+3537 
+IO_WORD
+       m_C13
+ :1;
+
+3538 
+IO_WORD
+       m_C12
+ :1;
+
+3539 
+IO_WORD
+       m_C11
+ :1;
+
+3540 
+IO_WORD
+       m_C10
+ :1;
+
+3541 
+IO_WORD
+       m_C9
+ :1;
+
+3542 
+IO_WORD
+       m_C8
+ :1;
+
+3543 
+IO_WORD
+       m_C7
+ :1;
+
+3544 
+IO_WORD
+       m_C6
+ :1;
+
+3545 
+IO_WORD
+       m_C5
+ :1;
+
+3546 
+IO_WORD
+       m_C4
+ :1;
+
+3547 
+IO_WORD
+       m_C3
+ :1;
+
+3548 
+IO_WORD
+       m_C2
+ :1;
+
+3549 
+IO_WORD
+       m_C1
+ :1;
+
+3550 
+IO_WORD
+       m_C0
+ :1;
+
+3551 }
+       mb\99
+;
+
+3552 }
+       tOCCP0STR
+;
+
+3554 
+IO_WORD
+       mwÜd
+;
+
+3556 
+IO_WORD
+       m_C15
+ :1;
+
+3557 
+IO_WORD
+       m_C14
+ :1;
+
+3558 
+IO_WORD
+       m_C13
+ :1;
+
+3559 
+IO_WORD
+       m_C12
+ :1;
+
+3560 
+IO_WORD
+       m_C11
+ :1;
+
+3561 
+IO_WORD
+       m_C10
+ :1;
+
+3562 
+IO_WORD
+       m_C9
+ :1;
+
+3563 
+IO_WORD
+       m_C8
+ :1;
+
+3564 
+IO_WORD
+       m_C7
+ :1;
+
+3565 
+IO_WORD
+       m_C6
+ :1;
+
+3566 
+IO_WORD
+       m_C5
+ :1;
+
+3567 
+IO_WORD
+       m_C4
+ :1;
+
+3568 
+IO_WORD
+       m_C3
+ :1;
+
+3569 
+IO_WORD
+       m_C2
+ :1;
+
+3570 
+IO_WORD
+       m_C1
+ :1;
+
+3571 
+IO_WORD
+       m_C0
+ :1;
+
+3572 }
+       mb\99
+;
+
+3573 }
+       tOCCP1STR
+;
+
+3575 
+IO_WORD
+       mwÜd
+;
+
+3577 
+IO_WORD
+       m_C15
+ :1;
+
+3578 
+IO_WORD
+       m_C14
+ :1;
+
+3579 
+IO_WORD
+       m_C13
+ :1;
+
+3580 
+IO_WORD
+       m_C12
+ :1;
+
+3581 
+IO_WORD
+       m_C11
+ :1;
+
+3582 
+IO_WORD
+       m_C10
+ :1;
+
+3583 
+IO_WORD
+       m_C9
+ :1;
+
+3584 
+IO_WORD
+       m_C8
+ :1;
+
+3585 
+IO_WORD
+       m_C7
+ :1;
+
+3586 
+IO_WORD
+       m_C6
+ :1;
+
+3587 
+IO_WORD
+       m_C5
+ :1;
+
+3588 
+IO_WORD
+       m_C4
+ :1;
+
+3589 
+IO_WORD
+       m_C3
+ :1;
+
+3590 
+IO_WORD
+       m_C2
+ :1;
+
+3591 
+IO_WORD
+       m_C1
+ :1;
+
+3592 
+IO_WORD
+       m_C0
+ :1;
+
+3593 }
+       mb\99
+;
+
+3594 }
+       tOCCP2STR
+;
+
+3596 
+IO_WORD
+       mwÜd
+;
+
+3598 
+IO_WORD
+       m_C15
+ :1;
+
+3599 
+IO_WORD
+       m_C14
+ :1;
+
+3600 
+IO_WORD
+       m_C13
+ :1;
+
+3601 
+IO_WORD
+       m_C12
+ :1;
+
+3602 
+IO_WORD
+       m_C11
+ :1;
+
+3603 
+IO_WORD
+       m_C10
+ :1;
+
+3604 
+IO_WORD
+       m_C9
+ :1;
+
+3605 
+IO_WORD
+       m_C8
+ :1;
+
+3606 
+IO_WORD
+       m_C7
+ :1;
+
+3607 
+IO_WORD
+       m_C6
+ :1;
+
+3608 
+IO_WORD
+       m_C5
+ :1;
+
+3609 
+IO_WORD
+       m_C4
+ :1;
+
+3610 
+IO_WORD
+       m_C3
+ :1;
+
+3611 
+IO_WORD
+       m_C2
+ :1;
+
+3612 
+IO_WORD
+       m_C1
+ :1;
+
+3613 
+IO_WORD
+       m_C0
+ :1;
+
+3614 }
+       mb\99
+;
+
+3615 }
+       tOCCP3STR
+;
+
+3617 
+IO_WORD
+       mwÜd
+;
+
+3619 
+IO_WORD
+       m_ADE31
+ :1;
+
+3620 
+IO_WORD
+       m_ADE30
+ :1;
+
+3621 
+IO_WORD
+       m_ADE29
+ :1;
+
+3622 
+IO_WORD
+       m_ADE28
+ :1;
+
+3623 
+IO_WORD
+       m_ADE27
+ :1;
+
+3624 
+IO_WORD
+       m_ADE26
+ :1;
+
+3625 
+IO_WORD
+       m_ADE25
+ :1;
+
+3626 
+IO_WORD
+       m_ADE24
+ :1;
+
+3627 
+IO_WORD
+       m_ADE23
+ :1;
+
+3628 
+IO_WORD
+       m_ADE22
+ :1;
+
+3629 
+IO_WORD
+       m_ADE21
+ :1;
+
+3630 
+IO_WORD
+       m_ADE20
+ :1;
+
+3631 
+IO_WORD
+       m_ADE19
+ :1;
+
+3632 
+IO_WORD
+       m_ADE18
+ :1;
+
+3633 
+IO_WORD
+       m_ADE17
+ :1;
+
+3634 
+IO_WORD
+       m_ADE16
+ :1;
+
+3635 }
+       mb\99
+;
+
+3636 }
+       tADERHSTR
+;
+
+3638 
+IO_WORD
+       mwÜd
+;
+
+3640 
+IO_WORD
+       m_ADE15
+ :1;
+
+3641 
+IO_WORD
+       m_ADE14
+ :1;
+
+3642 
+IO_WORD
+       m_ADE13
+ :1;
+
+3643 
+IO_WORD
+       m_ADE12
+ :1;
+
+3644 
+IO_WORD
+       m_ADE11
+ :1;
+
+3645 
+IO_WORD
+       m_ADE10
+ :1;
+
+3646 
+IO_WORD
+       m_ADE9
+ :1;
+
+3647 
+IO_WORD
+       m_ADE8
+ :1;
+
+3648 
+IO_WORD
+       m_ADE7
+ :1;
+
+3649 
+IO_WORD
+       m_ADE6
+ :1;
+
+3650 
+IO_WORD
+       m_ADE5
+ :1;
+
+3651 
+IO_WORD
+       m_ADE4
+ :1;
+
+3652 
+IO_WORD
+       m_ADE3
+ :1;
+
+3653 
+IO_WORD
+       m_ADE2
+ :1;
+
+3654 
+IO_WORD
+       m_ADE1
+ :1;
+
+3655 
+IO_WORD
+       m_ADE0
+ :1;
+
+3656 }
+       mb\99
+;
+
+3657 }
+       tADERLSTR
+;
+
+3659 
+IO_BYTE
+       mby\8b
+;
+
+3661 
+IO_BYTE
+       m_BUSY
+ :1;
+
+3662 
+IO_BYTE
+       m_INT
+ :1;
+
+3663 
+IO_BYTE
+       m_INTE
+ :1;
+
+3664 
+IO_BYTE
+       m_PAUS
+ :1;
+
+3665 
+IO_BYTE
+       m_STS1
+ :1;
+
+3666 
+IO_BYTE
+       m_STS0
+ :1;
+
+3667 
+IO_BYTE
+       m_STRT
+ :1;
+
+3668 
+       mIO_BYTE
+ :1;
+
+3669 }
+       mb\99
+;
+
+3671 
+       mIO_BYTE
+ :1;
+
+3672 
+       mIO_BYTE
+ :1;
+
+3673 
+       mIO_BYTE
+ :1;
+
+3674 
+       mIO_BYTE
+ :1;
+
+3675 
+IO_BYTE
+       m_STS
+ :2;
+
+3676 }
+       mb\99c
+;
+
+3677 }
+       tADCS1STR
+;
+
+3679 
+IO_BYTE
+       mby\8b
+;
+
+3681 
+IO_BYTE
+       m_MD1
+ :1;
+
+3682 
+IO_BYTE
+       m_MD0
+ :1;
+
+3683 
+IO_BYTE
+       m_S10
+ :1;
+
+3684 
+IO_BYTE
+       m_ACH4
+ :1;
+
+3685 
+IO_BYTE
+       m_ACH3
+ :1;
+
+3686 
+IO_BYTE
+       m_ACH2
+ :1;
+
+3687 
+IO_BYTE
+       m_ACH1
+ :1;
+
+3688 
+IO_BYTE
+       m_ACH0
+ :1;
+
+3689 }
+       mb\99
+;
+
+3691 
+IO_BYTE
+       m_MD
+ :2;
+
+3692 
+       mIO_BYTE
+ :1;
+
+3693 
+IO_BYTE
+       m_ACH
+ :5;
+
+3694 }
+       mb\99c
+;
+
+3695 }
+       tADCS0STR
+;
+
+3697 
+IO_BYTE
+       mby\8b
+;
+
+3699 
+       mIO_BYTE
+ :1;
+
+3700 
+       mIO_BYTE
+ :1;
+
+3701 
+       mIO_BYTE
+ :1;
+
+3702 
+       mIO_BYTE
+ :1;
+
+3703 
+       mIO_BYTE
+ :1;
+
+3704 
+       mIO_BYTE
+ :1;
+
+3705 
+IO_BYTE
+       m_D9
+ :1;
+
+3706 
+IO_BYTE
+       m_D8
+ :1;
+
+3707 }
+       mb\99
+;
+
+3708 }
+       tADCR1STR
+;
+
+3710 
+IO_BYTE
+       mby\8b
+;
+
+3712 
+IO_BYTE
+       m_D7
+ :1;
+
+3713 
+IO_BYTE
+       m_D6
+ :1;
+
+3714 
+IO_BYTE
+       m_D5
+ :1;
+
+3715 
+IO_BYTE
+       m_D4
+ :1;
+
+3716 
+IO_BYTE
+       m_D3
+ :1;
+
+3717 
+IO_BYTE
+       m_D2
+ :1;
+
+3718 
+IO_BYTE
+       m_D1
+ :1;
+
+3719 
+IO_BYTE
+       m_D0
+ :1;
+
+3720 }
+       mb\99
+;
+
+3721 }
+       tADCR0STR
+;
+
+3723 
+IO_BYTE
+       mby\8b
+;
+
+3725 
+IO_BYTE
+       m_CT5
+ :1;
+
+3726 
+IO_BYTE
+       m_CT4
+ :1;
+
+3727 
+IO_BYTE
+       m_CT3
+ :1;
+
+3728 
+IO_BYTE
+       m_CT2
+ :1;
+
+3729 
+IO_BYTE
+       m_CT1
+ :1;
+
+3730 
+IO_BYTE
+       m_CT0
+ :1;
+
+3731 
+IO_BYTE
+       m_ST9
+ :1;
+
+3732 
+IO_BYTE
+       m_ST8
+ :1;
+
+3733 }
+       mb\99
+;
+
+3734 }
+       tADCT1STR
+;
+
+3736 
+IO_BYTE
+       mby\8b
+;
+
+3738 
+IO_BYTE
+       m_ST7
+ :1;
+
+3739 
+IO_BYTE
+       m_ST6
+ :1;
+
+3740 
+IO_BYTE
+       m_ST5
+ :1;
+
+3741 
+IO_BYTE
+       m_ST4
+ :1;
+
+3742 
+IO_BYTE
+       m_ST3
+ :1;
+
+3743 
+IO_BYTE
+       m_ST2
+ :1;
+
+3744 
+IO_BYTE
+       m_ST1
+ :1;
+
+3745 
+IO_BYTE
+       m_ST0
+ :1;
+
+3746 }
+       mb\99
+;
+
+3747 }
+       tADCT0STR
+;
+
+3749 
+IO_BYTE
+       mby\8b
+;
+
+3751 
+       mIO_BYTE
+ :1;
+
+3752 
+       mIO_BYTE
+ :1;
+
+3753 
+       mIO_BYTE
+ :1;
+
+3754 
+IO_BYTE
+       m_ANS4
+ :1;
+
+3755 
+IO_BYTE
+       m_ANS3
+ :1;
+
+3756 
+IO_BYTE
+       m_ANS2
+ :1;
+
+3757 
+IO_BYTE
+       m_ANS1
+ :1;
+
+3758 
+IO_BYTE
+       m_ASN0
+ :1;
+
+3759 }
+       mb\99
+;
+
+3761 
+       mIO_BYTE
+ :1;
+
+3762 
+       mIO_BYTE
+ :1;
+
+3763 
+       mIO_BYTE
+ :1;
+
+3764 
+IO_BYTE
+       m_ANS
+ :5;
+
+3765 }
+       mb\99c
+;
+
+3766 }
+       tADSCHSTR
+;
+
+3768 
+IO_BYTE
+       mby\8b
+;
+
+3770 
+       mIO_BYTE
+ :1;
+
+3771 
+       mIO_BYTE
+ :1;
+
+3772 
+       mIO_BYTE
+ :1;
+
+3773 
+IO_BYTE
+       m_ANE4
+ :1;
+
+3774 
+IO_BYTE
+       m_ANE3
+ :1;
+
+3775 
+IO_BYTE
+       m_ANE2
+ :1;
+
+3776 
+IO_BYTE
+       m_ANE1
+ :1;
+
+3777 
+IO_BYTE
+       m_ANE0
+ :1;
+
+3778 }
+       mb\99
+;
+
+3780 
+       mIO_BYTE
+ :1;
+
+3781 
+       mIO_BYTE
+ :1;
+
+3782 
+       mIO_BYTE
+ :1;
+
+3783 
+IO_BYTE
+       m_ANE
+ :5;
+
+3784 }
+       mb\99c
+;
+
+3785 }
+       tADECHSTR
+;
+
+3787 
+IO_WORD
+       mwÜd
+;
+
+3789 
+IO_WORD
+       m_D15
+ :1;
+
+3790 
+IO_WORD
+       m_D14
+ :1;
+
+3791 
+IO_WORD
+       m_D13
+ :1;
+
+3792 
+IO_WORD
+       m_D12
+ :1;
+
+3793 
+IO_WORD
+       m_D11
+ :1;
+
+3794 
+IO_WORD
+       m_D10
+ :1;
+
+3795 
+IO_WORD
+       m_D9
+ :1;
+
+3796 
+IO_WORD
+       m_D8
+ :1;
+
+3797 
+IO_WORD
+       m_D7
+ :1;
+
+3798 
+IO_WORD
+       m_D6
+ :1;
+
+3799 
+IO_WORD
+       m_D5
+ :1;
+
+3800 
+IO_WORD
+       m_D4
+ :1;
+
+3801 
+IO_WORD
+       m_D3
+ :1;
+
+3802 
+IO_WORD
+       m_D2
+ :1;
+
+3803 
+IO_WORD
+       m_D1
+ :1;
+
+3804 
+IO_WORD
+       m_D0
+ :1;
+
+3805 }
+       mb\99
+;
+
+3806 }
+       tTMRLR0STR
+;
+
+3808 
+IO_WORD
+       mwÜd
+;
+
+3810 
+IO_WORD
+       m_D15
+ :1;
+
+3811 
+IO_WORD
+       m_D14
+ :1;
+
+3812 
+IO_WORD
+       m_D13
+ :1;
+
+3813 
+IO_WORD
+       m_D12
+ :1;
+
+3814 
+IO_WORD
+       m_D11
+ :1;
+
+3815 
+IO_WORD
+       m_D10
+ :1;
+
+3816 
+IO_WORD
+       m_D9
+ :1;
+
+3817 
+IO_WORD
+       m_D8
+ :1;
+
+3818 
+IO_WORD
+       m_D7
+ :1;
+
+3819 
+IO_WORD
+       m_D6
+ :1;
+
+3820 
+IO_WORD
+       m_D5
+ :1;
+
+3821 
+IO_WORD
+       m_D4
+ :1;
+
+3822 
+IO_WORD
+       m_D3
+ :1;
+
+3823 
+IO_WORD
+       m_D2
+ :1;
+
+3824 
+IO_WORD
+       m_D1
+ :1;
+
+3825 
+IO_WORD
+       m_D0
+ :1;
+
+3826 }
+       mb\99
+;
+
+3827 }
+       tTMR0STR
+;
+
+3829 
+IO_WORD
+       mwÜd
+;
+
+3831 
+       mIO_WORD
+ :1;
+
+3832 
+       mIO_WORD
+ :1;
+
+3833 
+       mIO_WORD
+ :1;
+
+3834 
+IO_WORD
+       m_CSL2
+ :1;
+
+3835 
+IO_WORD
+       m_CSL1
+ :1;
+
+3836 
+IO_WORD
+       m_CSL0
+ :1;
+
+3837 
+IO_WORD
+       m_MOD2
+ :1;
+
+3838 
+IO_WORD
+       m_MOD1
+ :1;
+
+3839 
+IO_WORD
+       m_MOD0
+ :1;
+
+3840 
+       mIO_WORD
+ :1;
+
+3841 
+IO_WORD
+       m_OULT
+ :1;
+
+3842 
+IO_WORD
+       m_RELD
+ :1;
+
+3843 
+IO_WORD
+       m_INTE
+ :1;
+
+3844 
+IO_WORD
+       m_UF
+ :1;
+
+3845 
+IO_WORD
+       m_CNTE
+ :1;
+
+3846 
+IO_WORD
+       m_TRG
+ :1;
+
+3847 }
+       mb\99
+;
+
+3849 
+       mIO_WORD
+ :1;
+
+3850 
+       mIO_WORD
+ :1;
+
+3851 
+       mIO_WORD
+ :1;
+
+3852 
+IO_WORD
+       m_CSL
+ :3;
+
+3853 
+IO_WORD
+       m_MOD
+ :3;
+
+3854 }
+       mb\99c
+;
+
+3855 }
+       tTMCSR0STR
+;
+
+3857 
+IO_BYTE
+       mby\8b
+;
+
+3859 
+       mIO_BYTE
+ :1;
+
+3860 
+       mIO_BYTE
+ :1;
+
+3861 
+       mIO_BYTE
+ :1;
+
+3862 
+IO_BYTE
+       m_CSL2
+ :1;
+
+3863 
+IO_BYTE
+       m_CSL1
+ :1;
+
+3864 
+IO_BYTE
+       m_CSL0
+ :1;
+
+3865 
+IO_BYTE
+       m_MOD2
+ :1;
+
+3866 
+IO_BYTE
+       m_MOD1
+ :1;
+
+3867 }
+       mb\99
+;
+
+3869 
+       mIO_BYTE
+ :3;
+
+3870 
+IO_BYTE
+       m_CSL
+ :3;
+
+3871 }
+       mb\99c
+;
+
+3872 }
+       tTMCSRH0STR
+;
+
+3874 
+IO_BYTE
+       mby\8b
+;
+
+3876 
+IO_BYTE
+       m_MOD0
+ :1;
+
+3877 
+       mIO_BYTE
+ :1;
+
+3878 
+IO_BYTE
+       m_OULT
+ :1;
+
+3879 
+IO_BYTE
+       m_RELD
+ :1;
+
+3880 
+IO_BYTE
+       m_INTE
+ :1;
+
+3881 
+IO_BYTE
+       m_UF
+ :1;
+
+3882 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3883 
+IO_BYTE
+       m_TRG
+ :1;
+
+3884 }
+       mb\99
+;
+
+3885 }
+       tTMCSRL0STR
+;
+
+3887 
+IO_WORD
+       mwÜd
+;
+
+3889 
+IO_WORD
+       m_D15
+ :1;
+
+3890 
+IO_WORD
+       m_D14
+ :1;
+
+3891 
+IO_WORD
+       m_D13
+ :1;
+
+3892 
+IO_WORD
+       m_D12
+ :1;
+
+3893 
+IO_WORD
+       m_D11
+ :1;
+
+3894 
+IO_WORD
+       m_D10
+ :1;
+
+3895 
+IO_WORD
+       m_D9
+ :1;
+
+3896 
+IO_WORD
+       m_D8
+ :1;
+
+3897 
+IO_WORD
+       m_D7
+ :1;
+
+3898 
+IO_WORD
+       m_D6
+ :1;
+
+3899 
+IO_WORD
+       m_D5
+ :1;
+
+3900 
+IO_WORD
+       m_D4
+ :1;
+
+3901 
+IO_WORD
+       m_D3
+ :1;
+
+3902 
+IO_WORD
+       m_D2
+ :1;
+
+3903 
+IO_WORD
+       m_D1
+ :1;
+
+3904 
+IO_WORD
+       m_D0
+ :1;
+
+3905 }
+       mb\99
+;
+
+3906 }
+       tTMRLR1STR
+;
+
+3908 
+IO_WORD
+       mwÜd
+;
+
+3910 
+IO_WORD
+       m_D15
+ :1;
+
+3911 
+IO_WORD
+       m_D14
+ :1;
+
+3912 
+IO_WORD
+       m_D13
+ :1;
+
+3913 
+IO_WORD
+       m_D12
+ :1;
+
+3914 
+IO_WORD
+       m_D11
+ :1;
+
+3915 
+IO_WORD
+       m_D10
+ :1;
+
+3916 
+IO_WORD
+       m_D9
+ :1;
+
+3917 
+IO_WORD
+       m_D8
+ :1;
+
+3918 
+IO_WORD
+       m_D7
+ :1;
+
+3919 
+IO_WORD
+       m_D6
+ :1;
+
+3920 
+IO_WORD
+       m_D5
+ :1;
+
+3921 
+IO_WORD
+       m_D4
+ :1;
+
+3922 
+IO_WORD
+       m_D3
+ :1;
+
+3923 
+IO_WORD
+       m_D2
+ :1;
+
+3924 
+IO_WORD
+       m_D1
+ :1;
+
+3925 
+IO_WORD
+       m_D0
+ :1;
+
+3926 }
+       mb\99
+;
+
+3927 }
+       tTMR1STR
+;
+
+3929 
+IO_WORD
+       mwÜd
+;
+
+3931 
+       mIO_WORD
+ :1;
+
+3932 
+       mIO_WORD
+ :1;
+
+3933 
+       mIO_WORD
+ :1;
+
+3934 
+IO_WORD
+       m_CSL2
+ :1;
+
+3935 
+IO_WORD
+       m_CSL1
+ :1;
+
+3936 
+IO_WORD
+       m_CSL0
+ :1;
+
+3937 
+IO_WORD
+       m_MOD2
+ :1;
+
+3938 
+IO_WORD
+       m_MOD1
+ :1;
+
+3939 
+IO_WORD
+       m_MOD0
+ :1;
+
+3940 
+       mIO_WORD
+ :1;
+
+3941 
+IO_WORD
+       m_OULT
+ :1;
+
+3942 
+IO_WORD
+       m_RELD
+ :1;
+
+3943 
+IO_WORD
+       m_INTE
+ :1;
+
+3944 
+IO_WORD
+       m_UF
+ :1;
+
+3945 
+IO_WORD
+       m_CNTE
+ :1;
+
+3946 
+IO_WORD
+       m_TRG
+ :1;
+
+3947 }
+       mb\99
+;
+
+3949 
+       mIO_WORD
+ :1;
+
+3950 
+       mIO_WORD
+ :1;
+
+3951 
+       mIO_WORD
+ :1;
+
+3952 
+IO_WORD
+       m_CSL
+ :3;
+
+3953 
+IO_WORD
+       m_MOD
+ :3;
+
+3954 }
+       mb\99c
+;
+
+3955 }
+       tTMCSR1STR
+;
+
+3957 
+IO_BYTE
+       mby\8b
+;
+
+3959 
+       mIO_BYTE
+ :1;
+
+3960 
+       mIO_BYTE
+ :1;
+
+3961 
+       mIO_BYTE
+ :1;
+
+3962 
+IO_BYTE
+       m_CSL2
+ :1;
+
+3963 
+IO_BYTE
+       m_CSL1
+ :1;
+
+3964 
+IO_BYTE
+       m_CSL0
+ :1;
+
+3965 
+IO_BYTE
+       m_MOD2
+ :1;
+
+3966 
+IO_BYTE
+       m_MOD1
+ :1;
+
+3967 }
+       mb\99
+;
+
+3969 
+       mIO_BYTE
+ :3;
+
+3970 
+IO_BYTE
+       m_CSL
+ :3;
+
+3971 }
+       mb\99c
+;
+
+3972 }
+       tTMCSRH1STR
+;
+
+3974 
+IO_BYTE
+       mby\8b
+;
+
+3976 
+IO_BYTE
+       m_MOD0
+ :1;
+
+3977 
+       mIO_BYTE
+ :1;
+
+3978 
+IO_BYTE
+       m_OULT
+ :1;
+
+3979 
+IO_BYTE
+       m_RELD
+ :1;
+
+3980 
+IO_BYTE
+       m_INTE
+ :1;
+
+3981 
+IO_BYTE
+       m_UF
+ :1;
+
+3982 
+IO_BYTE
+       m_CNTE
+ :1;
+
+3983 
+IO_BYTE
+       m_TRG
+ :1;
+
+3984 }
+       mb\99
+;
+
+3985 }
+       tTMCSRL1STR
+;
+
+3987 
+IO_WORD
+       mwÜd
+;
+
+3989 
+IO_WORD
+       m_D15
+ :1;
+
+3990 
+IO_WORD
+       m_D14
+ :1;
+
+3991 
+IO_WORD
+       m_D13
+ :1;
+
+3992 
+IO_WORD
+       m_D12
+ :1;
+
+3993 
+IO_WORD
+       m_D11
+ :1;
+
+3994 
+IO_WORD
+       m_D10
+ :1;
+
+3995 
+IO_WORD
+       m_D9
+ :1;
+
+3996 
+IO_WORD
+       m_D8
+ :1;
+
+3997 
+IO_WORD
+       m_D7
+ :1;
+
+3998 
+IO_WORD
+       m_D6
+ :1;
+
+3999 
+IO_WORD
+       m_D5
+ :1;
+
+4000 
+IO_WORD
+       m_D4
+ :1;
+
+4001 
+IO_WORD
+       m_D3
+ :1;
+
+4002 
+IO_WORD
+       m_D2
+ :1;
+
+4003 
+IO_WORD
+       m_D1
+ :1;
+
+4004 
+IO_WORD
+       m_D0
+ :1;
+
+4005 }
+       mb\99
+;
+
+4006 }
+       tTMRLR2STR
+;
+
+4008 
+IO_WORD
+       mwÜd
+;
+
+4010 
+IO_WORD
+       m_D15
+ :1;
+
+4011 
+IO_WORD
+       m_D14
+ :1;
+
+4012 
+IO_WORD
+       m_D13
+ :1;
+
+4013 
+IO_WORD
+       m_D12
+ :1;
+
+4014 
+IO_WORD
+       m_D11
+ :1;
+
+4015 
+IO_WORD
+       m_D10
+ :1;
+
+4016 
+IO_WORD
+       m_D9
+ :1;
+
+4017 
+IO_WORD
+       m_D8
+ :1;
+
+4018 
+IO_WORD
+       m_D7
+ :1;
+
+4019 
+IO_WORD
+       m_D6
+ :1;
+
+4020 
+IO_WORD
+       m_D5
+ :1;
+
+4021 
+IO_WORD
+       m_D4
+ :1;
+
+4022 
+IO_WORD
+       m_D3
+ :1;
+
+4023 
+IO_WORD
+       m_D2
+ :1;
+
+4024 
+IO_WORD
+       m_D1
+ :1;
+
+4025 
+IO_WORD
+       m_D0
+ :1;
+
+4026 }
+       mb\99
+;
+
+4027 }
+       tTMR2STR
+;
+
+4029 
+IO_WORD
+       mwÜd
+;
+
+4031 
+       mIO_WORD
+ :1;
+
+4032 
+       mIO_WORD
+ :1;
+
+4033 
+       mIO_WORD
+ :1;
+
+4034 
+IO_WORD
+       m_CSL2
+ :1;
+
+4035 
+IO_WORD
+       m_CSL1
+ :1;
+
+4036 
+IO_WORD
+       m_CSL0
+ :1;
+
+4037 
+IO_WORD
+       m_MOD2
+ :1;
+
+4038 
+IO_WORD
+       m_MOD1
+ :1;
+
+4039 
+IO_WORD
+       m_MOD0
+ :1;
+
+4040 
+       mIO_WORD
+ :1;
+
+4041 
+IO_WORD
+       m_OULT
+ :1;
+
+4042 
+IO_WORD
+       m_RELD
+ :1;
+
+4043 
+IO_WORD
+       m_INTE
+ :1;
+
+4044 
+IO_WORD
+       m_UF
+ :1;
+
+4045 
+IO_WORD
+       m_CNTE
+ :1;
+
+4046 
+IO_WORD
+       m_TRG
+ :1;
+
+4047 }
+       mb\99
+;
+
+4049 
+       mIO_WORD
+ :1;
+
+4050 
+       mIO_WORD
+ :1;
+
+4051 
+       mIO_WORD
+ :1;
+
+4052 
+IO_WORD
+       m_CSL
+ :3;
+
+4053 
+IO_WORD
+       m_MOD
+ :3;
+
+4054 }
+       mb\99c
+;
+
+4055 }
+       tTMCSR2STR
+;
+
+4057 
+IO_BYTE
+       mby\8b
+;
+
+4059 
+       mIO_BYTE
+ :1;
+
+4060 
+       mIO_BYTE
+ :1;
+
+4061 
+       mIO_BYTE
+ :1;
+
+4062 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4063 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4064 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4065 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4066 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4067 }
+       mb\99
+;
+
+4069 
+       mIO_BYTE
+ :3;
+
+4070 
+IO_BYTE
+       m_CSL
+ :3;
+
+4071 }
+       mb\99c
+;
+
+4072 }
+       tTMCSRH2STR
+;
+
+4074 
+IO_BYTE
+       mby\8b
+;
+
+4076 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4077 
+       mIO_BYTE
+ :1;
+
+4078 
+IO_BYTE
+       m_OULT
+ :1;
+
+4079 
+IO_BYTE
+       m_RELD
+ :1;
+
+4080 
+IO_BYTE
+       m_INTE
+ :1;
+
+4081 
+IO_BYTE
+       m_UF
+ :1;
+
+4082 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4083 
+IO_BYTE
+       m_TRG
+ :1;
+
+4084 }
+       mb\99
+;
+
+4085 }
+       tTMCSRL2STR
+;
+
+4087 
+IO_WORD
+       mwÜd
+;
+
+4089 
+IO_WORD
+       m_D15
+ :1;
+
+4090 
+IO_WORD
+       m_D14
+ :1;
+
+4091 
+IO_WORD
+       m_D13
+ :1;
+
+4092 
+IO_WORD
+       m_D12
+ :1;
+
+4093 
+IO_WORD
+       m_D11
+ :1;
+
+4094 
+IO_WORD
+       m_D10
+ :1;
+
+4095 
+IO_WORD
+       m_D9
+ :1;
+
+4096 
+IO_WORD
+       m_D8
+ :1;
+
+4097 
+IO_WORD
+       m_D7
+ :1;
+
+4098 
+IO_WORD
+       m_D6
+ :1;
+
+4099 
+IO_WORD
+       m_D5
+ :1;
+
+4100 
+IO_WORD
+       m_D4
+ :1;
+
+4101 
+IO_WORD
+       m_D3
+ :1;
+
+4102 
+IO_WORD
+       m_D2
+ :1;
+
+4103 
+IO_WORD
+       m_D1
+ :1;
+
+4104 
+IO_WORD
+       m_D0
+ :1;
+
+4105 }
+       mb\99
+;
+
+4106 }
+       tTMRLR3STR
+;
+
+4108 
+IO_WORD
+       mwÜd
+;
+
+4110 
+IO_WORD
+       m_D15
+ :1;
+
+4111 
+IO_WORD
+       m_D14
+ :1;
+
+4112 
+IO_WORD
+       m_D13
+ :1;
+
+4113 
+IO_WORD
+       m_D12
+ :1;
+
+4114 
+IO_WORD
+       m_D11
+ :1;
+
+4115 
+IO_WORD
+       m_D10
+ :1;
+
+4116 
+IO_WORD
+       m_D9
+ :1;
+
+4117 
+IO_WORD
+       m_D8
+ :1;
+
+4118 
+IO_WORD
+       m_D7
+ :1;
+
+4119 
+IO_WORD
+       m_D6
+ :1;
+
+4120 
+IO_WORD
+       m_D5
+ :1;
+
+4121 
+IO_WORD
+       m_D4
+ :1;
+
+4122 
+IO_WORD
+       m_D3
+ :1;
+
+4123 
+IO_WORD
+       m_D2
+ :1;
+
+4124 
+IO_WORD
+       m_D1
+ :1;
+
+4125 
+IO_WORD
+       m_D0
+ :1;
+
+4126 }
+       mb\99
+;
+
+4127 }
+       tTMR3STR
+;
+
+4129 
+IO_WORD
+       mwÜd
+;
+
+4131 
+       mIO_WORD
+ :1;
+
+4132 
+       mIO_WORD
+ :1;
+
+4133 
+       mIO_WORD
+ :1;
+
+4134 
+IO_WORD
+       m_CSL2
+ :1;
+
+4135 
+IO_WORD
+       m_CSL1
+ :1;
+
+4136 
+IO_WORD
+       m_CSL0
+ :1;
+
+4137 
+IO_WORD
+       m_MOD2
+ :1;
+
+4138 
+IO_WORD
+       m_MOD1
+ :1;
+
+4139 
+IO_WORD
+       m_MOD0
+ :1;
+
+4140 
+       mIO_WORD
+ :1;
+
+4141 
+IO_WORD
+       m_OULT
+ :1;
+
+4142 
+IO_WORD
+       m_RELD
+ :1;
+
+4143 
+IO_WORD
+       m_INTE
+ :1;
+
+4144 
+IO_WORD
+       m_UF
+ :1;
+
+4145 
+IO_WORD
+       m_CNTE
+ :1;
+
+4146 
+IO_WORD
+       m_TRG
+ :1;
+
+4147 }
+       mb\99
+;
+
+4149 
+       mIO_WORD
+ :1;
+
+4150 
+       mIO_WORD
+ :1;
+
+4151 
+       mIO_WORD
+ :1;
+
+4152 
+IO_WORD
+       m_CSL
+ :3;
+
+4153 
+IO_WORD
+       m_MOD
+ :3;
+
+4154 }
+       mb\99c
+;
+
+4155 }
+       tTMCSR3STR
+;
+
+4157 
+IO_BYTE
+       mby\8b
+;
+
+4159 
+       mIO_BYTE
+ :1;
+
+4160 
+       mIO_BYTE
+ :1;
+
+4161 
+       mIO_BYTE
+ :1;
+
+4162 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4163 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4164 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4165 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4166 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4167 }
+       mb\99
+;
+
+4169 
+       mIO_BYTE
+ :3;
+
+4170 
+IO_BYTE
+       m_CSL
+ :3;
+
+4171 }
+       mb\99c
+;
+
+4172 }
+       tTMCSRH3STR
+;
+
+4174 
+IO_BYTE
+       mby\8b
+;
+
+4176 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4177 
+       mIO_BYTE
+ :1;
+
+4178 
+IO_BYTE
+       m_OULT
+ :1;
+
+4179 
+IO_BYTE
+       m_RELD
+ :1;
+
+4180 
+IO_BYTE
+       m_INTE
+ :1;
+
+4181 
+IO_BYTE
+       m_UF
+ :1;
+
+4182 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4183 
+IO_BYTE
+       m_TRG
+ :1;
+
+4184 }
+       mb\99
+;
+
+4185 }
+       tTMCSRL3STR
+;
+
+4187 
+IO_WORD
+       mwÜd
+;
+
+4189 
+IO_WORD
+       m_D15
+ :1;
+
+4190 
+IO_WORD
+       m_D14
+ :1;
+
+4191 
+IO_WORD
+       m_D13
+ :1;
+
+4192 
+IO_WORD
+       m_D12
+ :1;
+
+4193 
+IO_WORD
+       m_D11
+ :1;
+
+4194 
+IO_WORD
+       m_D10
+ :1;
+
+4195 
+IO_WORD
+       m_D9
+ :1;
+
+4196 
+IO_WORD
+       m_D8
+ :1;
+
+4197 
+IO_WORD
+       m_D7
+ :1;
+
+4198 
+IO_WORD
+       m_D6
+ :1;
+
+4199 
+IO_WORD
+       m_D5
+ :1;
+
+4200 
+IO_WORD
+       m_D4
+ :1;
+
+4201 
+IO_WORD
+       m_D3
+ :1;
+
+4202 
+IO_WORD
+       m_D2
+ :1;
+
+4203 
+IO_WORD
+       m_D1
+ :1;
+
+4204 
+IO_WORD
+       m_D0
+ :1;
+
+4205 }
+       mb\99
+;
+
+4206 }
+       tTMRLR4STR
+;
+
+4208 
+IO_WORD
+       mwÜd
+;
+
+4210 
+IO_WORD
+       m_D15
+ :1;
+
+4211 
+IO_WORD
+       m_D14
+ :1;
+
+4212 
+IO_WORD
+       m_D13
+ :1;
+
+4213 
+IO_WORD
+       m_D12
+ :1;
+
+4214 
+IO_WORD
+       m_D11
+ :1;
+
+4215 
+IO_WORD
+       m_D10
+ :1;
+
+4216 
+IO_WORD
+       m_D9
+ :1;
+
+4217 
+IO_WORD
+       m_D8
+ :1;
+
+4218 
+IO_WORD
+       m_D7
+ :1;
+
+4219 
+IO_WORD
+       m_D6
+ :1;
+
+4220 
+IO_WORD
+       m_D5
+ :1;
+
+4221 
+IO_WORD
+       m_D4
+ :1;
+
+4222 
+IO_WORD
+       m_D3
+ :1;
+
+4223 
+IO_WORD
+       m_D2
+ :1;
+
+4224 
+IO_WORD
+       m_D1
+ :1;
+
+4225 
+IO_WORD
+       m_D0
+ :1;
+
+4226 }
+       mb\99
+;
+
+4227 }
+       tTMR4STR
+;
+
+4229 
+IO_WORD
+       mwÜd
+;
+
+4231 
+       mIO_WORD
+ :1;
+
+4232 
+       mIO_WORD
+ :1;
+
+4233 
+       mIO_WORD
+ :1;
+
+4234 
+IO_WORD
+       m_CSL2
+ :1;
+
+4235 
+IO_WORD
+       m_CSL1
+ :1;
+
+4236 
+IO_WORD
+       m_CSL0
+ :1;
+
+4237 
+IO_WORD
+       m_MOD2
+ :1;
+
+4238 
+IO_WORD
+       m_MOD1
+ :1;
+
+4239 
+IO_WORD
+       m_MOD0
+ :1;
+
+4240 
+       mIO_WORD
+ :1;
+
+4241 
+IO_WORD
+       m_OULT
+ :1;
+
+4242 
+IO_WORD
+       m_RELD
+ :1;
+
+4243 
+IO_WORD
+       m_INTE
+ :1;
+
+4244 
+IO_WORD
+       m_UF
+ :1;
+
+4245 
+IO_WORD
+       m_CNTE
+ :1;
+
+4246 
+IO_WORD
+       m_TRG
+ :1;
+
+4247 }
+       mb\99
+;
+
+4249 
+       mIO_WORD
+ :1;
+
+4250 
+       mIO_WORD
+ :1;
+
+4251 
+       mIO_WORD
+ :1;
+
+4252 
+IO_WORD
+       m_CSL
+ :3;
+
+4253 
+IO_WORD
+       m_MOD
+ :3;
+
+4254 }
+       mb\99c
+;
+
+4255 }
+       tTMCSR4STR
+;
+
+4257 
+IO_BYTE
+       mby\8b
+;
+
+4259 
+       mIO_BYTE
+ :1;
+
+4260 
+       mIO_BYTE
+ :1;
+
+4261 
+       mIO_BYTE
+ :1;
+
+4262 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4263 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4264 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4265 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4266 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4267 }
+       mb\99
+;
+
+4269 
+       mIO_BYTE
+ :3;
+
+4270 
+IO_BYTE
+       m_CSL
+ :3;
+
+4271 }
+       mb\99c
+;
+
+4272 }
+       tTMCSRH4STR
+;
+
+4274 
+IO_BYTE
+       mby\8b
+;
+
+4276 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4277 
+       mIO_BYTE
+ :1;
+
+4278 
+IO_BYTE
+       m_OULT
+ :1;
+
+4279 
+IO_BYTE
+       m_RELD
+ :1;
+
+4280 
+IO_BYTE
+       m_INTE
+ :1;
+
+4281 
+IO_BYTE
+       m_UF
+ :1;
+
+4282 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4283 
+IO_BYTE
+       m_TRG
+ :1;
+
+4284 }
+       mb\99
+;
+
+4285 }
+       tTMCSRL4STR
+;
+
+4287 
+IO_WORD
+       mwÜd
+;
+
+4289 
+IO_WORD
+       m_D15
+ :1;
+
+4290 
+IO_WORD
+       m_D14
+ :1;
+
+4291 
+IO_WORD
+       m_D13
+ :1;
+
+4292 
+IO_WORD
+       m_D12
+ :1;
+
+4293 
+IO_WORD
+       m_D11
+ :1;
+
+4294 
+IO_WORD
+       m_D10
+ :1;
+
+4295 
+IO_WORD
+       m_D9
+ :1;
+
+4296 
+IO_WORD
+       m_D8
+ :1;
+
+4297 
+IO_WORD
+       m_D7
+ :1;
+
+4298 
+IO_WORD
+       m_D6
+ :1;
+
+4299 
+IO_WORD
+       m_D5
+ :1;
+
+4300 
+IO_WORD
+       m_D4
+ :1;
+
+4301 
+IO_WORD
+       m_D3
+ :1;
+
+4302 
+IO_WORD
+       m_D2
+ :1;
+
+4303 
+IO_WORD
+       m_D1
+ :1;
+
+4304 
+IO_WORD
+       m_D0
+ :1;
+
+4305 }
+       mb\99
+;
+
+4306 }
+       tTMRLR5STR
+;
+
+4308 
+IO_WORD
+       mwÜd
+;
+
+4310 
+IO_WORD
+       m_D15
+ :1;
+
+4311 
+IO_WORD
+       m_D14
+ :1;
+
+4312 
+IO_WORD
+       m_D13
+ :1;
+
+4313 
+IO_WORD
+       m_D12
+ :1;
+
+4314 
+IO_WORD
+       m_D11
+ :1;
+
+4315 
+IO_WORD
+       m_D10
+ :1;
+
+4316 
+IO_WORD
+       m_D9
+ :1;
+
+4317 
+IO_WORD
+       m_D8
+ :1;
+
+4318 
+IO_WORD
+       m_D7
+ :1;
+
+4319 
+IO_WORD
+       m_D6
+ :1;
+
+4320 
+IO_WORD
+       m_D5
+ :1;
+
+4321 
+IO_WORD
+       m_D4
+ :1;
+
+4322 
+IO_WORD
+       m_D3
+ :1;
+
+4323 
+IO_WORD
+       m_D2
+ :1;
+
+4324 
+IO_WORD
+       m_D1
+ :1;
+
+4325 
+IO_WORD
+       m_D0
+ :1;
+
+4326 }
+       mb\99
+;
+
+4327 }
+       tTMR5STR
+;
+
+4329 
+IO_WORD
+       mwÜd
+;
+
+4331 
+       mIO_WORD
+ :1;
+
+4332 
+       mIO_WORD
+ :1;
+
+4333 
+       mIO_WORD
+ :1;
+
+4334 
+IO_WORD
+       m_CSL2
+ :1;
+
+4335 
+IO_WORD
+       m_CSL1
+ :1;
+
+4336 
+IO_WORD
+       m_CSL0
+ :1;
+
+4337 
+IO_WORD
+       m_MOD2
+ :1;
+
+4338 
+IO_WORD
+       m_MOD1
+ :1;
+
+4339 
+IO_WORD
+       m_MOD0
+ :1;
+
+4340 
+       mIO_WORD
+ :1;
+
+4341 
+IO_WORD
+       m_OULT
+ :1;
+
+4342 
+IO_WORD
+       m_RELD
+ :1;
+
+4343 
+IO_WORD
+       m_INTE
+ :1;
+
+4344 
+IO_WORD
+       m_UF
+ :1;
+
+4345 
+IO_WORD
+       m_CNTE
+ :1;
+
+4346 
+IO_WORD
+       m_TRG
+ :1;
+
+4347 }
+       mb\99
+;
+
+4349 
+       mIO_WORD
+ :1;
+
+4350 
+       mIO_WORD
+ :1;
+
+4351 
+       mIO_WORD
+ :1;
+
+4352 
+IO_WORD
+       m_CSL
+ :3;
+
+4353 
+IO_WORD
+       m_MOD
+ :3;
+
+4354 }
+       mb\99c
+;
+
+4355 }
+       tTMCSR5STR
+;
+
+4357 
+IO_BYTE
+       mby\8b
+;
+
+4359 
+       mIO_BYTE
+ :1;
+
+4360 
+       mIO_BYTE
+ :1;
+
+4361 
+       mIO_BYTE
+ :1;
+
+4362 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4363 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4364 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4365 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4366 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4367 }
+       mb\99
+;
+
+4369 
+       mIO_BYTE
+ :3;
+
+4370 
+IO_BYTE
+       m_CSL
+ :3;
+
+4371 }
+       mb\99c
+;
+
+4372 }
+       tTMCSRH5STR
+;
+
+4374 
+IO_BYTE
+       mby\8b
+;
+
+4376 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4377 
+       mIO_BYTE
+ :1;
+
+4378 
+IO_BYTE
+       m_OULT
+ :1;
+
+4379 
+IO_BYTE
+       m_RELD
+ :1;
+
+4380 
+IO_BYTE
+       m_INTE
+ :1;
+
+4381 
+IO_BYTE
+       m_UF
+ :1;
+
+4382 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4383 
+IO_BYTE
+       m_TRG
+ :1;
+
+4384 }
+       mb\99
+;
+
+4385 }
+       tTMCSRL5STR
+;
+
+4387 
+IO_WORD
+       mwÜd
+;
+
+4389 
+IO_WORD
+       m_D15
+ :1;
+
+4390 
+IO_WORD
+       m_D14
+ :1;
+
+4391 
+IO_WORD
+       m_D13
+ :1;
+
+4392 
+IO_WORD
+       m_D12
+ :1;
+
+4393 
+IO_WORD
+       m_D11
+ :1;
+
+4394 
+IO_WORD
+       m_D10
+ :1;
+
+4395 
+IO_WORD
+       m_D9
+ :1;
+
+4396 
+IO_WORD
+       m_D8
+ :1;
+
+4397 
+IO_WORD
+       m_D7
+ :1;
+
+4398 
+IO_WORD
+       m_D6
+ :1;
+
+4399 
+IO_WORD
+       m_D5
+ :1;
+
+4400 
+IO_WORD
+       m_D4
+ :1;
+
+4401 
+IO_WORD
+       m_D3
+ :1;
+
+4402 
+IO_WORD
+       m_D2
+ :1;
+
+4403 
+IO_WORD
+       m_D1
+ :1;
+
+4404 
+IO_WORD
+       m_D0
+ :1;
+
+4405 }
+       mb\99
+;
+
+4406 }
+       tTMRLR6STR
+;
+
+4408 
+IO_WORD
+       mwÜd
+;
+
+4410 
+IO_WORD
+       m_D15
+ :1;
+
+4411 
+IO_WORD
+       m_D14
+ :1;
+
+4412 
+IO_WORD
+       m_D13
+ :1;
+
+4413 
+IO_WORD
+       m_D12
+ :1;
+
+4414 
+IO_WORD
+       m_D11
+ :1;
+
+4415 
+IO_WORD
+       m_D10
+ :1;
+
+4416 
+IO_WORD
+       m_D9
+ :1;
+
+4417 
+IO_WORD
+       m_D8
+ :1;
+
+4418 
+IO_WORD
+       m_D7
+ :1;
+
+4419 
+IO_WORD
+       m_D6
+ :1;
+
+4420 
+IO_WORD
+       m_D5
+ :1;
+
+4421 
+IO_WORD
+       m_D4
+ :1;
+
+4422 
+IO_WORD
+       m_D3
+ :1;
+
+4423 
+IO_WORD
+       m_D2
+ :1;
+
+4424 
+IO_WORD
+       m_D1
+ :1;
+
+4425 
+IO_WORD
+       m_D0
+ :1;
+
+4426 }
+       mb\99
+;
+
+4427 }
+       tTMR6STR
+;
+
+4429 
+IO_WORD
+       mwÜd
+;
+
+4431 
+       mIO_WORD
+ :1;
+
+4432 
+       mIO_WORD
+ :1;
+
+4433 
+       mIO_WORD
+ :1;
+
+4434 
+IO_WORD
+       m_CSL2
+ :1;
+
+4435 
+IO_WORD
+       m_CSL1
+ :1;
+
+4436 
+IO_WORD
+       m_CSL0
+ :1;
+
+4437 
+IO_WORD
+       m_MOD2
+ :1;
+
+4438 
+IO_WORD
+       m_MOD1
+ :1;
+
+4439 
+IO_WORD
+       m_MOD0
+ :1;
+
+4440 
+       mIO_WORD
+ :1;
+
+4441 
+IO_WORD
+       m_OULT
+ :1;
+
+4442 
+IO_WORD
+       m_RELD
+ :1;
+
+4443 
+IO_WORD
+       m_INTE
+ :1;
+
+4444 
+IO_WORD
+       m_UF
+ :1;
+
+4445 
+IO_WORD
+       m_CNTE
+ :1;
+
+4446 
+IO_WORD
+       m_TRG
+ :1;
+
+4447 }
+       mb\99
+;
+
+4449 
+       mIO_WORD
+ :1;
+
+4450 
+       mIO_WORD
+ :1;
+
+4451 
+       mIO_WORD
+ :1;
+
+4452 
+IO_WORD
+       m_CSL
+ :3;
+
+4453 
+IO_WORD
+       m_MOD
+ :3;
+
+4454 }
+       mb\99c
+;
+
+4455 }
+       tTMCSR6STR
+;
+
+4457 
+IO_BYTE
+       mby\8b
+;
+
+4459 
+       mIO_BYTE
+ :1;
+
+4460 
+       mIO_BYTE
+ :1;
+
+4461 
+       mIO_BYTE
+ :1;
+
+4462 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4463 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4464 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4465 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4466 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4467 }
+       mb\99
+;
+
+4469 
+       mIO_BYTE
+ :3;
+
+4470 
+IO_BYTE
+       m_CSL
+ :3;
+
+4471 }
+       mb\99c
+;
+
+4472 }
+       tTMCSRH6STR
+;
+
+4474 
+IO_BYTE
+       mby\8b
+;
+
+4476 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4477 
+       mIO_BYTE
+ :1;
+
+4478 
+IO_BYTE
+       m_OULT
+ :1;
+
+4479 
+IO_BYTE
+       m_RELD
+ :1;
+
+4480 
+IO_BYTE
+       m_INTE
+ :1;
+
+4481 
+IO_BYTE
+       m_UF
+ :1;
+
+4482 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4483 
+IO_BYTE
+       m_TRG
+ :1;
+
+4484 }
+       mb\99
+;
+
+4485 }
+       tTMCSRL6STR
+;
+
+4487 
+IO_WORD
+       mwÜd
+;
+
+4489 
+IO_WORD
+       m_D15
+ :1;
+
+4490 
+IO_WORD
+       m_D14
+ :1;
+
+4491 
+IO_WORD
+       m_D13
+ :1;
+
+4492 
+IO_WORD
+       m_D12
+ :1;
+
+4493 
+IO_WORD
+       m_D11
+ :1;
+
+4494 
+IO_WORD
+       m_D10
+ :1;
+
+4495 
+IO_WORD
+       m_D9
+ :1;
+
+4496 
+IO_WORD
+       m_D8
+ :1;
+
+4497 
+IO_WORD
+       m_D7
+ :1;
+
+4498 
+IO_WORD
+       m_D6
+ :1;
+
+4499 
+IO_WORD
+       m_D5
+ :1;
+
+4500 
+IO_WORD
+       m_D4
+ :1;
+
+4501 
+IO_WORD
+       m_D3
+ :1;
+
+4502 
+IO_WORD
+       m_D2
+ :1;
+
+4503 
+IO_WORD
+       m_D1
+ :1;
+
+4504 
+IO_WORD
+       m_D0
+ :1;
+
+4505 }
+       mb\99
+;
+
+4506 }
+       tTMRLR7STR
+;
+
+4508 
+IO_WORD
+       mwÜd
+;
+
+4510 
+IO_WORD
+       m_D15
+ :1;
+
+4511 
+IO_WORD
+       m_D14
+ :1;
+
+4512 
+IO_WORD
+       m_D13
+ :1;
+
+4513 
+IO_WORD
+       m_D12
+ :1;
+
+4514 
+IO_WORD
+       m_D11
+ :1;
+
+4515 
+IO_WORD
+       m_D10
+ :1;
+
+4516 
+IO_WORD
+       m_D9
+ :1;
+
+4517 
+IO_WORD
+       m_D8
+ :1;
+
+4518 
+IO_WORD
+       m_D7
+ :1;
+
+4519 
+IO_WORD
+       m_D6
+ :1;
+
+4520 
+IO_WORD
+       m_D5
+ :1;
+
+4521 
+IO_WORD
+       m_D4
+ :1;
+
+4522 
+IO_WORD
+       m_D3
+ :1;
+
+4523 
+IO_WORD
+       m_D2
+ :1;
+
+4524 
+IO_WORD
+       m_D1
+ :1;
+
+4525 
+IO_WORD
+       m_D0
+ :1;
+
+4526 }
+       mb\99
+;
+
+4527 }
+       tTMR7STR
+;
+
+4529 
+IO_WORD
+       mwÜd
+;
+
+4531 
+       mIO_WORD
+ :1;
+
+4532 
+       mIO_WORD
+ :1;
+
+4533 
+       mIO_WORD
+ :1;
+
+4534 
+IO_WORD
+       m_CSL2
+ :1;
+
+4535 
+IO_WORD
+       m_CSL1
+ :1;
+
+4536 
+IO_WORD
+       m_CSL0
+ :1;
+
+4537 
+IO_WORD
+       m_MOD2
+ :1;
+
+4538 
+IO_WORD
+       m_MOD1
+ :1;
+
+4539 
+IO_WORD
+       m_MOD0
+ :1;
+
+4540 
+       mIO_WORD
+ :1;
+
+4541 
+IO_WORD
+       m_OULT
+ :1;
+
+4542 
+IO_WORD
+       m_RELD
+ :1;
+
+4543 
+IO_WORD
+       m_INTE
+ :1;
+
+4544 
+IO_WORD
+       m_UF
+ :1;
+
+4545 
+IO_WORD
+       m_CNTE
+ :1;
+
+4546 
+IO_WORD
+       m_TRG
+ :1;
+
+4547 }
+       mb\99
+;
+
+4549 
+       mIO_WORD
+ :1;
+
+4550 
+       mIO_WORD
+ :1;
+
+4551 
+       mIO_WORD
+ :1;
+
+4552 
+IO_WORD
+       m_CSL
+ :3;
+
+4553 
+IO_WORD
+       m_MOD
+ :3;
+
+4554 }
+       mb\99c
+;
+
+4555 }
+       tTMCSR7STR
+;
+
+4557 
+IO_BYTE
+       mby\8b
+;
+
+4559 
+       mIO_BYTE
+ :1;
+
+4560 
+       mIO_BYTE
+ :1;
+
+4561 
+       mIO_BYTE
+ :1;
+
+4562 
+IO_BYTE
+       m_CSL2
+ :1;
+
+4563 
+IO_BYTE
+       m_CSL1
+ :1;
+
+4564 
+IO_BYTE
+       m_CSL0
+ :1;
+
+4565 
+IO_BYTE
+       m_MOD2
+ :1;
+
+4566 
+IO_BYTE
+       m_MOD1
+ :1;
+
+4567 }
+       mb\99
+;
+
+4569 
+       mIO_BYTE
+ :3;
+
+4570 
+IO_BYTE
+       m_CSL
+ :3;
+
+4571 }
+       mb\99c
+;
+
+4572 }
+       tTMCSRH7STR
+;
+
+4574 
+IO_BYTE
+       mby\8b
+;
+
+4576 
+IO_BYTE
+       m_MOD0
+ :1;
+
+4577 
+       mIO_BYTE
+ :1;
+
+4578 
+IO_BYTE
+       m_OULT
+ :1;
+
+4579 
+IO_BYTE
+       m_RELD
+ :1;
+
+4580 
+IO_BYTE
+       m_INTE
+ :1;
+
+4581 
+IO_BYTE
+       m_UF
+ :1;
+
+4582 
+IO_BYTE
+       m_CNTE
+ :1;
+
+4583 
+IO_BYTE
+       m_TRG
+ :1;
+
+4584 }
+       mb\99
+;
+
+4585 }
+       tTMCSRL7STR
+;
+
+4587 
+IO_WORD
+       mwÜd
+;
+
+4589 
+IO_WORD
+       m_T15
+ :1;
+
+4590 
+IO_WORD
+       m_T14
+ :1;
+
+4591 
+IO_WORD
+       m_T13
+ :1;
+
+4592 
+IO_WORD
+       m_T12
+ :1;
+
+4593 
+IO_WORD
+       m_T11
+ :1;
+
+4594 
+IO_WORD
+       m_T10
+ :1;
+
+4595 
+IO_WORD
+       m_T9
+ :1;
+
+4596 
+IO_WORD
+       m_T8
+ :1;
+
+4597 
+IO_WORD
+       m_T7
+ :1;
+
+4598 
+IO_WORD
+       m_T6
+ :1;
+
+4599 
+IO_WORD
+       m_T5
+ :1;
+
+4600 
+IO_WORD
+       m_T4
+ :1;
+
+4601 
+IO_WORD
+       m_T3
+ :1;
+
+4602 
+IO_WORD
+       m_T2
+ :1;
+
+4603 
+IO_WORD
+       m_T1
+ :1;
+
+4604 
+IO_WORD
+       m_T0
+ :1;
+
+4605 }
+       mb\99
+;
+
+4606 }
+       tTCDT0STR
+;
+
+4608 
+IO_BYTE
+       mby\8b
+;
+
+4610 
+IO_BYTE
+       m_ECLK
+ :1;
+
+4611 
+IO_BYTE
+       m_IVF
+ :1;
+
+4612 
+IO_BYTE
+       m_IVFE
+ :1;
+
+4613 
+IO_BYTE
+       m_STOP
+ :1;
+
+4614 
+IO_BYTE
+       m_MODE
+ :1;
+
+4615 
+IO_BYTE
+       m_CLR
+ :1;
+
+4616 
+IO_BYTE
+       m_CLK1
+ :1;
+
+4617 
+IO_BYTE
+       m_CLK0
+ :1;
+
+4618 }
+       mb\99
+;
+
+4620 
+       mIO_BYTE
+ :1;
+
+4621 
+       mIO_BYTE
+ :1;
+
+4622 
+       mIO_BYTE
+ :1;
+
+4623 
+       mIO_BYTE
+ :1;
+
+4624 
+       mIO_BYTE
+ :1;
+
+4625 
+       mIO_BYTE
+ :1;
+
+4626 
+IO_BYTE
+       m_CLK
+ :2;
+
+4627 }
+       mb\99c
+;
+
+4628 }
+       tTCCS0STR
+;
+
+4630 
+IO_WORD
+       mwÜd
+;
+
+4632 
+IO_WORD
+       m_T15
+ :1;
+
+4633 
+IO_WORD
+       m_T14
+ :1;
+
+4634 
+IO_WORD
+       m_T13
+ :1;
+
+4635 
+IO_WORD
+       m_T12
+ :1;
+
+4636 
+IO_WORD
+       m_T11
+ :1;
+
+4637 
+IO_WORD
+       m_T10
+ :1;
+
+4638 
+IO_WORD
+       m_T9
+ :1;
+
+4639 
+IO_WORD
+       m_T8
+ :1;
+
+4640 
+IO_WORD
+       m_T7
+ :1;
+
+4641 
+IO_WORD
+       m_T6
+ :1;
+
+4642 
+IO_WORD
+       m_T5
+ :1;
+
+4643 
+IO_WORD
+       m_T4
+ :1;
+
+4644 
+IO_WORD
+       m_T3
+ :1;
+
+4645 
+IO_WORD
+       m_T2
+ :1;
+
+4646 
+IO_WORD
+       m_T1
+ :1;
+
+4647 
+IO_WORD
+       m_T0
+ :1;
+
+4648 }
+       mb\99
+;
+
+4649 }
+       tTCDT1STR
+;
+
+4651 
+IO_BYTE
+       mby\8b
+;
+
+4653 
+IO_BYTE
+       m_ECLK
+ :1;
+
+4654 
+IO_BYTE
+       m_IVF
+ :1;
+
+4655 
+IO_BYTE
+       m_IVFE
+ :1;
+
+4656 
+IO_BYTE
+       m_STOP
+ :1;
+
+4657 
+IO_BYTE
+       m_MODE
+ :1;
+
+4658 
+IO_BYTE
+       m_CLR
+ :1;
+
+4659 
+IO_BYTE
+       m_CLK1
+ :1;
+
+4660 
+IO_BYTE
+       m_CLK0
+ :1;
+
+4661 }
+       mb\99
+;
+
+4663 
+       mIO_BYTE
+ :1;
+
+4664 
+       mIO_BYTE
+ :1;
+
+4665 
+       mIO_BYTE
+ :1;
+
+4666 
+       mIO_BYTE
+ :1;
+
+4667 
+       mIO_BYTE
+ :1;
+
+4668 
+       mIO_BYTE
+ :1;
+
+4669 
+IO_BYTE
+       m_CLK
+ :2;
+
+4670 }
+       mb\99c
+;
+
+4671 }
+       tTCCS1STR
+;
+
+4673 
+IO_WORD
+       mwÜd
+;
+
+4675 
+IO_WORD
+       m_T15
+ :1;
+
+4676 
+IO_WORD
+       m_T14
+ :1;
+
+4677 
+IO_WORD
+       m_T13
+ :1;
+
+4678 
+IO_WORD
+       m_T12
+ :1;
+
+4679 
+IO_WORD
+       m_T11
+ :1;
+
+4680 
+IO_WORD
+       m_T10
+ :1;
+
+4681 
+IO_WORD
+       m_T9
+ :1;
+
+4682 
+IO_WORD
+       m_T8
+ :1;
+
+4683 
+IO_WORD
+       m_T7
+ :1;
+
+4684 
+IO_WORD
+       m_T6
+ :1;
+
+4685 
+IO_WORD
+       m_T5
+ :1;
+
+4686 
+IO_WORD
+       m_T4
+ :1;
+
+4687 
+IO_WORD
+       m_T3
+ :1;
+
+4688 
+IO_WORD
+       m_T2
+ :1;
+
+4689 
+IO_WORD
+       m_T1
+ :1;
+
+4690 
+IO_WORD
+       m_T0
+ :1;
+
+4691 }
+       mb\99
+;
+
+4692 }
+       tTCDT2STR
+;
+
+4694 
+IO_BYTE
+       mby\8b
+;
+
+4696 
+IO_BYTE
+       m_ECLK
+ :1;
+
+4697 
+IO_BYTE
+       m_IVF
+ :1;
+
+4698 
+IO_BYTE
+       m_IVFE
+ :1;
+
+4699 
+IO_BYTE
+       m_STOP
+ :1;
+
+4700 
+IO_BYTE
+       m_MODE
+ :1;
+
+4701 
+IO_BYTE
+       m_CLR
+ :1;
+
+4702 
+IO_BYTE
+       m_CLK1
+ :1;
+
+4703 
+IO_BYTE
+       m_CLK0
+ :1;
+
+4704 }
+       mb\99
+;
+
+4706 
+       mIO_BYTE
+ :1;
+
+4707 
+       mIO_BYTE
+ :1;
+
+4708 
+       mIO_BYTE
+ :1;
+
+4709 
+       mIO_BYTE
+ :1;
+
+4710 
+       mIO_BYTE
+ :1;
+
+4711 
+       mIO_BYTE
+ :1;
+
+4712 
+IO_BYTE
+       m_CLK
+ :2;
+
+4713 }
+       mb\99c
+;
+
+4714 }
+       tTCCS2STR
+;
+
+4716 
+IO_WORD
+       mwÜd
+;
+
+4718 
+IO_WORD
+       m_T15
+ :1;
+
+4719 
+IO_WORD
+       m_T14
+ :1;
+
+4720 
+IO_WORD
+       m_T13
+ :1;
+
+4721 
+IO_WORD
+       m_T12
+ :1;
+
+4722 
+IO_WORD
+       m_T11
+ :1;
+
+4723 
+IO_WORD
+       m_T10
+ :1;
+
+4724 
+IO_WORD
+       m_T9
+ :1;
+
+4725 
+IO_WORD
+       m_T8
+ :1;
+
+4726 
+IO_WORD
+       m_T7
+ :1;
+
+4727 
+IO_WORD
+       m_T6
+ :1;
+
+4728 
+IO_WORD
+       m_T5
+ :1;
+
+4729 
+IO_WORD
+       m_T4
+ :1;
+
+4730 
+IO_WORD
+       m_T3
+ :1;
+
+4731 
+IO_WORD
+       m_T2
+ :1;
+
+4732 
+IO_WORD
+       m_T1
+ :1;
+
+4733 
+IO_WORD
+       m_T0
+ :1;
+
+4734 }
+       mb\99
+;
+
+4735 }
+       tTCDT3STR
+;
+
+4737 
+IO_BYTE
+       mby\8b
+;
+
+4739 
+IO_BYTE
+       m_ECLK
+ :1;
+
+4740 
+IO_BYTE
+       m_IVF
+ :1;
+
+4741 
+IO_BYTE
+       m_IVFE
+ :1;
+
+4742 
+IO_BYTE
+       m_STOP
+ :1;
+
+4743 
+IO_BYTE
+       m_MODE
+ :1;
+
+4744 
+IO_BYTE
+       m_CLR
+ :1;
+
+4745 
+IO_BYTE
+       m_CLK1
+ :1;
+
+4746 
+IO_BYTE
+       m_CLK0
+ :1;
+
+4747 }
+       mb\99
+;
+
+4749 
+       mIO_BYTE
+ :1;
+
+4750 
+       mIO_BYTE
+ :1;
+
+4751 
+       mIO_BYTE
+ :1;
+
+4752 
+       mIO_BYTE
+ :1;
+
+4753 
+       mIO_BYTE
+ :1;
+
+4754 
+       mIO_BYTE
+ :1;
+
+4755 
+IO_BYTE
+       m_CLK
+ :2;
+
+4756 }
+       mb\99c
+;
+
+4757 }
+       tTCCS3STR
+;
+
+4759 
+IO_LWORD
+       mlwÜd
+;
+
+4761 
+IO_LWORD
+       m_DENB
+ :1;
+
+4762 
+IO_LWORD
+       m_PAUS
+ :1;
+
+4763 
+IO_LWORD
+       m_STRG
+ :1;
+
+4764 
+IO_LWORD
+       m_IS4
+ :1;
+
+4765 
+IO_LWORD
+       m_IS3
+ :1;
+
+4766 
+IO_LWORD
+       m_IS2
+ :1;
+
+4767 
+IO_LWORD
+       m_IS1
+ :1;
+
+4768 
+IO_LWORD
+       m_IS0
+ :1;
+
+4769 
+IO_LWORD
+       m_EIS3
+ :1;
+
+4770 
+IO_LWORD
+       m_EIS2
+ :1;
+
+4771 
+IO_LWORD
+       m_EIS1
+ :1;
+
+4772 
+IO_LWORD
+       m_EIS0
+ :1;
+
+4773 
+IO_LWORD
+       m_BLK3
+ :1;
+
+4774 
+IO_LWORD
+       m_BLK2
+ :1;
+
+4775 
+IO_LWORD
+       m_BLK1
+ :1;
+
+4776 
+IO_LWORD
+       m_BLK0
+ :1;
+
+4777 
+IO_LWORD
+       m_DTCF
+ :1;
+
+4778 
+IO_LWORD
+       m_DTCE
+ :1;
+
+4779 
+IO_LWORD
+       m_DTCD
+ :1;
+
+4780 
+IO_LWORD
+       m_DTCC
+ :1;
+
+4781 
+IO_LWORD
+       m_DTCB
+ :1;
+
+4782 
+IO_LWORD
+       m_DTCA
+ :1;
+
+4783 
+IO_LWORD
+       m_DTC9
+ :1;
+
+4784 
+IO_LWORD
+       m_DTC8
+ :1;
+
+4785 
+IO_LWORD
+       m_DTC7
+ :1;
+
+4786 
+IO_LWORD
+       m_DTC6
+ :1;
+
+4787 
+IO_LWORD
+       m_DTC5
+ :1;
+
+4788 
+IO_LWORD
+       m_DTC4
+ :1;
+
+4789 
+IO_LWORD
+       m_DTC3
+ :1;
+
+4790 
+IO_LWORD
+       m_DTC2
+ :1;
+
+4791 
+IO_LWORD
+       m_DTC1
+ :1;
+
+4792 
+IO_LWORD
+       m_DTC0
+ :1;
+
+4793 }
+       mb\99
+;
+
+4795 
+       mIO_LWORD
+ :1;
+
+4796 
+       mIO_LWORD
+ :1;
+
+4797 
+       mIO_LWORD
+ :1;
+
+4798 
+IO_LWORD
+       m_IS
+ :5;
+
+4799 
+IO_LWORD
+       m_EIS
+ :4;
+
+4800 
+IO_LWORD
+       m_BLK
+ :4;
+
+4801 
+IO_LWORD
+       m_DTC
+ :16;
+
+4802 }
+       mb\99c
+;
+
+4803 }
+       tDMACA0STR
+;
+
+4805 
+IO_LWORD
+       mlwÜd
+;
+
+4807 
+IO_LWORD
+       m_TYPE1
+ :1;
+
+4808 
+IO_LWORD
+       m_TYPE0
+ :1;
+
+4809 
+IO_LWORD
+       m_MOD1
+ :1;
+
+4810 
+IO_LWORD
+       m_MOD0
+ :1;
+
+4811 
+IO_LWORD
+       m_WS1
+ :1;
+
+4812 
+IO_LWORD
+       m_WS0
+ :1;
+
+4813 
+IO_LWORD
+       m_SADM
+ :1;
+
+4814 
+IO_LWORD
+       m_DADM
+ :1;
+
+4815 
+IO_LWORD
+       m_DTCR
+ :1;
+
+4816 
+IO_LWORD
+       m_SADR
+ :1;
+
+4817 
+IO_LWORD
+       m_DADR
+ :1;
+
+4818 
+IO_LWORD
+       m_ERIE
+ :1;
+
+4819 
+IO_LWORD
+       m_EDIE
+ :1;
+
+4820 
+IO_LWORD
+       m_DSS2
+ :1;
+
+4821 
+IO_LWORD
+       m_DSS1
+ :1;
+
+4822 
+IO_LWORD
+       m_DSS0
+ :1;
+
+4823 
+IO_LWORD
+       m_SASZ7
+ :1;
+
+4824 
+IO_LWORD
+       m_SASZ6
+ :1;
+
+4825 
+IO_LWORD
+       m_SASZ5
+ :1;
+
+4826 
+IO_LWORD
+       m_SASZ4
+ :1;
+
+4827 
+IO_LWORD
+       m_SASZ3
+ :1;
+
+4828 
+IO_LWORD
+       m_SASZ2
+ :1;
+
+4829 
+IO_LWORD
+       m_SASZ1
+ :1;
+
+4830 
+IO_LWORD
+       m_SASZ0
+ :1;
+
+4831 
+IO_LWORD
+       m_DASZ7
+ :1;
+
+4832 
+IO_LWORD
+       m_DASZ6
+ :1;
+
+4833 
+IO_LWORD
+       m_DASZ5
+ :1;
+
+4834 
+IO_LWORD
+       m_DASZ4
+ :1;
+
+4835 
+IO_LWORD
+       m_DASZ3
+ :1;
+
+4836 
+IO_LWORD
+       m_DASZ2
+ :1;
+
+4837 
+IO_LWORD
+       m_DASZ1
+ :1;
+
+4838 
+IO_LWORD
+       m_DASZ0
+ :1;
+
+4839 }
+       mb\99
+;
+
+4841 
+IO_LWORD
+       m_TYPE
+ :2;
+
+4842 
+IO_LWORD
+       m_MOD
+ :2;
+
+4843 
+IO_LWORD
+       m_WS
+ :2;
+
+4844 
+       mIO_LWORD
+ :1;
+
+4845 
+       mIO_LWORD
+ :1;
+
+4846 
+       mIO_LWORD
+ :1;
+
+4847 
+       mIO_LWORD
+ :1;
+
+4848 
+       mIO_LWORD
+ :1;
+
+4849 
+       mIO_LWORD
+ :1;
+
+4850 
+       mIO_LWORD
+ :1;
+
+4851 
+IO_LWORD
+       m_DSS
+ :3;
+
+4852 
+IO_LWORD
+       m_SASZ
+ :8;
+
+4853 
+IO_LWORD
+       m_DASZ
+ :8;
+
+4854 }
+       mb\99c
+;
+
+4855 }
+       tDMACB0STR
+;
+
+4857 
+IO_LWORD
+       mlwÜd
+;
+
+4859 
+IO_LWORD
+       m_DENB
+ :1;
+
+4860 
+IO_LWORD
+       m_PAUS
+ :1;
+
+4861 
+IO_LWORD
+       m_STRG
+ :1;
+
+4862 
+IO_LWORD
+       m_IS4
+ :1;
+
+4863 
+IO_LWORD
+       m_IS3
+ :1;
+
+4864 
+IO_LWORD
+       m_IS2
+ :1;
+
+4865 
+IO_LWORD
+       m_IS1
+ :1;
+
+4866 
+IO_LWORD
+       m_IS0
+ :1;
+
+4867 
+IO_LWORD
+       m_EIS3
+ :1;
+
+4868 
+IO_LWORD
+       m_EIS2
+ :1;
+
+4869 
+IO_LWORD
+       m_EIS1
+ :1;
+
+4870 
+IO_LWORD
+       m_EIS0
+ :1;
+
+4871 
+IO_LWORD
+       m_BLK3
+ :1;
+
+4872 
+IO_LWORD
+       m_BLK2
+ :1;
+
+4873 
+IO_LWORD
+       m_BLK1
+ :1;
+
+4874 
+IO_LWORD
+       m_BLK0
+ :1;
+
+4875 
+IO_LWORD
+       m_DTCF
+ :1;
+
+4876 
+IO_LWORD
+       m_DTCE
+ :1;
+
+4877 
+IO_LWORD
+       m_DTCD
+ :1;
+
+4878 
+IO_LWORD
+       m_DTCC
+ :1;
+
+4879 
+IO_LWORD
+       m_DTCB
+ :1;
+
+4880 
+IO_LWORD
+       m_DTCA
+ :1;
+
+4881 
+IO_LWORD
+       m_DTC9
+ :1;
+
+4882 
+IO_LWORD
+       m_DTC8
+ :1;
+
+4883 
+IO_LWORD
+       m_DTC7
+ :1;
+
+4884 
+IO_LWORD
+       m_DTC6
+ :1;
+
+4885 
+IO_LWORD
+       m_DTC5
+ :1;
+
+4886 
+IO_LWORD
+       m_DTC4
+ :1;
+
+4887 
+IO_LWORD
+       m_DTC3
+ :1;
+
+4888 
+IO_LWORD
+       m_DTC2
+ :1;
+
+4889 
+IO_LWORD
+       m_DTC1
+ :1;
+
+4890 
+IO_LWORD
+       m_DTC0
+ :1;
+
+4891 }
+       mb\99
+;
+
+4893 
+       mIO_LWORD
+ :1;
+
+4894 
+       mIO_LWORD
+ :1;
+
+4895 
+       mIO_LWORD
+ :1;
+
+4896 
+IO_LWORD
+       m_IS
+ :5;
+
+4897 
+IO_LWORD
+       m_EIS
+ :4;
+
+4898 
+IO_LWORD
+       m_BLK
+ :4;
+
+4899 
+IO_LWORD
+       m_DTC
+ :16;
+
+4900 }
+       mb\99c
+;
+
+4901 }
+       tDMACA1STR
+;
+
+4903 
+IO_LWORD
+       mlwÜd
+;
+
+4905 
+IO_LWORD
+       m_TYPE1
+ :1;
+
+4906 
+IO_LWORD
+       m_TYPE0
+ :1;
+
+4907 
+IO_LWORD
+       m_MOD1
+ :1;
+
+4908 
+IO_LWORD
+       m_MOD0
+ :1;
+
+4909 
+IO_LWORD
+       m_WS1
+ :1;
+
+4910 
+IO_LWORD
+       m_WS0
+ :1;
+
+4911 
+IO_LWORD
+       m_SADM
+ :1;
+
+4912 
+IO_LWORD
+       m_DADM
+ :1;
+
+4913 
+IO_LWORD
+       m_DTCR
+ :1;
+
+4914 
+IO_LWORD
+       m_SADR
+ :1;
+
+4915 
+IO_LWORD
+       m_DADR
+ :1;
+
+4916 
+IO_LWORD
+       m_ERIE
+ :1;
+
+4917 
+IO_LWORD
+       m_EDIE
+ :1;
+
+4918 
+IO_LWORD
+       m_DSS2
+ :1;
+
+4919 
+IO_LWORD
+       m_DSS1
+ :1;
+
+4920 
+IO_LWORD
+       m_DSS0
+ :1;
+
+4921 
+IO_LWORD
+       m_SASZ7
+ :1;
+
+4922 
+IO_LWORD
+       m_SASZ6
+ :1;
+
+4923 
+IO_LWORD
+       m_SASZ5
+ :1;
+
+4924 
+IO_LWORD
+       m_SASZ4
+ :1;
+
+4925 
+IO_LWORD
+       m_SASZ3
+ :1;
+
+4926 
+IO_LWORD
+       m_SASZ2
+ :1;
+
+4927 
+IO_LWORD
+       m_SASZ1
+ :1;
+
+4928 
+IO_LWORD
+       m_SASZ0
+ :1;
+
+4929 
+IO_LWORD
+       m_DASZ7
+ :1;
+
+4930 
+IO_LWORD
+       m_DASZ6
+ :1;
+
+4931 
+IO_LWORD
+       m_DASZ5
+ :1;
+
+4932 
+IO_LWORD
+       m_DASZ4
+ :1;
+
+4933 
+IO_LWORD
+       m_DASZ3
+ :1;
+
+4934 
+IO_LWORD
+       m_DASZ2
+ :1;
+
+4935 
+IO_LWORD
+       m_DASZ1
+ :1;
+
+4936 
+IO_LWORD
+       m_DASZ0
+ :1;
+
+4937 }
+       mb\99
+;
+
+4939 
+IO_LWORD
+       m_TYPE
+ :2;
+
+4940 
+IO_LWORD
+       m_MOD
+ :2;
+
+4941 
+IO_LWORD
+       m_WS
+ :2;
+
+4942 
+       mIO_LWORD
+ :1;
+
+4943 
+       mIO_LWORD
+ :1;
+
+4944 
+       mIO_LWORD
+ :1;
+
+4945 
+       mIO_LWORD
+ :1;
+
+4946 
+       mIO_LWORD
+ :1;
+
+4947 
+       mIO_LWORD
+ :1;
+
+4948 
+       mIO_LWORD
+ :1;
+
+4949 
+IO_LWORD
+       m_DSS
+ :3;
+
+4950 
+IO_LWORD
+       m_SASZ
+ :8;
+
+4951 
+IO_LWORD
+       m_DASZ
+ :8;
+
+4952 }
+       mb\99c
+;
+
+4953 }
+       tDMACB1STR
+;
+
+4955 
+IO_LWORD
+       mlwÜd
+;
+
+4957 
+IO_LWORD
+       m_DENB
+ :1;
+
+4958 
+IO_LWORD
+       m_PAUS
+ :1;
+
+4959 
+IO_LWORD
+       m_STRG
+ :1;
+
+4960 
+IO_LWORD
+       m_IS4
+ :1;
+
+4961 
+IO_LWORD
+       m_IS3
+ :1;
+
+4962 
+IO_LWORD
+       m_IS2
+ :1;
+
+4963 
+IO_LWORD
+       m_IS1
+ :1;
+
+4964 
+IO_LWORD
+       m_IS0
+ :1;
+
+4965 
+IO_LWORD
+       m_EIS3
+ :1;
+
+4966 
+IO_LWORD
+       m_EIS2
+ :1;
+
+4967 
+IO_LWORD
+       m_EIS1
+ :1;
+
+4968 
+IO_LWORD
+       m_EIS0
+ :1;
+
+4969 
+IO_LWORD
+       m_BLK3
+ :1;
+
+4970 
+IO_LWORD
+       m_BLK2
+ :1;
+
+4971 
+IO_LWORD
+       m_BLK1
+ :1;
+
+4972 
+IO_LWORD
+       m_BLK0
+ :1;
+
+4973 
+IO_LWORD
+       m_DTCF
+ :1;
+
+4974 
+IO_LWORD
+       m_DTCE
+ :1;
+
+4975 
+IO_LWORD
+       m_DTCD
+ :1;
+
+4976 
+IO_LWORD
+       m_DTCC
+ :1;
+
+4977 
+IO_LWORD
+       m_DTCB
+ :1;
+
+4978 
+IO_LWORD
+       m_DTCA
+ :1;
+
+4979 
+IO_LWORD
+       m_DTC9
+ :1;
+
+4980 
+IO_LWORD
+       m_DTC8
+ :1;
+
+4981 
+IO_LWORD
+       m_DTC7
+ :1;
+
+4982 
+IO_LWORD
+       m_DTC6
+ :1;
+
+4983 
+IO_LWORD
+       m_DTC5
+ :1;
+
+4984 
+IO_LWORD
+       m_DTC4
+ :1;
+
+4985 
+IO_LWORD
+       m_DTC3
+ :1;
+
+4986 
+IO_LWORD
+       m_DTC2
+ :1;
+
+4987 
+IO_LWORD
+       m_DTC1
+ :1;
+
+4988 
+IO_LWORD
+       m_DTC0
+ :1;
+
+4989 }
+       mb\99
+;
+
+4991 
+       mIO_LWORD
+ :1;
+
+4992 
+       mIO_LWORD
+ :1;
+
+4993 
+       mIO_LWORD
+ :1;
+
+4994 
+IO_LWORD
+       m_IS
+ :5;
+
+4995 
+IO_LWORD
+       m_EIS
+ :4;
+
+4996 
+IO_LWORD
+       m_BLK
+ :4;
+
+4997 
+IO_LWORD
+       m_DTC
+ :16;
+
+4998 }
+       mb\99c
+;
+
+4999 }
+       tDMACA2STR
+;
+
+5001 
+IO_LWORD
+       mlwÜd
+;
+
+5003 
+IO_LWORD
+       m_TYPE1
+ :1;
+
+5004 
+IO_LWORD
+       m_TYPE0
+ :1;
+
+5005 
+IO_LWORD
+       m_MOD1
+ :1;
+
+5006 
+IO_LWORD
+       m_MOD0
+ :1;
+
+5007 
+IO_LWORD
+       m_WS1
+ :1;
+
+5008 
+IO_LWORD
+       m_WS0
+ :1;
+
+5009 
+IO_LWORD
+       m_SADM
+ :1;
+
+5010 
+IO_LWORD
+       m_DADM
+ :1;
+
+5011 
+IO_LWORD
+       m_DTCR
+ :1;
+
+5012 
+IO_LWORD
+       m_SADR
+ :1;
+
+5013 
+IO_LWORD
+       m_DADR
+ :1;
+
+5014 
+IO_LWORD
+       m_ERIE
+ :1;
+
+5015 
+IO_LWORD
+       m_EDIE
+ :1;
+
+5016 
+IO_LWORD
+       m_DSS2
+ :1;
+
+5017 
+IO_LWORD
+       m_DSS1
+ :1;
+
+5018 
+IO_LWORD
+       m_DSS0
+ :1;
+
+5019 
+IO_LWORD
+       m_SASZ7
+ :1;
+
+5020 
+IO_LWORD
+       m_SASZ6
+ :1;
+
+5021 
+IO_LWORD
+       m_SASZ5
+ :1;
+
+5022 
+IO_LWORD
+       m_SASZ4
+ :1;
+
+5023 
+IO_LWORD
+       m_SASZ3
+ :1;
+
+5024 
+IO_LWORD
+       m_SASZ2
+ :1;
+
+5025 
+IO_LWORD
+       m_SASZ1
+ :1;
+
+5026 
+IO_LWORD
+       m_SASZ0
+ :1;
+
+5027 
+IO_LWORD
+       m_DASZ7
+ :1;
+
+5028 
+IO_LWORD
+       m_DASZ6
+ :1;
+
+5029 
+IO_LWORD
+       m_DASZ5
+ :1;
+
+5030 
+IO_LWORD
+       m_DASZ4
+ :1;
+
+5031 
+IO_LWORD
+       m_DASZ3
+ :1;
+
+5032 
+IO_LWORD
+       m_DASZ2
+ :1;
+
+5033 
+IO_LWORD
+       m_DASZ1
+ :1;
+
+5034 
+IO_LWORD
+       m_DASZ0
+ :1;
+
+5035 }
+       mb\99
+;
+
+5037 
+IO_LWORD
+       m_TYPE
+ :2;
+
+5038 
+IO_LWORD
+       m_MOD
+ :2;
+
+5039 
+IO_LWORD
+       m_WS
+ :2;
+
+5040 
+       mIO_LWORD
+ :1;
+
+5041 
+       mIO_LWORD
+ :1;
+
+5042 
+       mIO_LWORD
+ :1;
+
+5043 
+       mIO_LWORD
+ :1;
+
+5044 
+       mIO_LWORD
+ :1;
+
+5045 
+       mIO_LWORD
+ :1;
+
+5046 
+       mIO_LWORD
+ :1;
+
+5047 
+IO_LWORD
+       m_DSS
+ :3;
+
+5048 
+IO_LWORD
+       m_SASZ
+ :8;
+
+5049 
+IO_LWORD
+       m_DASZ
+ :8;
+
+5050 }
+       mb\99c
+;
+
+5051 }
+       tDMACB2STR
+;
+
+5053 
+IO_LWORD
+       mlwÜd
+;
+
+5055 
+IO_LWORD
+       m_DENB
+ :1;
+
+5056 
+IO_LWORD
+       m_PAUS
+ :1;
+
+5057 
+IO_LWORD
+       m_STRG
+ :1;
+
+5058 
+IO_LWORD
+       m_IS4
+ :1;
+
+5059 
+IO_LWORD
+       m_IS3
+ :1;
+
+5060 
+IO_LWORD
+       m_IS2
+ :1;
+
+5061 
+IO_LWORD
+       m_IS1
+ :1;
+
+5062 
+IO_LWORD
+       m_IS0
+ :1;
+
+5063 
+IO_LWORD
+       m_EIS3
+ :1;
+
+5064 
+IO_LWORD
+       m_EIS2
+ :1;
+
+5065 
+IO_LWORD
+       m_EIS1
+ :1;
+
+5066 
+IO_LWORD
+       m_EIS0
+ :1;
+
+5067 
+IO_LWORD
+       m_BLK3
+ :1;
+
+5068 
+IO_LWORD
+       m_BLK2
+ :1;
+
+5069 
+IO_LWORD
+       m_BLK1
+ :1;
+
+5070 
+IO_LWORD
+       m_BLK0
+ :1;
+
+5071 
+IO_LWORD
+       m_DTCF
+ :1;
+
+5072 
+IO_LWORD
+       m_DTCE
+ :1;
+
+5073 
+IO_LWORD
+       m_DTCD
+ :1;
+
+5074 
+IO_LWORD
+       m_DTCC
+ :1;
+
+5075 
+IO_LWORD
+       m_DTCB
+ :1;
+
+5076 
+IO_LWORD
+       m_DTCA
+ :1;
+
+5077 
+IO_LWORD
+       m_DTC9
+ :1;
+
+5078 
+IO_LWORD
+       m_DTC8
+ :1;
+
+5079 
+IO_LWORD
+       m_DTC7
+ :1;
+
+5080 
+IO_LWORD
+       m_DTC6
+ :1;
+
+5081 
+IO_LWORD
+       m_DTC5
+ :1;
+
+5082 
+IO_LWORD
+       m_DTC4
+ :1;
+
+5083 
+IO_LWORD
+       m_DTC3
+ :1;
+
+5084 
+IO_LWORD
+       m_DTC2
+ :1;
+
+5085 
+IO_LWORD
+       m_DTC1
+ :1;
+
+5086 
+IO_LWORD
+       m_DTC0
+ :1;
+
+5087 }
+       mb\99
+;
+
+5089 
+       mIO_LWORD
+ :1;
+
+5090 
+       mIO_LWORD
+ :1;
+
+5091 
+       mIO_LWORD
+ :1;
+
+5092 
+IO_LWORD
+       m_IS
+ :5;
+
+5093 
+IO_LWORD
+       m_EIS
+ :4;
+
+5094 
+IO_LWORD
+       m_BLK
+ :4;
+
+5095 
+IO_LWORD
+       m_DTC
+ :16;
+
+5096 }
+       mb\99c
+;
+
+5097 }
+       tDMACA3STR
+;
+
+5099 
+IO_LWORD
+       mlwÜd
+;
+
+5101 
+IO_LWORD
+       m_TYPE1
+ :1;
+
+5102 
+IO_LWORD
+       m_TYPE0
+ :1;
+
+5103 
+IO_LWORD
+       m_MOD1
+ :1;
+
+5104 
+IO_LWORD
+       m_MOD0
+ :1;
+
+5105 
+IO_LWORD
+       m_WS1
+ :1;
+
+5106 
+IO_LWORD
+       m_WS0
+ :1;
+
+5107 
+IO_LWORD
+       m_SADM
+ :1;
+
+5108 
+IO_LWORD
+       m_DADM
+ :1;
+
+5109 
+IO_LWORD
+       m_DTCR
+ :1;
+
+5110 
+IO_LWORD
+       m_SADR
+ :1;
+
+5111 
+IO_LWORD
+       m_DADR
+ :1;
+
+5112 
+IO_LWORD
+       m_ERIE
+ :1;
+
+5113 
+IO_LWORD
+       m_EDIE
+ :1;
+
+5114 
+IO_LWORD
+       m_DSS2
+ :1;
+
+5115 
+IO_LWORD
+       m_DSS1
+ :1;
+
+5116 
+IO_LWORD
+       m_DSS0
+ :1;
+
+5117 
+IO_LWORD
+       m_SASZ7
+ :1;
+
+5118 
+IO_LWORD
+       m_SASZ6
+ :1;
+
+5119 
+IO_LWORD
+       m_SASZ5
+ :1;
+
+5120 
+IO_LWORD
+       m_SASZ4
+ :1;
+
+5121 
+IO_LWORD
+       m_SASZ3
+ :1;
+
+5122 
+IO_LWORD
+       m_SASZ2
+ :1;
+
+5123 
+IO_LWORD
+       m_SASZ1
+ :1;
+
+5124 
+IO_LWORD
+       m_SASZ0
+ :1;
+
+5125 
+IO_LWORD
+       m_DASZ7
+ :1;
+
+5126 
+IO_LWORD
+       m_DASZ6
+ :1;
+
+5127 
+IO_LWORD
+       m_DASZ5
+ :1;
+
+5128 
+IO_LWORD
+       m_DASZ4
+ :1;
+
+5129 
+IO_LWORD
+       m_DASZ3
+ :1;
+
+5130 
+IO_LWORD
+       m_DASZ2
+ :1;
+
+5131 
+IO_LWORD
+       m_DASZ1
+ :1;
+
+5132 
+IO_LWORD
+       m_DASZ0
+ :1;
+
+5133 }
+       mb\99
+;
+
+5135 
+IO_LWORD
+       m_TYPE
+ :2;
+
+5136 
+IO_LWORD
+       m_MOD
+ :2;
+
+5137 
+IO_LWORD
+       m_WS
+ :2;
+
+5138 
+       mIO_LWORD
+ :1;
+
+5139 
+       mIO_LWORD
+ :1;
+
+5140 
+       mIO_LWORD
+ :1;
+
+5141 
+       mIO_LWORD
+ :1;
+
+5142 
+       mIO_LWORD
+ :1;
+
+5143 
+       mIO_LWORD
+ :1;
+
+5144 
+       mIO_LWORD
+ :1;
+
+5145 
+IO_LWORD
+       m_DSS
+ :3;
+
+5146 
+IO_LWORD
+       m_SASZ
+ :8;
+
+5147 
+IO_LWORD
+       m_DASZ
+ :8;
+
+5148 }
+       mb\99c
+;
+
+5149 }
+       tDMACB3STR
+;
+
+5151 
+IO_LWORD
+       mlwÜd
+;
+
+5153 
+IO_LWORD
+       m_DENB
+ :1;
+
+5154 
+IO_LWORD
+       m_PAUS
+ :1;
+
+5155 
+IO_LWORD
+       m_STRG
+ :1;
+
+5156 
+IO_LWORD
+       m_IS4
+ :1;
+
+5157 
+IO_LWORD
+       m_IS3
+ :1;
+
+5158 
+IO_LWORD
+       m_IS2
+ :1;
+
+5159 
+IO_LWORD
+       m_IS1
+ :1;
+
+5160 
+IO_LWORD
+       m_IS0
+ :1;
+
+5161 
+IO_LWORD
+       m_EIS3
+ :1;
+
+5162 
+IO_LWORD
+       m_EIS2
+ :1;
+
+5163 
+IO_LWORD
+       m_EIS1
+ :1;
+
+5164 
+IO_LWORD
+       m_EIS0
+ :1;
+
+5165 
+IO_LWORD
+       m_BLK3
+ :1;
+
+5166 
+IO_LWORD
+       m_BLK2
+ :1;
+
+5167 
+IO_LWORD
+       m_BLK1
+ :1;
+
+5168 
+IO_LWORD
+       m_BLK0
+ :1;
+
+5169 
+IO_LWORD
+       m_DTCF
+ :1;
+
+5170 
+IO_LWORD
+       m_DTCE
+ :1;
+
+5171 
+IO_LWORD
+       m_DTCD
+ :1;
+
+5172 
+IO_LWORD
+       m_DTCC
+ :1;
+
+5173 
+IO_LWORD
+       m_DTCB
+ :1;
+
+5174 
+IO_LWORD
+       m_DTCA
+ :1;
+
+5175 
+IO_LWORD
+       m_DTC9
+ :1;
+
+5176 
+IO_LWORD
+       m_DTC8
+ :1;
+
+5177 
+IO_LWORD
+       m_DTC7
+ :1;
+
+5178 
+IO_LWORD
+       m_DTC6
+ :1;
+
+5179 
+IO_LWORD
+       m_DTC5
+ :1;
+
+5180 
+IO_LWORD
+       m_DTC4
+ :1;
+
+5181 
+IO_LWORD
+       m_DTC3
+ :1;
+
+5182 
+IO_LWORD
+       m_DTC2
+ :1;
+
+5183 
+IO_LWORD
+       m_DTC1
+ :1;
+
+5184 
+IO_LWORD
+       m_DTC0
+ :1;
+
+5185 }
+       mb\99
+;
+
+5187 
+       mIO_LWORD
+ :1;
+
+5188 
+       mIO_LWORD
+ :1;
+
+5189 
+       mIO_LWORD
+ :1;
+
+5190 
+IO_LWORD
+       m_IS
+ :5;
+
+5191 
+IO_LWORD
+       m_EIS
+ :4;
+
+5192 
+IO_LWORD
+       m_BLK
+ :4;
+
+5193 
+IO_LWORD
+       m_DTC
+ :16;
+
+5194 }
+       mb\99c
+;
+
+5195 }
+       tDMACA4STR
+;
+
+5197 
+IO_LWORD
+       mlwÜd
+;
+
+5199 
+IO_LWORD
+       m_TYPE1
+ :1;
+
+5200 
+IO_LWORD
+       m_TYPE0
+ :1;
+
+5201 
+IO_LWORD
+       m_MOD1
+ :1;
+
+5202 
+IO_LWORD
+       m_MOD0
+ :1;
+
+5203 
+IO_LWORD
+       m_WS1
+ :1;
+
+5204 
+IO_LWORD
+       m_WS0
+ :1;
+
+5205 
+IO_LWORD
+       m_SADM
+ :1;
+
+5206 
+IO_LWORD
+       m_DADM
+ :1;
+
+5207 
+IO_LWORD
+       m_DTCR
+ :1;
+
+5208 
+IO_LWORD
+       m_SADR
+ :1;
+
+5209 
+IO_LWORD
+       m_DADR
+ :1;
+
+5210 
+IO_LWORD
+       m_ERIE
+ :1;
+
+5211 
+IO_LWORD
+       m_EDIE
+ :1;
+
+5212 
+IO_LWORD
+       m_DSS2
+ :1;
+
+5213 
+IO_LWORD
+       m_DSS1
+ :1;
+
+5214 
+IO_LWORD
+       m_DSS0
+ :1;
+
+5215 
+IO_LWORD
+       m_SASZ7
+ :1;
+
+5216 
+IO_LWORD
+       m_SASZ6
+ :1;
+
+5217 
+IO_LWORD
+       m_SASZ5
+ :1;
+
+5218 
+IO_LWORD
+       m_SASZ4
+ :1;
+
+5219 
+IO_LWORD
+       m_SASZ3
+ :1;
+
+5220 
+IO_LWORD
+       m_SASZ2
+ :1;
+
+5221 
+IO_LWORD
+       m_SASZ1
+ :1;
+
+5222 
+IO_LWORD
+       m_SASZ0
+ :1;
+
+5223 
+IO_LWORD
+       m_DASZ7
+ :1;
+
+5224 
+IO_LWORD
+       m_DASZ6
+ :1;
+
+5225 
+IO_LWORD
+       m_DASZ5
+ :1;
+
+5226 
+IO_LWORD
+       m_DASZ4
+ :1;
+
+5227 
+IO_LWORD
+       m_DASZ3
+ :1;
+
+5228 
+IO_LWORD
+       m_DASZ2
+ :1;
+
+5229 
+IO_LWORD
+       m_DASZ1
+ :1;
+
+5230 
+IO_LWORD
+       m_DASZ0
+ :1;
+
+5231 }
+       mb\99
+;
+
+5233 
+IO_LWORD
+       m_TYPE
+ :2;
+
+5234 
+IO_LWORD
+       m_MOD
+ :2;
+
+5235 
+IO_LWORD
+       m_WS
+ :2;
+
+5236 
+       mIO_LWORD
+ :1;
+
+5237 
+       mIO_LWORD
+ :1;
+
+5238 
+       mIO_LWORD
+ :1;
+
+5239 
+       mIO_LWORD
+ :1;
+
+5240 
+       mIO_LWORD
+ :1;
+
+5241 
+       mIO_LWORD
+ :1;
+
+5242 
+       mIO_LWORD
+ :1;
+
+5243 
+IO_LWORD
+       m_DSS
+ :3;
+
+5244 
+IO_LWORD
+       m_SASZ
+ :8;
+
+5245 
+IO_LWORD
+       m_DASZ
+ :8;
+
+5246 }
+       mb\99c
+;
+
+5247 }
+       tDMACB4STR
+;
+
+5249 
+IO_BYTE
+       mby\8b
+;
+
+5251 
+IO_BYTE
+       m_DMAE
+ :1;
+
+5252 
+       mIO_BYTE
+ :1;
+
+5253 
+       mIO_BYTE
+ :1;
+
+5254 
+IO_BYTE
+       m_PM01
+ :1;
+
+5255 
+IO_BYTE
+       m_DMAH3
+ :1;
+
+5256 
+IO_BYTE
+       m_DMAH2
+ :1;
+
+5257 
+IO_BYTE
+       m_DMAH1
+ :1;
+
+5258 
+IO_BYTE
+       m_DMAH0
+ :1;
+
+5259 }
+       mb\99
+;
+
+5261 
+       mIO_BYTE
+ :1;
+
+5262 
+       mIO_BYTE
+ :1;
+
+5263 
+       mIO_BYTE
+ :1;
+
+5264 
+       mIO_BYTE
+ :1;
+
+5265 
+IO_BYTE
+       m_DMAH
+ :4;
+
+5266 }
+       mb\99c
+;
+
+5267 }
+       tDMACRSTR
+;
+
+5269 
+IO_BYTE
+       mby\8b
+;
+
+5271 
+IO_BYTE
+       m_ICP5
+ :1;
+
+5272 
+IO_BYTE
+       m_ICP4
+ :1;
+
+5273 
+IO_BYTE
+       m_ICE5
+ :1;
+
+5274 
+IO_BYTE
+       m_ICE4
+ :1;
+
+5275 
+IO_BYTE
+       m_EG51
+ :1;
+
+5276 
+IO_BYTE
+       m_EG50
+ :1;
+
+5277 
+IO_BYTE
+       m_EG41
+ :1;
+
+5278 
+IO_BYTE
+       m_EG40
+ :1;
+
+5279 }
+       mb\99
+;
+
+5281 
+       mIO_BYTE
+ :1;
+
+5282 
+       mIO_BYTE
+ :1;
+
+5283 
+       mIO_BYTE
+ :1;
+
+5284 
+       mIO_BYTE
+ :1;
+
+5285 
+IO_BYTE
+       m_EG5
+ :2;
+
+5286 
+IO_BYTE
+       m_EG4
+ :2;
+
+5287 }
+       mb\99c
+;
+
+5288 }
+       tICS45STR
+;
+
+5290 
+IO_BYTE
+       mby\8b
+;
+
+5292 
+IO_BYTE
+       m_ICP7
+ :1;
+
+5293 
+IO_BYTE
+       m_ICP6
+ :1;
+
+5294 
+IO_BYTE
+       m_ICE7
+ :1;
+
+5295 
+IO_BYTE
+       m_ICE6
+ :1;
+
+5296 
+IO_BYTE
+       m_EG71
+ :1;
+
+5297 
+IO_BYTE
+       m_EG70
+ :1;
+
+5298 
+IO_BYTE
+       m_EG61
+ :1;
+
+5299 
+IO_BYTE
+       m_EG60
+ :1;
+
+5300 }
+       mb\99
+;
+
+5302 
+       mIO_BYTE
+ :1;
+
+5303 
+       mIO_BYTE
+ :1;
+
+5304 
+       mIO_BYTE
+ :1;
+
+5305 
+       mIO_BYTE
+ :1;
+
+5306 
+IO_BYTE
+       m_EG7
+ :2;
+
+5307 
+IO_BYTE
+       m_EG6
+ :2;
+
+5308 }
+       mb\99c
+;
+
+5309 }
+       tICS67STR
+;
+
+5311 
+IO_WORD
+       mwÜd
+;
+
+5313 
+IO_WORD
+       m_CP15
+ :1;
+
+5314 
+IO_WORD
+       m_CP14
+ :1;
+
+5315 
+IO_WORD
+       m_CP13
+ :1;
+
+5316 
+IO_WORD
+       m_CP12
+ :1;
+
+5317 
+IO_WORD
+       m_CP11
+ :1;
+
+5318 
+IO_WORD
+       m_CP10
+ :1;
+
+5319 
+IO_WORD
+       m_CP9
+ :1;
+
+5320 
+IO_WORD
+       m_CP8
+ :1;
+
+5321 
+IO_WORD
+       m_CP7
+ :1;
+
+5322 
+IO_WORD
+       m_CP6
+ :1;
+
+5323 
+IO_WORD
+       m_CP5
+ :1;
+
+5324 
+IO_WORD
+       m_CP4
+ :1;
+
+5325 
+IO_WORD
+       m_CP3
+ :1;
+
+5326 
+IO_WORD
+       m_CP2
+ :1;
+
+5327 
+IO_WORD
+       m_CP1
+ :1;
+
+5328 
+IO_WORD
+       m_CP0
+ :1;
+
+5329 }
+       mb\99
+;
+
+5330 }
+       tIPCP4STR
+;
+
+5332 
+IO_WORD
+       mwÜd
+;
+
+5334 
+IO_WORD
+       m_CP15
+ :1;
+
+5335 
+IO_WORD
+       m_CP14
+ :1;
+
+5336 
+IO_WORD
+       m_CP13
+ :1;
+
+5337 
+IO_WORD
+       m_CP12
+ :1;
+
+5338 
+IO_WORD
+       m_CP11
+ :1;
+
+5339 
+IO_WORD
+       m_CP10
+ :1;
+
+5340 
+IO_WORD
+       m_CP9
+ :1;
+
+5341 
+IO_WORD
+       m_CP8
+ :1;
+
+5342 
+IO_WORD
+       m_CP7
+ :1;
+
+5343 
+IO_WORD
+       m_CP6
+ :1;
+
+5344 
+IO_WORD
+       m_CP5
+ :1;
+
+5345 
+IO_WORD
+       m_CP4
+ :1;
+
+5346 
+IO_WORD
+       m_CP3
+ :1;
+
+5347 
+IO_WORD
+       m_CP2
+ :1;
+
+5348 
+IO_WORD
+       m_CP1
+ :1;
+
+5349 
+IO_WORD
+       m_CP0
+ :1;
+
+5350 }
+       mb\99
+;
+
+5351 }
+       tIPCP5STR
+;
+
+5353 
+IO_WORD
+       mwÜd
+;
+
+5355 
+IO_WORD
+       m_CP15
+ :1;
+
+5356 
+IO_WORD
+       m_CP14
+ :1;
+
+5357 
+IO_WORD
+       m_CP13
+ :1;
+
+5358 
+IO_WORD
+       m_CP12
+ :1;
+
+5359 
+IO_WORD
+       m_CP11
+ :1;
+
+5360 
+IO_WORD
+       m_CP10
+ :1;
+
+5361 
+IO_WORD
+       m_CP9
+ :1;
+
+5362 
+IO_WORD
+       m_CP8
+ :1;
+
+5363 
+IO_WORD
+       m_CP7
+ :1;
+
+5364 
+IO_WORD
+       m_CP6
+ :1;
+
+5365 
+IO_WORD
+       m_CP5
+ :1;
+
+5366 
+IO_WORD
+       m_CP4
+ :1;
+
+5367 
+IO_WORD
+       m_CP3
+ :1;
+
+5368 
+IO_WORD
+       m_CP2
+ :1;
+
+5369 
+IO_WORD
+       m_CP1
+ :1;
+
+5370 
+IO_WORD
+       m_CP0
+ :1;
+
+5371 }
+       mb\99
+;
+
+5372 }
+       tIPCP6STR
+;
+
+5374 
+IO_WORD
+       mwÜd
+;
+
+5376 
+IO_WORD
+       m_CP15
+ :1;
+
+5377 
+IO_WORD
+       m_CP14
+ :1;
+
+5378 
+IO_WORD
+       m_CP13
+ :1;
+
+5379 
+IO_WORD
+       m_CP12
+ :1;
+
+5380 
+IO_WORD
+       m_CP11
+ :1;
+
+5381 
+IO_WORD
+       m_CP10
+ :1;
+
+5382 
+IO_WORD
+       m_CP9
+ :1;
+
+5383 
+IO_WORD
+       m_CP8
+ :1;
+
+5384 
+IO_WORD
+       m_CP7
+ :1;
+
+5385 
+IO_WORD
+       m_CP6
+ :1;
+
+5386 
+IO_WORD
+       m_CP5
+ :1;
+
+5387 
+IO_WORD
+       m_CP4
+ :1;
+
+5388 
+IO_WORD
+       m_CP3
+ :1;
+
+5389 
+IO_WORD
+       m_CP2
+ :1;
+
+5390 
+IO_WORD
+       m_CP1
+ :1;
+
+5391 
+IO_WORD
+       m_CP0
+ :1;
+
+5392 }
+       mb\99
+;
+
+5393 }
+       tIPCP7STR
+;
+
+5395 
+IO_WORD
+       mwÜd
+;
+
+5397 
+       mIO_WORD
+ :1;
+
+5398 
+       mIO_WORD
+ :1;
+
+5399 
+       mIO_WORD
+ :1;
+
+5400 
+IO_WORD
+       m_CMOD
+ :1;
+
+5401 
+       mIO_WORD
+ :1;
+
+5402 
+       mIO_WORD
+ :1;
+
+5403 
+IO_WORD
+       m_OTD5
+ :1;
+
+5404 
+IO_WORD
+       m_OTD4
+ :1;
+
+5405 
+IO_WORD
+       m_ICP5
+ :1;
+
+5406 
+IO_WORD
+       m_ICP4
+ :1;
+
+5407 
+IO_WORD
+       m_ICE5
+ :1;
+
+5408 
+IO_WORD
+       m_ICE4
+ :1;
+
+5409 
+       mIO_WORD
+ :1;
+
+5410 
+       mIO_WORD
+ :1;
+
+5411 
+IO_WORD
+       m_CST5
+ :1;
+
+5412 
+IO_WORD
+       m_CST4
+ :1;
+
+5413 }
+       mb\99
+;
+
+5414 }
+       tOCS45STR
+;
+
+5416 
+IO_WORD
+       mwÜd
+;
+
+5418 
+       mIO_WORD
+ :1;
+
+5419 
+       mIO_WORD
+ :1;
+
+5420 
+       mIO_WORD
+ :1;
+
+5421 
+IO_WORD
+       m_CMOD
+ :1;
+
+5422 
+       mIO_WORD
+ :1;
+
+5423 
+       mIO_WORD
+ :1;
+
+5424 
+IO_WORD
+       m_OTD7
+ :1;
+
+5425 
+IO_WORD
+       m_OTD6
+ :1;
+
+5426 
+IO_WORD
+       m_ICP7
+ :1;
+
+5427 
+IO_WORD
+       m_ICP6
+ :1;
+
+5428 
+IO_WORD
+       m_ICE7
+ :1;
+
+5429 
+IO_WORD
+       m_ICE6
+ :1;
+
+5430 
+       mIO_WORD
+ :1;
+
+5431 
+       mIO_WORD
+ :1;
+
+5432 
+IO_WORD
+       m_CST7
+ :1;
+
+5433 
+IO_WORD
+       m_CST6
+ :1;
+
+5434 }
+       mb\99
+;
+
+5435 }
+       tOCS67STR
+;
+
+5437 
+IO_WORD
+       mwÜd
+;
+
+5439 
+IO_WORD
+       m_C15
+ :1;
+
+5440 
+IO_WORD
+       m_C14
+ :1;
+
+5441 
+IO_WORD
+       m_C13
+ :1;
+
+5442 
+IO_WORD
+       m_C12
+ :1;
+
+5443 
+IO_WORD
+       m_C11
+ :1;
+
+5444 
+IO_WORD
+       m_C10
+ :1;
+
+5445 
+IO_WORD
+       m_C9
+ :1;
+
+5446 
+IO_WORD
+       m_C8
+ :1;
+
+5447 
+IO_WORD
+       m_C7
+ :1;
+
+5448 
+IO_WORD
+       m_C6
+ :1;
+
+5449 
+IO_WORD
+       m_C5
+ :1;
+
+5450 
+IO_WORD
+       m_C4
+ :1;
+
+5451 
+IO_WORD
+       m_C3
+ :1;
+
+5452 
+IO_WORD
+       m_C2
+ :1;
+
+5453 
+IO_WORD
+       m_C1
+ :1;
+
+5454 
+IO_WORD
+       m_C0
+ :1;
+
+5455 }
+       mb\99
+;
+
+5456 }
+       tOCCP4STR
+;
+
+5458 
+IO_WORD
+       mwÜd
+;
+
+5460 
+IO_WORD
+       m_C15
+ :1;
+
+5461 
+IO_WORD
+       m_C14
+ :1;
+
+5462 
+IO_WORD
+       m_C13
+ :1;
+
+5463 
+IO_WORD
+       m_C12
+ :1;
+
+5464 
+IO_WORD
+       m_C11
+ :1;
+
+5465 
+IO_WORD
+       m_C10
+ :1;
+
+5466 
+IO_WORD
+       m_C9
+ :1;
+
+5467 
+IO_WORD
+       m_C8
+ :1;
+
+5468 
+IO_WORD
+       m_C7
+ :1;
+
+5469 
+IO_WORD
+       m_C6
+ :1;
+
+5470 
+IO_WORD
+       m_C5
+ :1;
+
+5471 
+IO_WORD
+       m_C4
+ :1;
+
+5472 
+IO_WORD
+       m_C3
+ :1;
+
+5473 
+IO_WORD
+       m_C2
+ :1;
+
+5474 
+IO_WORD
+       m_C1
+ :1;
+
+5475 
+IO_WORD
+       m_C0
+ :1;
+
+5476 }
+       mb\99
+;
+
+5477 }
+       tOCCP5STR
+;
+
+5479 
+IO_WORD
+       mwÜd
+;
+
+5481 
+IO_WORD
+       m_C15
+ :1;
+
+5482 
+IO_WORD
+       m_C14
+ :1;
+
+5483 
+IO_WORD
+       m_C13
+ :1;
+
+5484 
+IO_WORD
+       m_C12
+ :1;
+
+5485 
+IO_WORD
+       m_C11
+ :1;
+
+5486 
+IO_WORD
+       m_C10
+ :1;
+
+5487 
+IO_WORD
+       m_C9
+ :1;
+
+5488 
+IO_WORD
+       m_C8
+ :1;
+
+5489 
+IO_WORD
+       m_C7
+ :1;
+
+5490 
+IO_WORD
+       m_C6
+ :1;
+
+5491 
+IO_WORD
+       m_C5
+ :1;
+
+5492 
+IO_WORD
+       m_C4
+ :1;
+
+5493 
+IO_WORD
+       m_C3
+ :1;
+
+5494 
+IO_WORD
+       m_C2
+ :1;
+
+5495 
+IO_WORD
+       m_C1
+ :1;
+
+5496 
+IO_WORD
+       m_C0
+ :1;
+
+5497 }
+       mb\99
+;
+
+5498 }
+       tOCCP6STR
+;
+
+5500 
+IO_WORD
+       mwÜd
+;
+
+5502 
+IO_WORD
+       m_C15
+ :1;
+
+5503 
+IO_WORD
+       m_C14
+ :1;
+
+5504 
+IO_WORD
+       m_C13
+ :1;
+
+5505 
+IO_WORD
+       m_C12
+ :1;
+
+5506 
+IO_WORD
+       m_C11
+ :1;
+
+5507 
+IO_WORD
+       m_C10
+ :1;
+
+5508 
+IO_WORD
+       m_C9
+ :1;
+
+5509 
+IO_WORD
+       m_C8
+ :1;
+
+5510 
+IO_WORD
+       m_C7
+ :1;
+
+5511 
+IO_WORD
+       m_C6
+ :1;
+
+5512 
+IO_WORD
+       m_C5
+ :1;
+
+5513 
+IO_WORD
+       m_C4
+ :1;
+
+5514 
+IO_WORD
+       m_C3
+ :1;
+
+5515 
+IO_WORD
+       m_C2
+ :1;
+
+5516 
+IO_WORD
+       m_C1
+ :1;
+
+5517 
+IO_WORD
+       m_C0
+ :1;
+
+5518 }
+       mb\99
+;
+
+5519 }
+       tOCCP7STR
+;
+
+5521 
+IO_WORD
+       mwÜd
+;
+
+5523 
+IO_WORD
+       m_T15
+ :1;
+
+5524 
+IO_WORD
+       m_T14
+ :1;
+
+5525 
+IO_WORD
+       m_T13
+ :1;
+
+5526 
+IO_WORD
+       m_T12
+ :1;
+
+5527 
+IO_WORD
+       m_T11
+ :1;
+
+5528 
+IO_WORD
+       m_T10
+ :1;
+
+5529 
+IO_WORD
+       m_T9
+ :1;
+
+5530 
+IO_WORD
+       m_T8
+ :1;
+
+5531 
+IO_WORD
+       m_T7
+ :1;
+
+5532 
+IO_WORD
+       m_T6
+ :1;
+
+5533 
+IO_WORD
+       m_T5
+ :1;
+
+5534 
+IO_WORD
+       m_T4
+ :1;
+
+5535 
+IO_WORD
+       m_T3
+ :1;
+
+5536 
+IO_WORD
+       m_T2
+ :1;
+
+5537 
+IO_WORD
+       m_T1
+ :1;
+
+5538 
+IO_WORD
+       m_T0
+ :1;
+
+5539 }
+       mb\99
+;
+
+5540 }
+       tTCDT4STR
+;
+
+5542 
+IO_BYTE
+       mby\8b
+;
+
+5544 
+IO_BYTE
+       m_ECLK
+ :1;
+
+5545 
+IO_BYTE
+       m_IVF
+ :1;
+
+5546 
+IO_BYTE
+       m_IVFE
+ :1;
+
+5547 
+IO_BYTE
+       m_STOP
+ :1;
+
+5548 
+IO_BYTE
+       m_MODE
+ :1;
+
+5549 
+IO_BYTE
+       m_CLR
+ :1;
+
+5550 
+IO_BYTE
+       m_CLK1
+ :1;
+
+5551 
+IO_BYTE
+       m_CLK0
+ :1;
+
+5552 }
+       mb\99
+;
+
+5554 
+       mIO_BYTE
+ :1;
+
+5555 
+       mIO_BYTE
+ :1;
+
+5556 
+       mIO_BYTE
+ :1;
+
+5557 
+       mIO_BYTE
+ :1;
+
+5558 
+       mIO_BYTE
+ :1;
+
+5559 
+       mIO_BYTE
+ :1;
+
+5560 
+IO_BYTE
+       m_CLK
+ :2;
+
+5561 }
+       mb\99c
+;
+
+5562 }
+       tTCCS4STR
+;
+
+5564 
+IO_WORD
+       mwÜd
+;
+
+5566 
+IO_WORD
+       m_T15
+ :1;
+
+5567 
+IO_WORD
+       m_T14
+ :1;
+
+5568 
+IO_WORD
+       m_T13
+ :1;
+
+5569 
+IO_WORD
+       m_T12
+ :1;
+
+5570 
+IO_WORD
+       m_T11
+ :1;
+
+5571 
+IO_WORD
+       m_T10
+ :1;
+
+5572 
+IO_WORD
+       m_T9
+ :1;
+
+5573 
+IO_WORD
+       m_T8
+ :1;
+
+5574 
+IO_WORD
+       m_T7
+ :1;
+
+5575 
+IO_WORD
+       m_T6
+ :1;
+
+5576 
+IO_WORD
+       m_T5
+ :1;
+
+5577 
+IO_WORD
+       m_T4
+ :1;
+
+5578 
+IO_WORD
+       m_T3
+ :1;
+
+5579 
+IO_WORD
+       m_T2
+ :1;
+
+5580 
+IO_WORD
+       m_T1
+ :1;
+
+5581 
+IO_WORD
+       m_T0
+ :1;
+
+5582 }
+       mb\99
+;
+
+5583 }
+       tTCDT5STR
+;
+
+5585 
+IO_BYTE
+       mby\8b
+;
+
+5587 
+IO_BYTE
+       m_ECLK
+ :1;
+
+5588 
+IO_BYTE
+       m_IVF
+ :1;
+
+5589 
+IO_BYTE
+       m_IVFE
+ :1;
+
+5590 
+IO_BYTE
+       m_STOP
+ :1;
+
+5591 
+IO_BYTE
+       m_MODE
+ :1;
+
+5592 
+IO_BYTE
+       m_CLR
+ :1;
+
+5593 
+IO_BYTE
+       m_CLK1
+ :1;
+
+5594 
+IO_BYTE
+       m_CLK0
+ :1;
+
+5595 }
+       mb\99
+;
+
+5597 
+       mIO_BYTE
+ :1;
+
+5598 
+       mIO_BYTE
+ :1;
+
+5599 
+       mIO_BYTE
+ :1;
+
+5600 
+       mIO_BYTE
+ :1;
+
+5601 
+       mIO_BYTE
+ :1;
+
+5602 
+       mIO_BYTE
+ :1;
+
+5603 
+IO_BYTE
+       m_CLK
+ :2;
+
+5604 }
+       mb\99c
+;
+
+5605 }
+       tTCCS5STR
+;
+
+5607 
+IO_WORD
+       mwÜd
+;
+
+5609 
+IO_WORD
+       m_T15
+ :1;
+
+5610 
+IO_WORD
+       m_T14
+ :1;
+
+5611 
+IO_WORD
+       m_T13
+ :1;
+
+5612 
+IO_WORD
+       m_T12
+ :1;
+
+5613 
+IO_WORD
+       m_T11
+ :1;
+
+5614 
+IO_WORD
+       m_T10
+ :1;
+
+5615 
+IO_WORD
+       m_T9
+ :1;
+
+5616 
+IO_WORD
+       m_T8
+ :1;
+
+5617 
+IO_WORD
+       m_T7
+ :1;
+
+5618 
+IO_WORD
+       m_T6
+ :1;
+
+5619 
+IO_WORD
+       m_T5
+ :1;
+
+5620 
+IO_WORD
+       m_T4
+ :1;
+
+5621 
+IO_WORD
+       m_T3
+ :1;
+
+5622 
+IO_WORD
+       m_T2
+ :1;
+
+5623 
+IO_WORD
+       m_T1
+ :1;
+
+5624 
+IO_WORD
+       m_T0
+ :1;
+
+5625 }
+       mb\99
+;
+
+5626 }
+       tTCDT6STR
+;
+
+5628 
+IO_BYTE
+       mby\8b
+;
+
+5630 
+IO_BYTE
+       m_ECLK
+ :1;
+
+5631 
+IO_BYTE
+       m_IVF
+ :1;
+
+5632 
+IO_BYTE
+       m_IVFE
+ :1;
+
+5633 
+IO_BYTE
+       m_STOP
+ :1;
+
+5634 
+IO_BYTE
+       m_MODE
+ :1;
+
+5635 
+IO_BYTE
+       m_CLR
+ :1;
+
+5636 
+IO_BYTE
+       m_CLK1
+ :1;
+
+5637 
+IO_BYTE
+       m_CLK0
+ :1;
+
+5638 }
+       mb\99
+;
+
+5640 
+       mIO_BYTE
+ :1;
+
+5641 
+       mIO_BYTE
+ :1;
+
+5642 
+       mIO_BYTE
+ :1;
+
+5643 
+       mIO_BYTE
+ :1;
+
+5644 
+       mIO_BYTE
+ :1;
+
+5645 
+       mIO_BYTE
+ :1;
+
+5646 
+IO_BYTE
+       m_CLK
+ :2;
+
+5647 }
+       mb\99c
+;
+
+5648 }
+       tTCCS6STR
+;
+
+5650 
+IO_WORD
+       mwÜd
+;
+
+5652 
+IO_WORD
+       m_T15
+ :1;
+
+5653 
+IO_WORD
+       m_T14
+ :1;
+
+5654 
+IO_WORD
+       m_T13
+ :1;
+
+5655 
+IO_WORD
+       m_T12
+ :1;
+
+5656 
+IO_WORD
+       m_T11
+ :1;
+
+5657 
+IO_WORD
+       m_T10
+ :1;
+
+5658 
+IO_WORD
+       m_T9
+ :1;
+
+5659 
+IO_WORD
+       m_T8
+ :1;
+
+5660 
+IO_WORD
+       m_T7
+ :1;
+
+5661 
+IO_WORD
+       m_T6
+ :1;
+
+5662 
+IO_WORD
+       m_T5
+ :1;
+
+5663 
+IO_WORD
+       m_T4
+ :1;
+
+5664 
+IO_WORD
+       m_T3
+ :1;
+
+5665 
+IO_WORD
+       m_T2
+ :1;
+
+5666 
+IO_WORD
+       m_T1
+ :1;
+
+5667 
+IO_WORD
+       m_T0
+ :1;
+
+5668 }
+       mb\99
+;
+
+5669 }
+       tTCDT7STR
+;
+
+5671 
+IO_BYTE
+       mby\8b
+;
+
+5673 
+IO_BYTE
+       m_ECLK
+ :1;
+
+5674 
+IO_BYTE
+       m_IVF
+ :1;
+
+5675 
+IO_BYTE
+       m_IVFE
+ :1;
+
+5676 
+IO_BYTE
+       m_STOP
+ :1;
+
+5677 
+IO_BYTE
+       m_MODE
+ :1;
+
+5678 
+IO_BYTE
+       m_CLR
+ :1;
+
+5679 
+IO_BYTE
+       m_CLK1
+ :1;
+
+5680 
+IO_BYTE
+       m_CLK0
+ :1;
+
+5681 }
+       mb\99
+;
+
+5683 
+       mIO_BYTE
+ :1;
+
+5684 
+       mIO_BYTE
+ :1;
+
+5685 
+       mIO_BYTE
+ :1;
+
+5686 
+       mIO_BYTE
+ :1;
+
+5687 
+       mIO_BYTE
+ :1;
+
+5688 
+       mIO_BYTE
+ :1;
+
+5689 
+IO_BYTE
+       m_CLK
+ :2;
+
+5690 }
+       mb\99c
+;
+
+5691 }
+       tTCCS7STR
+;
+
+5693 
+IO_WORD
+       mwÜd
+;
+
+5695 
+IO_WORD
+       m_D15
+ :1;
+
+5696 
+IO_WORD
+       m_D14
+ :1;
+
+5697 
+IO_WORD
+       m_D13
+ :1;
+
+5698 
+IO_WORD
+       m_D12
+ :1;
+
+5699 
+IO_WORD
+       m_D11
+ :1;
+
+5700 
+IO_WORD
+       m_D10
+ :1;
+
+5701 
+IO_WORD
+       m_D9
+ :1;
+
+5702 
+IO_WORD
+       m_D8
+ :1;
+
+5703 
+IO_WORD
+       m_D7
+ :1;
+
+5704 
+IO_WORD
+       m_D6
+ :1;
+
+5705 
+IO_WORD
+       m_D5
+ :1;
+
+5706 
+IO_WORD
+       m_D4
+ :1;
+
+5707 
+IO_WORD
+       m_D3
+ :1;
+
+5708 
+IO_WORD
+       m_D2
+ :1;
+
+5709 
+IO_WORD
+       m_D1
+ :1;
+
+5710 
+IO_WORD
+       m_D0
+ :1;
+
+5711 }
+       mb\99
+;
+
+5712 }
+       tROMSSTR
+;
+
+5714 
+IO_BYTE
+       mby\8b
+;
+
+5716 
+       mIO_BYTE
+ :1;
+
+5717 
+       mIO_BYTE
+ :1;
+
+5718 
+       mIO_BYTE
+ :1;
+
+5719 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5720 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5721 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5722 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5723 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5724 }
+       mb\99
+;
+
+5725 }
+       tICR00STR
+;
+
+5727 
+IO_BYTE
+       mby\8b
+;
+
+5729 
+       mIO_BYTE
+ :1;
+
+5730 
+       mIO_BYTE
+ :1;
+
+5731 
+       mIO_BYTE
+ :1;
+
+5732 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5733 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5734 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5735 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5736 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5737 }
+       mb\99
+;
+
+5738 }
+       tICR01STR
+;
+
+5740 
+IO_BYTE
+       mby\8b
+;
+
+5742 
+       mIO_BYTE
+ :1;
+
+5743 
+       mIO_BYTE
+ :1;
+
+5744 
+       mIO_BYTE
+ :1;
+
+5745 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5746 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5747 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5748 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5749 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5750 }
+       mb\99
+;
+
+5751 }
+       tICR02STR
+;
+
+5753 
+IO_BYTE
+       mby\8b
+;
+
+5755 
+       mIO_BYTE
+ :1;
+
+5756 
+       mIO_BYTE
+ :1;
+
+5757 
+       mIO_BYTE
+ :1;
+
+5758 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5759 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5760 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5761 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5762 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5763 }
+       mb\99
+;
+
+5764 }
+       tICR03STR
+;
+
+5766 
+IO_BYTE
+       mby\8b
+;
+
+5768 
+       mIO_BYTE
+ :1;
+
+5769 
+       mIO_BYTE
+ :1;
+
+5770 
+       mIO_BYTE
+ :1;
+
+5771 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5772 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5773 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5774 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5775 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5776 }
+       mb\99
+;
+
+5777 }
+       tICR04STR
+;
+
+5779 
+IO_BYTE
+       mby\8b
+;
+
+5781 
+       mIO_BYTE
+ :1;
+
+5782 
+       mIO_BYTE
+ :1;
+
+5783 
+       mIO_BYTE
+ :1;
+
+5784 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5785 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5786 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5787 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5788 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5789 }
+       mb\99
+;
+
+5790 }
+       tICR05STR
+;
+
+5792 
+IO_BYTE
+       mby\8b
+;
+
+5794 
+       mIO_BYTE
+ :1;
+
+5795 
+       mIO_BYTE
+ :1;
+
+5796 
+       mIO_BYTE
+ :1;
+
+5797 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5798 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5799 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5800 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5801 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5802 }
+       mb\99
+;
+
+5803 }
+       tICR06STR
+;
+
+5805 
+IO_BYTE
+       mby\8b
+;
+
+5807 
+       mIO_BYTE
+ :1;
+
+5808 
+       mIO_BYTE
+ :1;
+
+5809 
+       mIO_BYTE
+ :1;
+
+5810 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5811 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5812 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5813 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5814 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5815 }
+       mb\99
+;
+
+5816 }
+       tICR07STR
+;
+
+5818 
+IO_BYTE
+       mby\8b
+;
+
+5820 
+       mIO_BYTE
+ :1;
+
+5821 
+       mIO_BYTE
+ :1;
+
+5822 
+       mIO_BYTE
+ :1;
+
+5823 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5824 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5825 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5826 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5827 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5828 }
+       mb\99
+;
+
+5829 }
+       tICR08STR
+;
+
+5831 
+IO_BYTE
+       mby\8b
+;
+
+5833 
+       mIO_BYTE
+ :1;
+
+5834 
+       mIO_BYTE
+ :1;
+
+5835 
+       mIO_BYTE
+ :1;
+
+5836 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5837 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5838 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5839 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5840 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5841 }
+       mb\99
+;
+
+5842 }
+       tICR09STR
+;
+
+5844 
+IO_BYTE
+       mby\8b
+;
+
+5846 
+       mIO_BYTE
+ :1;
+
+5847 
+       mIO_BYTE
+ :1;
+
+5848 
+       mIO_BYTE
+ :1;
+
+5849 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5850 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5851 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5852 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5853 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5854 }
+       mb\99
+;
+
+5855 }
+       tICR10STR
+;
+
+5857 
+IO_BYTE
+       mby\8b
+;
+
+5859 
+       mIO_BYTE
+ :1;
+
+5860 
+       mIO_BYTE
+ :1;
+
+5861 
+       mIO_BYTE
+ :1;
+
+5862 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5863 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5864 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5865 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5866 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5867 }
+       mb\99
+;
+
+5868 }
+       tICR11STR
+;
+
+5870 
+IO_BYTE
+       mby\8b
+;
+
+5872 
+       mIO_BYTE
+ :1;
+
+5873 
+       mIO_BYTE
+ :1;
+
+5874 
+       mIO_BYTE
+ :1;
+
+5875 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5876 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5877 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5878 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5879 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5880 }
+       mb\99
+;
+
+5881 }
+       tICR12STR
+;
+
+5883 
+IO_BYTE
+       mby\8b
+;
+
+5885 
+       mIO_BYTE
+ :1;
+
+5886 
+       mIO_BYTE
+ :1;
+
+5887 
+       mIO_BYTE
+ :1;
+
+5888 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5889 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5890 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5891 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5892 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5893 }
+       mb\99
+;
+
+5894 }
+       tICR13STR
+;
+
+5896 
+IO_BYTE
+       mby\8b
+;
+
+5898 
+       mIO_BYTE
+ :1;
+
+5899 
+       mIO_BYTE
+ :1;
+
+5900 
+       mIO_BYTE
+ :1;
+
+5901 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5902 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5903 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5904 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5905 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5906 }
+       mb\99
+;
+
+5907 }
+       tICR14STR
+;
+
+5909 
+IO_BYTE
+       mby\8b
+;
+
+5911 
+       mIO_BYTE
+ :1;
+
+5912 
+       mIO_BYTE
+ :1;
+
+5913 
+       mIO_BYTE
+ :1;
+
+5914 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5915 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5916 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5917 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5918 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5919 }
+       mb\99
+;
+
+5920 }
+       tICR15STR
+;
+
+5922 
+IO_BYTE
+       mby\8b
+;
+
+5924 
+       mIO_BYTE
+ :1;
+
+5925 
+       mIO_BYTE
+ :1;
+
+5926 
+       mIO_BYTE
+ :1;
+
+5927 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5928 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5929 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5930 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5931 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5932 }
+       mb\99
+;
+
+5933 }
+       tICR16STR
+;
+
+5935 
+IO_BYTE
+       mby\8b
+;
+
+5937 
+       mIO_BYTE
+ :1;
+
+5938 
+       mIO_BYTE
+ :1;
+
+5939 
+       mIO_BYTE
+ :1;
+
+5940 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5941 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5942 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5943 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5944 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5945 }
+       mb\99
+;
+
+5946 }
+       tICR17STR
+;
+
+5948 
+IO_BYTE
+       mby\8b
+;
+
+5950 
+       mIO_BYTE
+ :1;
+
+5951 
+       mIO_BYTE
+ :1;
+
+5952 
+       mIO_BYTE
+ :1;
+
+5953 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5954 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5955 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5956 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5957 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5958 }
+       mb\99
+;
+
+5959 }
+       tICR18STR
+;
+
+5961 
+IO_BYTE
+       mby\8b
+;
+
+5963 
+       mIO_BYTE
+ :1;
+
+5964 
+       mIO_BYTE
+ :1;
+
+5965 
+       mIO_BYTE
+ :1;
+
+5966 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5967 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5968 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5969 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5970 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5971 }
+       mb\99
+;
+
+5972 }
+       tICR19STR
+;
+
+5974 
+IO_BYTE
+       mby\8b
+;
+
+5976 
+       mIO_BYTE
+ :1;
+
+5977 
+       mIO_BYTE
+ :1;
+
+5978 
+       mIO_BYTE
+ :1;
+
+5979 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5980 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5981 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5982 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5983 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5984 }
+       mb\99
+;
+
+5985 }
+       tICR20STR
+;
+
+5987 
+IO_BYTE
+       mby\8b
+;
+
+5989 
+       mIO_BYTE
+ :1;
+
+5990 
+       mIO_BYTE
+ :1;
+
+5991 
+       mIO_BYTE
+ :1;
+
+5992 
+IO_BYTE
+       m_ICR4
+ :1;
+
+5993 
+IO_BYTE
+       m_ICR3
+ :1;
+
+5994 
+IO_BYTE
+       m_ICR2
+ :1;
+
+5995 
+IO_BYTE
+       m_ICR1
+ :1;
+
+5996 
+IO_BYTE
+       m_ICR0
+ :1;
+
+5997 }
+       mb\99
+;
+
+5998 }
+       tICR21STR
+;
+
+6000 
+IO_BYTE
+       mby\8b
+;
+
+6002 
+       mIO_BYTE
+ :1;
+
+6003 
+       mIO_BYTE
+ :1;
+
+6004 
+       mIO_BYTE
+ :1;
+
+6005 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6006 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6007 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6008 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6009 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6010 }
+       mb\99
+;
+
+6011 }
+       tICR22STR
+;
+
+6013 
+IO_BYTE
+       mby\8b
+;
+
+6015 
+       mIO_BYTE
+ :1;
+
+6016 
+       mIO_BYTE
+ :1;
+
+6017 
+       mIO_BYTE
+ :1;
+
+6018 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6019 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6020 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6021 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6022 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6023 }
+       mb\99
+;
+
+6024 }
+       tICR23STR
+;
+
+6026 
+IO_BYTE
+       mby\8b
+;
+
+6028 
+       mIO_BYTE
+ :1;
+
+6029 
+       mIO_BYTE
+ :1;
+
+6030 
+       mIO_BYTE
+ :1;
+
+6031 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6032 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6033 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6034 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6035 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6036 }
+       mb\99
+;
+
+6037 }
+       tICR24STR
+;
+
+6039 
+IO_BYTE
+       mby\8b
+;
+
+6041 
+       mIO_BYTE
+ :1;
+
+6042 
+       mIO_BYTE
+ :1;
+
+6043 
+       mIO_BYTE
+ :1;
+
+6044 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6045 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6046 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6047 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6048 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6049 }
+       mb\99
+;
+
+6050 }
+       tICR25STR
+;
+
+6052 
+IO_BYTE
+       mby\8b
+;
+
+6054 
+       mIO_BYTE
+ :1;
+
+6055 
+       mIO_BYTE
+ :1;
+
+6056 
+       mIO_BYTE
+ :1;
+
+6057 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6058 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6059 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6060 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6061 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6062 }
+       mb\99
+;
+
+6063 }
+       tICR26STR
+;
+
+6065 
+IO_BYTE
+       mby\8b
+;
+
+6067 
+       mIO_BYTE
+ :1;
+
+6068 
+       mIO_BYTE
+ :1;
+
+6069 
+       mIO_BYTE
+ :1;
+
+6070 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6071 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6072 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6073 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6074 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6075 }
+       mb\99
+;
+
+6076 }
+       tICR27STR
+;
+
+6078 
+IO_BYTE
+       mby\8b
+;
+
+6080 
+       mIO_BYTE
+ :1;
+
+6081 
+       mIO_BYTE
+ :1;
+
+6082 
+       mIO_BYTE
+ :1;
+
+6083 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6084 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6085 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6086 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6087 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6088 }
+       mb\99
+;
+
+6089 }
+       tICR28STR
+;
+
+6091 
+IO_BYTE
+       mby\8b
+;
+
+6093 
+       mIO_BYTE
+ :1;
+
+6094 
+       mIO_BYTE
+ :1;
+
+6095 
+       mIO_BYTE
+ :1;
+
+6096 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6097 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6098 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6099 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6100 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6101 }
+       mb\99
+;
+
+6102 }
+       tICR29STR
+;
+
+6104 
+IO_BYTE
+       mby\8b
+;
+
+6106 
+       mIO_BYTE
+ :1;
+
+6107 
+       mIO_BYTE
+ :1;
+
+6108 
+       mIO_BYTE
+ :1;
+
+6109 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6110 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6111 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6112 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6113 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6114 }
+       mb\99
+;
+
+6115 }
+       tICR30STR
+;
+
+6117 
+IO_BYTE
+       mby\8b
+;
+
+6119 
+       mIO_BYTE
+ :1;
+
+6120 
+       mIO_BYTE
+ :1;
+
+6121 
+       mIO_BYTE
+ :1;
+
+6122 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6123 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6124 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6125 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6126 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6127 }
+       mb\99
+;
+
+6128 }
+       tICR31STR
+;
+
+6130 
+IO_BYTE
+       mby\8b
+;
+
+6132 
+       mIO_BYTE
+ :1;
+
+6133 
+       mIO_BYTE
+ :1;
+
+6134 
+       mIO_BYTE
+ :1;
+
+6135 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6136 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6137 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6138 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6139 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6140 }
+       mb\99
+;
+
+6141 }
+       tICR32STR
+;
+
+6143 
+IO_BYTE
+       mby\8b
+;
+
+6145 
+       mIO_BYTE
+ :1;
+
+6146 
+       mIO_BYTE
+ :1;
+
+6147 
+       mIO_BYTE
+ :1;
+
+6148 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6149 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6150 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6151 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6152 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6153 }
+       mb\99
+;
+
+6154 }
+       tICR33STR
+;
+
+6156 
+IO_BYTE
+       mby\8b
+;
+
+6158 
+       mIO_BYTE
+ :1;
+
+6159 
+       mIO_BYTE
+ :1;
+
+6160 
+       mIO_BYTE
+ :1;
+
+6161 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6162 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6163 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6164 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6165 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6166 }
+       mb\99
+;
+
+6167 }
+       tICR34STR
+;
+
+6169 
+IO_BYTE
+       mby\8b
+;
+
+6171 
+       mIO_BYTE
+ :1;
+
+6172 
+       mIO_BYTE
+ :1;
+
+6173 
+       mIO_BYTE
+ :1;
+
+6174 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6175 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6176 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6177 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6178 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6179 }
+       mb\99
+;
+
+6180 }
+       tICR35STR
+;
+
+6182 
+IO_BYTE
+       mby\8b
+;
+
+6184 
+       mIO_BYTE
+ :1;
+
+6185 
+       mIO_BYTE
+ :1;
+
+6186 
+       mIO_BYTE
+ :1;
+
+6187 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6188 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6189 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6190 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6191 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6192 }
+       mb\99
+;
+
+6193 }
+       tICR36STR
+;
+
+6195 
+IO_BYTE
+       mby\8b
+;
+
+6197 
+       mIO_BYTE
+ :1;
+
+6198 
+       mIO_BYTE
+ :1;
+
+6199 
+       mIO_BYTE
+ :1;
+
+6200 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6201 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6202 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6203 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6204 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6205 }
+       mb\99
+;
+
+6206 }
+       tICR37STR
+;
+
+6208 
+IO_BYTE
+       mby\8b
+;
+
+6210 
+       mIO_BYTE
+ :1;
+
+6211 
+       mIO_BYTE
+ :1;
+
+6212 
+       mIO_BYTE
+ :1;
+
+6213 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6214 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6215 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6216 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6217 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6218 }
+       mb\99
+;
+
+6219 }
+       tICR38STR
+;
+
+6221 
+IO_BYTE
+       mby\8b
+;
+
+6223 
+       mIO_BYTE
+ :1;
+
+6224 
+       mIO_BYTE
+ :1;
+
+6225 
+       mIO_BYTE
+ :1;
+
+6226 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6227 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6228 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6229 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6230 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6231 }
+       mb\99
+;
+
+6232 }
+       tICR39STR
+;
+
+6234 
+IO_BYTE
+       mby\8b
+;
+
+6236 
+       mIO_BYTE
+ :1;
+
+6237 
+       mIO_BYTE
+ :1;
+
+6238 
+       mIO_BYTE
+ :1;
+
+6239 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6240 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6241 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6242 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6243 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6244 }
+       mb\99
+;
+
+6245 }
+       tICR40STR
+;
+
+6247 
+IO_BYTE
+       mby\8b
+;
+
+6249 
+       mIO_BYTE
+ :1;
+
+6250 
+       mIO_BYTE
+ :1;
+
+6251 
+       mIO_BYTE
+ :1;
+
+6252 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6253 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6254 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6255 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6256 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6257 }
+       mb\99
+;
+
+6258 }
+       tICR41STR
+;
+
+6260 
+IO_BYTE
+       mby\8b
+;
+
+6262 
+       mIO_BYTE
+ :1;
+
+6263 
+       mIO_BYTE
+ :1;
+
+6264 
+       mIO_BYTE
+ :1;
+
+6265 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6266 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6267 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6268 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6269 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6270 }
+       mb\99
+;
+
+6271 }
+       tICR42STR
+;
+
+6273 
+IO_BYTE
+       mby\8b
+;
+
+6275 
+       mIO_BYTE
+ :1;
+
+6276 
+       mIO_BYTE
+ :1;
+
+6277 
+       mIO_BYTE
+ :1;
+
+6278 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6279 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6280 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6281 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6282 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6283 }
+       mb\99
+;
+
+6284 }
+       tICR43STR
+;
+
+6286 
+IO_BYTE
+       mby\8b
+;
+
+6288 
+       mIO_BYTE
+ :1;
+
+6289 
+       mIO_BYTE
+ :1;
+
+6290 
+       mIO_BYTE
+ :1;
+
+6291 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6292 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6293 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6294 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6295 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6296 }
+       mb\99
+;
+
+6297 }
+       tICR44STR
+;
+
+6299 
+IO_BYTE
+       mby\8b
+;
+
+6301 
+       mIO_BYTE
+ :1;
+
+6302 
+       mIO_BYTE
+ :1;
+
+6303 
+       mIO_BYTE
+ :1;
+
+6304 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6305 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6306 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6307 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6308 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6309 }
+       mb\99
+;
+
+6310 }
+       tICR45STR
+;
+
+6312 
+IO_BYTE
+       mby\8b
+;
+
+6314 
+       mIO_BYTE
+ :1;
+
+6315 
+       mIO_BYTE
+ :1;
+
+6316 
+       mIO_BYTE
+ :1;
+
+6317 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6318 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6319 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6320 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6321 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6322 }
+       mb\99
+;
+
+6323 }
+       tICR46STR
+;
+
+6325 
+IO_BYTE
+       mby\8b
+;
+
+6327 
+       mIO_BYTE
+ :1;
+
+6328 
+       mIO_BYTE
+ :1;
+
+6329 
+       mIO_BYTE
+ :1;
+
+6330 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6331 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6332 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6333 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6334 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6335 }
+       mb\99
+;
+
+6336 }
+       tICR47STR
+;
+
+6338 
+IO_BYTE
+       mby\8b
+;
+
+6340 
+       mIO_BYTE
+ :1;
+
+6341 
+       mIO_BYTE
+ :1;
+
+6342 
+       mIO_BYTE
+ :1;
+
+6343 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6344 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6345 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6346 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6347 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6348 }
+       mb\99
+;
+
+6349 }
+       tICR48STR
+;
+
+6351 
+IO_BYTE
+       mby\8b
+;
+
+6353 
+       mIO_BYTE
+ :1;
+
+6354 
+       mIO_BYTE
+ :1;
+
+6355 
+       mIO_BYTE
+ :1;
+
+6356 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6357 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6358 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6359 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6360 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6361 }
+       mb\99
+;
+
+6362 }
+       tICR49STR
+;
+
+6364 
+IO_BYTE
+       mby\8b
+;
+
+6366 
+       mIO_BYTE
+ :1;
+
+6367 
+       mIO_BYTE
+ :1;
+
+6368 
+       mIO_BYTE
+ :1;
+
+6369 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6370 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6371 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6372 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6373 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6374 }
+       mb\99
+;
+
+6375 }
+       tICR50STR
+;
+
+6377 
+IO_BYTE
+       mby\8b
+;
+
+6379 
+       mIO_BYTE
+ :1;
+
+6380 
+       mIO_BYTE
+ :1;
+
+6381 
+       mIO_BYTE
+ :1;
+
+6382 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6383 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6384 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6385 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6386 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6387 }
+       mb\99
+;
+
+6388 }
+       tICR51STR
+;
+
+6390 
+IO_BYTE
+       mby\8b
+;
+
+6392 
+       mIO_BYTE
+ :1;
+
+6393 
+       mIO_BYTE
+ :1;
+
+6394 
+       mIO_BYTE
+ :1;
+
+6395 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6396 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6397 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6398 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6399 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6400 }
+       mb\99
+;
+
+6401 }
+       tICR52STR
+;
+
+6403 
+IO_BYTE
+       mby\8b
+;
+
+6405 
+       mIO_BYTE
+ :1;
+
+6406 
+       mIO_BYTE
+ :1;
+
+6407 
+       mIO_BYTE
+ :1;
+
+6408 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6409 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6410 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6411 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6412 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6413 }
+       mb\99
+;
+
+6414 }
+       tICR53STR
+;
+
+6416 
+IO_BYTE
+       mby\8b
+;
+
+6418 
+       mIO_BYTE
+ :1;
+
+6419 
+       mIO_BYTE
+ :1;
+
+6420 
+       mIO_BYTE
+ :1;
+
+6421 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6422 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6423 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6424 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6425 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6426 }
+       mb\99
+;
+
+6427 }
+       tICR54STR
+;
+
+6429 
+IO_BYTE
+       mby\8b
+;
+
+6431 
+       mIO_BYTE
+ :1;
+
+6432 
+       mIO_BYTE
+ :1;
+
+6433 
+       mIO_BYTE
+ :1;
+
+6434 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6435 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6436 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6437 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6438 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6439 }
+       mb\99
+;
+
+6440 }
+       tICR55STR
+;
+
+6442 
+IO_BYTE
+       mby\8b
+;
+
+6444 
+       mIO_BYTE
+ :1;
+
+6445 
+       mIO_BYTE
+ :1;
+
+6446 
+       mIO_BYTE
+ :1;
+
+6447 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6448 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6449 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6450 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6451 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6452 }
+       mb\99
+;
+
+6453 }
+       tICR56STR
+;
+
+6455 
+IO_BYTE
+       mby\8b
+;
+
+6457 
+       mIO_BYTE
+ :1;
+
+6458 
+       mIO_BYTE
+ :1;
+
+6459 
+       mIO_BYTE
+ :1;
+
+6460 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6461 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6462 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6463 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6464 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6465 }
+       mb\99
+;
+
+6466 }
+       tICR57STR
+;
+
+6468 
+IO_BYTE
+       mby\8b
+;
+
+6470 
+       mIO_BYTE
+ :1;
+
+6471 
+       mIO_BYTE
+ :1;
+
+6472 
+       mIO_BYTE
+ :1;
+
+6473 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6474 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6475 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6476 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6477 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6478 }
+       mb\99
+;
+
+6479 }
+       tICR58STR
+;
+
+6481 
+IO_BYTE
+       mby\8b
+;
+
+6483 
+       mIO_BYTE
+ :1;
+
+6484 
+       mIO_BYTE
+ :1;
+
+6485 
+       mIO_BYTE
+ :1;
+
+6486 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6487 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6488 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6489 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6490 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6491 }
+       mb\99
+;
+
+6492 }
+       tICR59STR
+;
+
+6494 
+IO_BYTE
+       mby\8b
+;
+
+6496 
+       mIO_BYTE
+ :1;
+
+6497 
+       mIO_BYTE
+ :1;
+
+6498 
+       mIO_BYTE
+ :1;
+
+6499 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6500 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6501 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6502 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6503 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6504 }
+       mb\99
+;
+
+6505 }
+       tICR60STR
+;
+
+6507 
+IO_BYTE
+       mby\8b
+;
+
+6509 
+       mIO_BYTE
+ :1;
+
+6510 
+       mIO_BYTE
+ :1;
+
+6511 
+       mIO_BYTE
+ :1;
+
+6512 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6513 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6514 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6515 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6516 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6517 }
+       mb\99
+;
+
+6518 }
+       tICR61STR
+;
+
+6520 
+IO_BYTE
+       mby\8b
+;
+
+6522 
+       mIO_BYTE
+ :1;
+
+6523 
+       mIO_BYTE
+ :1;
+
+6524 
+       mIO_BYTE
+ :1;
+
+6525 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6526 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6527 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6528 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6529 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6530 }
+       mb\99
+;
+
+6531 }
+       tICR62STR
+;
+
+6533 
+IO_BYTE
+       mby\8b
+;
+
+6535 
+       mIO_BYTE
+ :1;
+
+6536 
+       mIO_BYTE
+ :1;
+
+6537 
+       mIO_BYTE
+ :1;
+
+6538 
+IO_BYTE
+       m_ICR4
+ :1;
+
+6539 
+IO_BYTE
+       m_ICR3
+ :1;
+
+6540 
+IO_BYTE
+       m_ICR2
+ :1;
+
+6541 
+IO_BYTE
+       m_ICR1
+ :1;
+
+6542 
+IO_BYTE
+       m_ICR0
+ :1;
+
+6543 }
+       mb\99
+;
+
+6544 }
+       tICR63STR
+;
+
+6546 
+IO_BYTE
+       mby\8b
+;
+
+6548 
+IO_BYTE
+       m_INIT
+ :1;
+
+6549 
+IO_BYTE
+       m_HSTB
+ :1;
+
+6550 
+IO_BYTE
+       m_WDOG
+ :1;
+
+6551 
+IO_BYTE
+       m_ERST
+ :1;
+
+6552 
+IO_BYTE
+       m_SRST
+ :1;
+
+6553 
+IO_BYTE
+       m_LINIT
+ :1;
+
+6554 
+IO_BYTE
+       m_WT1
+ :1;
+
+6555 
+IO_BYTE
+       m_WT0
+ :1;
+
+6556 }
+       mb\99
+;
+
+6558 
+       mIO_BYTE
+ :1;
+
+6559 
+       mIO_BYTE
+ :1;
+
+6560 
+       mIO_BYTE
+ :1;
+
+6561 
+       mIO_BYTE
+ :1;
+
+6562 
+       mIO_BYTE
+ :1;
+
+6563 
+       mIO_BYTE
+ :1;
+
+6564 
+IO_BYTE
+       m_WT
+ :2;
+
+6565 }
+       mb\99c
+;
+
+6566 }
+       tRSRRSTR
+;
+
+6568 
+IO_BYTE
+       mby\8b
+;
+
+6570 
+IO_BYTE
+       m_STOP
+ :1;
+
+6571 
+IO_BYTE
+       m_SLEEP
+ :1;
+
+6572 
+IO_BYTE
+       m_HIZ
+ :1;
+
+6573 
+IO_BYTE
+       m_SRST
+ :1;
+
+6574 
+IO_BYTE
+       m_OS1
+ :1;
+
+6575 
+IO_BYTE
+       m_OS0
+ :1;
+
+6576 
+IO_BYTE
+       m_OSCD2
+ :1;
+
+6577 
+IO_BYTE
+       m_OSCD1
+ :1;
+
+6578 }
+       mb\99
+;
+
+6580 
+       mIO_BYTE
+ :1;
+
+6581 
+       mIO_BYTE
+ :1;
+
+6582 
+       mIO_BYTE
+ :1;
+
+6583 
+       mIO_BYTE
+ :1;
+
+6584 
+IO_BYTE
+       m_OS
+ :2;
+
+6585 
+IO_BYTE
+       m_OSCD
+ :2;
+
+6586 }
+       mb\99c
+;
+
+6587 }
+       tSTCRSTR
+;
+
+6589 
+IO_BYTE
+       mby\8b
+;
+
+6591 
+IO_BYTE
+       m_TBIF
+ :1;
+
+6592 
+IO_BYTE
+       m_TBIE
+ :1;
+
+6593 
+IO_BYTE
+       m_TBC2
+ :1;
+
+6594 
+IO_BYTE
+       m_TBC1
+ :1;
+
+6595 
+IO_BYTE
+       m_TBC0
+ :1;
+
+6596 
+       mIO_BYTE
+ :1;
+
+6597 
+IO_BYTE
+       m_SYNCR
+ :1;
+
+6598 
+IO_BYTE
+       m_SYNCS
+ :1;
+
+6599 }
+       mb\99
+;
+
+6601 
+       mIO_BYTE
+ :1;
+
+6602 
+       mIO_BYTE
+ :1;
+
+6603 
+IO_BYTE
+       m_TBC
+ :3;
+
+6604 }
+       mb\99c
+;
+
+6605 }
+       tTBCRSTR
+;
+
+6607 
+IO_BYTE
+       mby\8b
+;
+
+6609 
+IO_BYTE
+       m_D7
+ :1;
+
+6610 
+IO_BYTE
+       m_D6
+ :1;
+
+6611 
+IO_BYTE
+       m_D5
+ :1;
+
+6612 
+IO_BYTE
+       m_D4
+ :1;
+
+6613 
+IO_BYTE
+       m_D3
+ :1;
+
+6614 
+IO_BYTE
+       m_D2
+ :1;
+
+6615 
+IO_BYTE
+       m_D1
+ :1;
+
+6616 
+IO_BYTE
+       m_D0
+ :1;
+
+6617 }
+       mb\99
+;
+
+6618 }
+       tCTBRSTR
+;
+
+6620 
+IO_BYTE
+       mby\8b
+;
+
+6622 
+       mIO_BYTE
+ :1;
+
+6623 
+       mIO_BYTE
+ :1;
+
+6624 
+       mIO_BYTE
+ :1;
+
+6625 
+       mIO_BYTE
+ :1;
+
+6626 
+IO_BYTE
+       m_SCKEN
+ :1;
+
+6627 
+IO_BYTE
+       m_PLL1EN
+ :1;
+
+6628 
+IO_BYTE
+       m_CLKS1
+ :1;
+
+6629 
+IO_BYTE
+       m_CLKS0
+ :1;
+
+6630 }
+       mb\99
+;
+
+6632 
+       mIO_BYTE
+ :1;
+
+6633 
+       mIO_BYTE
+ :1;
+
+6634 
+       mIO_BYTE
+ :1;
+
+6635 
+       mIO_BYTE
+ :1;
+
+6636 
+       mIO_BYTE
+ :1;
+
+6637 
+       mIO_BYTE
+ :1;
+
+6638 
+IO_BYTE
+       m_CLKS
+ :2;
+
+6639 }
+       mb\99c
+;
+
+6640 }
+       tCLKRSTR
+;
+
+6642 
+IO_BYTE
+       mby\8b
+;
+
+6644 
+IO_BYTE
+       m_D7
+ :1;
+
+6645 
+IO_BYTE
+       m_D6
+ :1;
+
+6646 
+IO_BYTE
+       m_D5
+ :1;
+
+6647 
+IO_BYTE
+       m_D4
+ :1;
+
+6648 
+IO_BYTE
+       m_D3
+ :1;
+
+6649 
+IO_BYTE
+       m_D2
+ :1;
+
+6650 
+IO_BYTE
+       m_D1
+ :1;
+
+6651 
+IO_BYTE
+       m_D0
+ :1;
+
+6652 }
+       mb\99
+;
+
+6653 }
+       tWPRSTR
+;
+
+6655 
+IO_BYTE
+       mby\8b
+;
+
+6657 
+IO_BYTE
+       m_B3
+ :1;
+
+6658 
+IO_BYTE
+       m_B2
+ :1;
+
+6659 
+IO_BYTE
+       m_B1
+ :1;
+
+6660 
+IO_BYTE
+       m_B0
+ :1;
+
+6661 
+IO_BYTE
+       m_P3
+ :1;
+
+6662 
+IO_BYTE
+       m_P2
+ :1;
+
+6663 
+IO_BYTE
+       m_P1
+ :1;
+
+6664 
+IO_BYTE
+       m_P0
+ :1;
+
+6665 }
+       mb\99
+;
+
+6667 
+IO_BYTE
+       m_B
+ :4;
+
+6668 
+IO_BYTE
+       m_P
+ :4;
+
+6669 }
+       mb\99c
+;
+
+6670 }
+       tDIVR0STR
+;
+
+6672 
+IO_BYTE
+       mby\8b
+;
+
+6674 
+IO_BYTE
+       m_T3
+ :1;
+
+6675 
+IO_BYTE
+       m_T2
+ :1;
+
+6676 
+IO_BYTE
+       m_T1
+ :1;
+
+6677 
+IO_BYTE
+       m_T0
+ :1;
+
+6678 
+       mIO_BYTE
+ :1;
+
+6679 
+       mIO_BYTE
+ :1;
+
+6680 
+       mIO_BYTE
+ :1;
+
+6681 
+       mIO_BYTE
+ :1;
+
+6682 }
+       mb\99
+;
+
+6684 
+IO_BYTE
+       m_T
+ :4;
+
+6685 }
+       mb\99c
+;
+
+6686 }
+       tDIVR1STR
+;
+
+6688 
+IO_BYTE
+       mby\8b
+;
+
+6690 
+       mIO_BYTE
+ :1;
+
+6691 
+       mIO_BYTE
+ :1;
+
+6692 
+       mIO_BYTE
+ :1;
+
+6693 
+       mIO_BYTE
+ :1;
+
+6694 
+IO_BYTE
+       m_DVM3
+ :1;
+
+6695 
+IO_BYTE
+       m_DVM2
+ :1;
+
+6696 
+IO_BYTE
+       m_DVM1
+ :1;
+
+6697 
+IO_BYTE
+       m_DVM0
+ :1;
+
+6698 }
+       mb\99
+;
+
+6700 
+       mIO_BYTE
+ :1;
+
+6701 
+       mIO_BYTE
+ :1;
+
+6702 
+       mIO_BYTE
+ :1;
+
+6703 
+       mIO_BYTE
+ :1;
+
+6704 
+IO_BYTE
+       m_DVM
+ :4;
+
+6705 }
+       mb\99c
+;
+
+6706 }
+       tPLLDIVMSTR
+;
+
+6708 
+IO_BYTE
+       mby\8b
+;
+
+6710 
+       mIO_BYTE
+ :1;
+
+6711 
+       mIO_BYTE
+ :1;
+
+6712 
+IO_BYTE
+       m_DVN5
+ :1;
+
+6713 
+IO_BYTE
+       m_DVN4
+ :1;
+
+6714 
+IO_BYTE
+       m_DVN3
+ :1;
+
+6715 
+IO_BYTE
+       m_DVN2
+ :1;
+
+6716 
+IO_BYTE
+       m_DVN1
+ :1;
+
+6717 
+IO_BYTE
+       m_DVN0
+ :1;
+
+6718 }
+       mb\99
+;
+
+6720 
+       mIO_BYTE
+ :1;
+
+6721 
+       mIO_BYTE
+ :1;
+
+6722 
+IO_BYTE
+       m_DVN
+ :6;
+
+6723 }
+       mb\99c
+;
+
+6724 }
+       tPLLDIVNSTR
+;
+
+6726 
+IO_BYTE
+       mby\8b
+;
+
+6728 
+       mIO_BYTE
+ :1;
+
+6729 
+       mIO_BYTE
+ :1;
+
+6730 
+       mIO_BYTE
+ :1;
+
+6731 
+       mIO_BYTE
+ :1;
+
+6732 
+IO_BYTE
+       m_DVG3
+ :1;
+
+6733 
+IO_BYTE
+       m_DVG2
+ :1;
+
+6734 
+IO_BYTE
+       m_DVG1
+ :1;
+
+6735 
+IO_BYTE
+       m_DVG0
+ :1;
+
+6736 }
+       mb\99
+;
+
+6738 
+       mIO_BYTE
+ :1;
+
+6739 
+       mIO_BYTE
+ :1;
+
+6740 
+       mIO_BYTE
+ :1;
+
+6741 
+       mIO_BYTE
+ :1;
+
+6742 
+IO_BYTE
+       m_DVG
+ :4;
+
+6743 }
+       mb\99c
+;
+
+6744 }
+       tPLLDIVGSTR
+;
+
+6746 
+IO_BYTE
+       mby\8b
+;
+
+6748 
+IO_BYTE
+       m_MLG7
+ :1;
+
+6749 
+IO_BYTE
+       m_MLG6
+ :1;
+
+6750 
+IO_BYTE
+       m_MLG5
+ :1;
+
+6751 
+IO_BYTE
+       m_MLG4
+ :1;
+
+6752 
+IO_BYTE
+       m_MLG3
+ :1;
+
+6753 
+IO_BYTE
+       m_MLG2
+ :1;
+
+6754 
+IO_BYTE
+       m_MLG1
+ :1;
+
+6755 
+IO_BYTE
+       m_MLG0
+ :1;
+
+6756 }
+       mb\99
+;
+
+6758 
+IO_BYTE
+       m_MLG
+ :8;
+
+6759 }
+       mb\99c
+;
+
+6760 }
+       tPLLMULGSTR
+;
+
+6762 
+IO_BYTE
+       mby\8b
+;
+
+6764 
+       mIO_BYTE
+ :1;
+
+6765 
+       mIO_BYTE
+ :1;
+
+6766 
+       mIO_BYTE
+ :1;
+
+6767 
+       mIO_BYTE
+ :1;
+
+6768 
+IO_BYTE
+       m_IEDN
+ :1;
+
+6769 
+IO_BYTE
+       m_GRDN
+ :1;
+
+6770 
+IO_BYTE
+       m_IEUP
+ :1;
+
+6771 
+IO_BYTE
+       m_GRUP
+ :1;
+
+6772 }
+       mb\99
+;
+
+6773 }
+       tPLLCTRLSTR
+;
+
+6775 
+IO_BYTE
+       mby\8b
+;
+
+6777 
+       mIO_BYTE
+ :1;
+
+6778 
+       mIO_BYTE
+ :1;
+
+6779 
+       mIO_BYTE
+ :1;
+
+6780 
+       mIO_BYTE
+ :1;
+
+6781 
+       mIO_BYTE
+ :1;
+
+6782 
+IO_BYTE
+       m_FCI
+ :1;
+
+6783 
+IO_BYTE
+       m_RFBEN
+ :1;
+
+6784 
+IO_BYTE
+       m_OSCR
+ :1;
+
+6785 }
+       mb\99
+;
+
+6786 }
+       tOSCC1STR
+;
+
+6788 
+IO_BYTE
+       mby\8b
+;
+
+6790 
+IO_BYTE
+       m_OSCS7
+ :1;
+
+6791 
+IO_BYTE
+       m_OSCS6
+ :1;
+
+6792 
+IO_BYTE
+       m_OSCS5
+ :1;
+
+6793 
+IO_BYTE
+       m_OSCS4
+ :1;
+
+6794 
+IO_BYTE
+       m_OSCS3
+ :1;
+
+6795 
+IO_BYTE
+       m_OSCS2
+ :1;
+
+6796 
+IO_BYTE
+       m_OSCS1
+ :1;
+
+6797 
+IO_BYTE
+       m_OSCS0
+ :1;
+
+6798 }
+       mb\99
+;
+
+6799 }
+       tOSCS1STR
+;
+
+6801 
+IO_BYTE
+       mby\8b
+;
+
+6803 
+       mIO_BYTE
+ :1;
+
+6804 
+       mIO_BYTE
+ :1;
+
+6805 
+       mIO_BYTE
+ :1;
+
+6806 
+       mIO_BYTE
+ :1;
+
+6807 
+       mIO_BYTE
+ :1;
+
+6808 
+IO_BYTE
+       m_FCI
+ :1;
+
+6809 
+IO_BYTE
+       m_RFBEN
+ :1;
+
+6810 
+IO_BYTE
+       m_OSCR
+ :1;
+
+6811 }
+       mb\99
+;
+
+6812 }
+       tOSCC2STR
+;
+
+6814 
+IO_BYTE
+       mby\8b
+;
+
+6816 
+IO_BYTE
+       m_OSCS7
+ :1;
+
+6817 
+IO_BYTE
+       m_OSCS6
+ :1;
+
+6818 
+IO_BYTE
+       m_OSCS5
+ :1;
+
+6819 
+IO_BYTE
+       m_OSCS4
+ :1;
+
+6820 
+IO_BYTE
+       m_OSCS3
+ :1;
+
+6821 
+IO_BYTE
+       m_OSCS2
+ :1;
+
+6822 
+IO_BYTE
+       m_OSCS1
+ :1;
+
+6823 
+IO_BYTE
+       m_OSCS0
+ :1;
+
+6824 }
+       mb\99
+;
+
+6825 }
+       tOSCS2STR
+;
+
+6827 
+IO_BYTE
+       mby\8b
+;
+
+6829 
+       mIO_BYTE
+ :1;
+
+6830 
+       mIO_BYTE
+ :1;
+
+6831 
+       mIO_BYTE
+ :1;
+
+6832 
+       mIO_BYTE
+ :1;
+
+6833 
+       mIO_BYTE
+ :1;
+
+6834 
+       mIO_BYTE
+ :1;
+
+6835 
+IO_BYTE
+       m_CPORTEN
+ :1;
+
+6836 
+IO_BYTE
+       m_GPORTEN
+ :1;
+
+6837 }
+       mb\99
+;
+
+6838 }
+       tPORTENSTR
+;
+
+6840 
+IO_BYTE
+       mby\8b
+;
+
+6842 
+       mIO_BYTE
+ :1;
+
+6843 
+       mIO_BYTE
+ :1;
+
+6844 
+       mIO_BYTE
+ :1;
+
+6845 
+       mIO_BYTE
+ :1;
+
+6846 
+       mIO_BYTE
+ :1;
+
+6847 
+       mIO_BYTE
+ :1;
+
+6848 
+IO_BYTE
+       m_INTE4
+ :1;
+
+6849 
+IO_BYTE
+       m_INT4
+ :1;
+
+6850 }
+       mb\99
+;
+
+6851 }
+       tWTCERSTR
+;
+
+6853 
+IO_WORD
+       mwÜd
+;
+
+6855 
+IO_WORD
+       m_INTE3
+ :1;
+
+6856 
+IO_WORD
+       m_INT3
+ :1;
+
+6857 
+IO_WORD
+       m_INTE2
+ :1;
+
+6858 
+IO_WORD
+       m_INT2
+ :1;
+
+6859 
+IO_WORD
+       m_INTE1
+ :1;
+
+6860 
+IO_WORD
+       m_INT1
+ :1;
+
+6861 
+IO_WORD
+       m_INTE0
+ :1;
+
+6862 
+IO_WORD
+       m_INT0
+ :1;
+
+6863 
+       mIO_WORD
+ :1;
+
+6864 
+       mIO_WORD
+ :1;
+
+6865 
+       mIO_WORD
+ :1;
+
+6866 
+       mIO_WORD
+ :1;
+
+6867 
+IO_WORD
+       m_RUN
+ :1;
+
+6868 
+IO_WORD
+       m_UPDT
+ :1;
+
+6869 
+       mIO_WORD
+ :1;
+
+6870 
+IO_WORD
+       m_ST
+ :1;
+
+6871 }
+       mb\99
+;
+
+6872 }
+       tWTCRSTR
+;
+
+6874 
+IO_LWORD
+       mlwÜd
+;
+
+6876 
+       mIO_LWORD
+ :1;
+
+6877 
+       mIO_LWORD
+ :1;
+
+6878 
+       mIO_LWORD
+ :1;
+
+6879 
+       mIO_LWORD
+ :1;
+
+6880 
+       mIO_LWORD
+ :1;
+
+6881 
+       mIO_LWORD
+ :1;
+
+6882 
+       mIO_LWORD
+ :1;
+
+6883 
+       mIO_LWORD
+ :1;
+
+6884 
+       mIO_LWORD
+ :1;
+
+6885 
+       mIO_LWORD
+ :1;
+
+6886 
+       mIO_LWORD
+ :1;
+
+6887 
+IO_LWORD
+       m_D20
+ :1;
+
+6888 
+IO_LWORD
+       m_D19
+ :1;
+
+6889 
+IO_LWORD
+       m_D18
+ :1;
+
+6890 
+IO_LWORD
+       m_D17
+ :1;
+
+6891 
+IO_LWORD
+       m_D16
+ :1;
+
+6892 
+IO_LWORD
+       m_D15
+ :1;
+
+6893 
+IO_LWORD
+       m_D14
+ :1;
+
+6894 
+IO_LWORD
+       m_D13
+ :1;
+
+6895 
+IO_LWORD
+       m_D12
+ :1;
+
+6896 
+IO_LWORD
+       m_D11
+ :1;
+
+6897 
+IO_LWORD
+       m_D10
+ :1;
+
+6898 
+IO_LWORD
+       m_D9
+ :1;
+
+6899 
+IO_LWORD
+       m_D8
+ :1;
+
+6900 
+IO_LWORD
+       m_D7
+ :1;
+
+6901 
+IO_LWORD
+       m_D6
+ :1;
+
+6902 
+IO_LWORD
+       m_D5
+ :1;
+
+6903 
+IO_LWORD
+       m_D4
+ :1;
+
+6904 
+IO_LWORD
+       m_D3
+ :1;
+
+6905 
+IO_LWORD
+       m_D2
+ :1;
+
+6906 
+IO_LWORD
+       m_D1
+ :1;
+
+6907 
+IO_LWORD
+       m_D0
+ :1;
+
+6908 }
+       mb\99
+;
+
+6909 }
+       tWTBRSTR
+;
+
+6911 
+IO_BYTE
+       mby\8b
+;
+
+6913 
+       mIO_BYTE
+ :1;
+
+6914 
+       mIO_BYTE
+ :1;
+
+6915 
+       mIO_BYTE
+ :1;
+
+6916 
+IO_BYTE
+       m_H4
+ :1;
+
+6917 
+IO_BYTE
+       m_H3
+ :1;
+
+6918 
+IO_BYTE
+       m_H2
+ :1;
+
+6919 
+IO_BYTE
+       m_H1
+ :1;
+
+6920 
+IO_BYTE
+       m_H0
+ :1;
+
+6921 }
+       mb\99
+;
+
+6922 }
+       tWTHRSTR
+;
+
+6924 
+IO_BYTE
+       mby\8b
+;
+
+6926 
+       mIO_BYTE
+ :1;
+
+6927 
+       mIO_BYTE
+ :1;
+
+6928 
+IO_BYTE
+       m_M5
+ :1;
+
+6929 
+IO_BYTE
+       m_M4
+ :1;
+
+6930 
+IO_BYTE
+       m_M3
+ :1;
+
+6931 
+IO_BYTE
+       m_M2
+ :1;
+
+6932 
+IO_BYTE
+       m_M1
+ :1;
+
+6933 
+IO_BYTE
+       m_M0
+ :1;
+
+6934 }
+       mb\99
+;
+
+6935 }
+       tWTMRSTR
+;
+
+6937 
+IO_BYTE
+       mby\8b
+;
+
+6939 
+       mIO_BYTE
+ :1;
+
+6940 
+       mIO_BYTE
+ :1;
+
+6941 
+IO_BYTE
+       m_S5
+ :1;
+
+6942 
+IO_BYTE
+       m_S4
+ :1;
+
+6943 
+IO_BYTE
+       m_S3
+ :1;
+
+6944 
+IO_BYTE
+       m_S2
+ :1;
+
+6945 
+IO_BYTE
+       m_S1
+ :1;
+
+6946 
+IO_BYTE
+       m_S0
+ :1;
+
+6947 }
+       mb\99
+;
+
+6948 }
+       tWTSRSTR
+;
+
+6950 
+IO_BYTE
+       mby\8b
+;
+
+6952 
+IO_BYTE
+       m_SCKS
+ :1;
+
+6953 
+IO_BYTE
+       m_MM
+ :1;
+
+6954 
+IO_BYTE
+       m_SM
+ :1;
+
+6955 
+IO_BYTE
+       m_RCE
+ :1;
+
+6956 
+IO_BYTE
+       m_MSVE
+ :1;
+
+6957 
+IO_BYTE
+       m_SSVE
+ :1;
+
+6958 
+IO_BYTE
+       m_SRST
+ :1;
+
+6959 
+IO_BYTE
+       m_OUTE
+ :1;
+
+6960 }
+       mb\99
+;
+
+6961 }
+       tCSVCRSTR
+;
+
+6963 
+IO_BYTE
+       mby\8b
+;
+
+6965 
+IO_BYTE
+       m_EDSUEN
+ :1;
+
+6966 
+IO_BYTE
+       m_PLLLOCK
+ :1;
+
+6967 
+IO_BYTE
+       m_RCSEL
+ :1;
+
+6968 
+IO_BYTE
+       m_MONCKI
+ :1;
+
+6969 
+IO_BYTE
+       m_CSC3
+ :1;
+
+6970 
+IO_BYTE
+       m_CSC2
+ :1;
+
+6971 
+IO_BYTE
+       m_CSC1
+ :1;
+
+6972 
+IO_BYTE
+       m_CSC0
+ :1;
+
+6973 }
+       mb\99
+;
+
+6975 
+       mIO_BYTE
+ :4;
+
+6976 
+IO_BYTE
+       m_CSC
+ :4;
+
+6977 }
+       mb\99c
+;
+
+6978 }
+       tCSCFGSTR
+;
+
+6980 
+IO_BYTE
+       mby\8b
+;
+
+6982 
+IO_BYTE
+       m_CMPRE3
+ :1;
+
+6983 
+IO_BYTE
+       m_CMPRE2
+ :1;
+
+6984 
+IO_BYTE
+       m_CMPRE1
+ :1;
+
+6985 
+IO_BYTE
+       m_CMPRE0
+ :1;
+
+6986 
+IO_BYTE
+       m_CMSEL3
+ :1;
+
+6987 
+IO_BYTE
+       m_CMSEL2
+ :1;
+
+6988 
+IO_BYTE
+       m_CMSEL1
+ :1;
+
+6989 
+IO_BYTE
+       m_CMSEL0
+ :1;
+
+6990 }
+       mb\99
+;
+
+6992 
+IO_BYTE
+       m_CMPRE
+ :4;
+
+6993 
+IO_BYTE
+       m_CMSEL
+ :4;
+
+6994 }
+       mb\99c
+;
+
+6995 }
+       tCMCFGSTR
+;
+
+6997 
+IO_WORD
+       mwÜd
+;
+
+6999 
+       mIO_WORD
+ :1;
+
+7000 
+       mIO_WORD
+ :1;
+
+7001 
+       mIO_WORD
+ :1;
+
+7002 
+       mIO_WORD
+ :1;
+
+7003 
+       mIO_WORD
+ :1;
+
+7004 
+       mIO_WORD
+ :1;
+
+7005 
+       mIO_WORD
+ :1;
+
+7006 
+       mIO_WORD
+ :1;
+
+7007 
+       mIO_WORD
+ :1;
+
+7008 
+       mIO_WORD
+ :1;
+
+7009 
+       mIO_WORD
+ :1;
+
+7010 
+IO_WORD
+       m_STRT
+ :1;
+
+7011 
+       mIO_WORD
+ :1;
+
+7012 
+       mIO_WORD
+ :1;
+
+7013 
+IO_WORD
+       m_INT
+ :1;
+
+7014 
+IO_WORD
+       m_INTEN
+ :1;
+
+7015 }
+       mb\99
+;
+
+7016 }
+       tCUCRSTR
+;
+
+7018 
+IO_WORD
+       mwÜd
+;
+
+7020 
+IO_WORD
+       m_TDD15
+ :1;
+
+7021 
+IO_WORD
+       m_TDD14
+ :1;
+
+7022 
+IO_WORD
+       m_TDD13
+ :1;
+
+7023 
+IO_WORD
+       m_TDD12
+ :1;
+
+7024 
+IO_WORD
+       m_TDD11
+ :1;
+
+7025 
+IO_WORD
+       m_TDD10
+ :1;
+
+7026 
+IO_WORD
+       m_TDD9
+ :1;
+
+7027 
+IO_WORD
+       m_TDD8
+ :1;
+
+7028 
+IO_WORD
+       m_TDD7
+ :1;
+
+7029 
+IO_WORD
+       m_TDD6
+ :1;
+
+7030 
+IO_WORD
+       m_TDD5
+ :1;
+
+7031 
+IO_WORD
+       m_TDD4
+ :1;
+
+7032 
+IO_WORD
+       m_TDD3
+ :1;
+
+7033 
+IO_WORD
+       m_TDD2
+ :1;
+
+7034 
+IO_WORD
+       m_TDD1
+ :1;
+
+7035 
+IO_WORD
+       m_TDD0
+ :1;
+
+7036 }
+       mb\99
+;
+
+7037 }
+       tCUTDSTR
+;
+
+7039 
+IO_WORD
+       mwÜd
+;
+
+7041 
+       mIO_WORD
+ :1;
+
+7042 
+       mIO_WORD
+ :1;
+
+7043 
+       mIO_WORD
+ :1;
+
+7044 
+       mIO_WORD
+ :1;
+
+7045 
+       mIO_WORD
+ :1;
+
+7046 
+       mIO_WORD
+ :1;
+
+7047 
+       mIO_WORD
+ :1;
+
+7048 
+       mIO_WORD
+ :1;
+
+7049 
+IO_WORD
+       m_TDR23
+ :1;
+
+7050 
+IO_WORD
+       m_TDR22
+ :1;
+
+7051 
+IO_WORD
+       m_TDR21
+ :1;
+
+7052 
+IO_WORD
+       m_TDR20
+ :1;
+
+7053 
+IO_WORD
+       m_TDR19
+ :1;
+
+7054 
+IO_WORD
+       m_TDR18
+ :1;
+
+7055 
+IO_WORD
+       m_TDR17
+ :1;
+
+7056 
+IO_WORD
+       m_TDR16
+ :1;
+
+7057 }
+       mb\99
+;
+
+7058 }
+       tCUTR1STR
+;
+
+7060 
+IO_WORD
+       mwÜd
+;
+
+7062 
+IO_WORD
+       m_TDR15
+ :1;
+
+7063 
+IO_WORD
+       m_TDR14
+ :1;
+
+7064 
+IO_WORD
+       m_TDR13
+ :1;
+
+7065 
+IO_WORD
+       m_TDR12
+ :1;
+
+7066 
+IO_WORD
+       m_TDR11
+ :1;
+
+7067 
+IO_WORD
+       m_TDR10
+ :1;
+
+7068 
+IO_WORD
+       m_TDR9
+ :1;
+
+7069 
+IO_WORD
+       m_TDR8
+ :1;
+
+7070 
+IO_WORD
+       m_TDR7
+ :1;
+
+7071 
+IO_WORD
+       m_TDR6
+ :1;
+
+7072 
+IO_WORD
+       m_TDR5
+ :1;
+
+7073 
+IO_WORD
+       m_TDR4
+ :1;
+
+7074 
+IO_WORD
+       m_TDR3
+ :1;
+
+7075 
+IO_WORD
+       m_TDR2
+ :1;
+
+7076 
+IO_WORD
+       m_TDR1
+ :1;
+
+7077 
+IO_WORD
+       m_TDR0
+ :1;
+
+7078 }
+       mb\99
+;
+
+7079 }
+       tCUTR2STR
+;
+
+7081 
+IO_WORD
+       mwÜd
+;
+
+7083 
+       mIO_WORD
+ :1;
+
+7084 
+       mIO_WORD
+ :1;
+
+7085 
+IO_WORD
+       m_MP13
+ :1;
+
+7086 
+IO_WORD
+       m_MP12
+ :1;
+
+7087 
+IO_WORD
+       m_MP11
+ :1;
+
+7088 
+IO_WORD
+       m_MP10
+ :1;
+
+7089 
+IO_WORD
+       m_MP9
+ :1;
+
+7090 
+IO_WORD
+       m_MP8
+ :1;
+
+7091 
+IO_WORD
+       m_MP7
+ :1;
+
+7092 
+IO_WORD
+       m_MP6
+ :1;
+
+7093 
+IO_WORD
+       m_MP5
+ :1;
+
+7094 
+IO_WORD
+       m_MP4
+ :1;
+
+7095 
+IO_WORD
+       m_MP3
+ :1;
+
+7096 
+IO_WORD
+       m_MP2
+ :1;
+
+7097 
+IO_WORD
+       m_MP1
+ :1;
+
+7098 
+IO_WORD
+       m_MP0
+ :1;
+
+7099 }
+       mb\99
+;
+
+7100 }
+       tCMPRSTR
+;
+
+7102 
+IO_BYTE
+       mby\8b
+;
+
+7104 
+       mIO_BYTE
+ :1;
+
+7105 
+       mIO_BYTE
+ :1;
+
+7106 
+       mIO_BYTE
+ :1;
+
+7107 
+       mIO_BYTE
+ :1;
+
+7108 
+IO_BYTE
+       m_FMODRUN
+ :1;
+
+7109 
+       mIO_BYTE
+ :1;
+
+7110 
+IO_BYTE
+       m_FMOD
+ :1;
+
+7111 
+IO_BYTE
+       m_PDX
+ :1;
+
+7112 }
+       mb\99
+;
+
+7113 }
+       tCMCRSTR
+;
+
+7115 
+IO_BYTE
+       mby\8b
+;
+
+7117 
+       mIO_BYTE
+ :1;
+
+7118 
+       mIO_BYTE
+ :1;
+
+7119 
+IO_BYTE
+       m_CPCKS1
+ :1;
+
+7120 
+IO_BYTE
+       m_CPCKS0
+ :1;
+
+7121 
+IO_BYTE
+       m_DVC3
+ :1;
+
+7122 
+IO_BYTE
+       m_DVC2
+ :1;
+
+7123 
+IO_BYTE
+       m_DVC1
+ :1;
+
+7124 
+IO_BYTE
+       m_DVC0
+ :1;
+
+7125 }
+       mb\99
+;
+
+7127 
+       mIO_BYTE
+ :2;
+
+7128 
+IO_BYTE
+       m_CPCKS
+ :2;
+
+7129 
+IO_BYTE
+       m_DVC
+ :4;
+
+7130 }
+       mb\99c
+;
+
+7131 }
+       tCANPRESTR
+;
+
+7133 
+IO_BYTE
+       mby\8b
+;
+
+7135 
+       mIO_BYTE
+ :1;
+
+7136 
+       mIO_BYTE
+ :1;
+
+7137 
+IO_BYTE
+       m_CANCKD5
+ :1;
+
+7138 
+IO_BYTE
+       m_CANCKD4
+ :1;
+
+7139 
+IO_BYTE
+       m_CANCKD3
+ :1;
+
+7140 
+IO_BYTE
+       m_CANCKD2
+ :1;
+
+7141 
+IO_BYTE
+       m_CANCKD1
+ :1;
+
+7142 
+IO_BYTE
+       m_CANCKD0
+ :1;
+
+7143 }
+       mb\99
+;
+
+7144 }
+       tCANCKDSTR
+;
+
+7146 
+IO_BYTE
+       mby\8b
+;
+
+7148 
+IO_BYTE
+       m_LVESEL3
+ :1;
+
+7149 
+IO_BYTE
+       m_LVESEL2
+ :1;
+
+7150 
+IO_BYTE
+       m_LVESEL1
+ :1;
+
+7151 
+IO_BYTE
+       m_LVESEL0
+ :1;
+
+7152 
+IO_BYTE
+       m_LVISEL3
+ :1;
+
+7153 
+IO_BYTE
+       m_LVISEL2
+ :1;
+
+7154 
+IO_BYTE
+       m_LVISEL1
+ :1;
+
+7155 
+IO_BYTE
+       m_LVISEL0
+ :1;
+
+7156 }
+       mb\99
+;
+
+7158 
+IO_BYTE
+       m_LVESEL
+ :4;
+
+7159 
+IO_BYTE
+       m_LVISEL
+ :4;
+
+7160 }
+       mb\99c
+;
+
+7161 }
+       tLVSELSTR
+;
+
+7163 
+IO_BYTE
+       mby\8b
+;
+
+7165 
+       mIO_BYTE
+ :1;
+
+7166 
+IO_BYTE
+       m_LVSEL
+ :1;
+
+7167 
+IO_BYTE
+       m_LVEPD
+ :1;
+
+7168 
+IO_BYTE
+       m_LVIPD
+ :1;
+
+7169 
+IO_BYTE
+       m_LVREN
+ :1;
+
+7170 
+       mIO_BYTE
+ :1;
+
+7171 
+IO_BYTE
+       m_LVIEN
+ :1;
+
+7172 
+IO_BYTE
+       m_LVIRQ
+ :1;
+
+7173 }
+       mb\99
+;
+
+7174 }
+       tLVDETSTR
+;
+
+7176 
+IO_BYTE
+       mby\8b
+;
+
+7178 
+       mIO_BYTE
+ :1;
+
+7179 
+       mIO_BYTE
+ :1;
+
+7180 
+       mIO_BYTE
+ :1;
+
+7181 
+       mIO_BYTE
+ :1;
+
+7182 
+       mIO_BYTE
+ :1;
+
+7183 
+       mIO_BYTE
+ :1;
+
+7184 
+IO_BYTE
+       m_ED1
+ :1;
+
+7185 
+IO_BYTE
+       m_ED0
+ :1;
+
+7186 }
+       mb\99
+;
+
+7188 
+       mIO_BYTE
+ :1;
+
+7189 
+       mIO_BYTE
+ :1;
+
+7190 
+       mIO_BYTE
+ :1;
+
+7191 
+       mIO_BYTE
+ :1;
+
+7192 
+       mIO_BYTE
+ :1;
+
+7193 
+       mIO_BYTE
+ :1;
+
+7194 
+IO_BYTE
+       m_ED
+ :2;
+
+7195 }
+       mb\99c
+;
+
+7196 }
+       tHWWDESTR
+;
+
+7198 
+IO_BYTE
+       mby\8b
+;
+
+7200 
+       mIO_BYTE
+ :1;
+
+7201 
+       mIO_BYTE
+ :1;
+
+7202 
+       mIO_BYTE
+ :1;
+
+7203 
+       mIO_BYTE
+ :1;
+
+7204 
+IO_BYTE
+       m_CL
+ :1;
+
+7205 
+       mIO_BYTE
+ :1;
+
+7206 
+       mIO_BYTE
+ :1;
+
+7207 
+IO_BYTE
+       m_CPUF
+ :1;
+
+7208 }
+       mb\99
+;
+
+7209 }
+       tHWWDSTR
+;
+
+7211 
+IO_BYTE
+       mby\8b
+;
+
+7213 
+IO_BYTE
+       m_WIF
+ :1;
+
+7214 
+IO_BYTE
+       m_WIE
+ :1;
+
+7215 
+IO_BYTE
+       m_WEN
+ :1;
+
+7216 
+       mIO_BYTE
+ :1;
+
+7217 
+       mIO_BYTE
+ :1;
+
+7218 
+IO_BYTE
+       m_WS1
+ :1;
+
+7219 
+IO_BYTE
+       m_WS0
+ :1;
+
+7220 
+IO_BYTE
+       m_WCL
+ :1;
+
+7221 }
+       mb\99
+;
+
+7223 
+       mIO_BYTE
+ :1;
+
+7224 
+       mIO_BYTE
+ :1;
+
+7225 
+       mIO_BYTE
+ :1;
+
+7226 
+       mIO_BYTE
+ :1;
+
+7227 
+       mIO_BYTE
+ :1;
+
+7228 
+IO_BYTE
+       m_WS
+ :2;
+
+7229 }
+       mb\99c
+;
+
+7230 }
+       tOSCRHSTR
+;
+
+7232 
+IO_BYTE
+       mby\8b
+;
+
+7234 
+IO_BYTE
+       m_WIF
+ :1;
+
+7235 
+IO_BYTE
+       m_WIE
+ :1;
+
+7236 
+IO_BYTE
+       m_WEN
+ :1;
+
+7237 
+       mIO_BYTE
+ :1;
+
+7238 
+       mIO_BYTE
+ :1;
+
+7239 
+IO_BYTE
+       m_WS1
+ :1;
+
+7240 
+IO_BYTE
+       m_WS0
+ :1;
+
+7241 
+IO_BYTE
+       m_WCL
+ :1;
+
+7242 }
+       mb\99
+;
+
+7244 
+       mIO_BYTE
+ :1;
+
+7245 
+       mIO_BYTE
+ :1;
+
+7246 
+       mIO_BYTE
+ :1;
+
+7247 
+       mIO_BYTE
+ :1;
+
+7248 
+       mIO_BYTE
+ :1;
+
+7249 
+IO_BYTE
+       m_WS
+ :2;
+
+7250 }
+       mb\99c
+;
+
+7251 }
+       tWPCRHSTR
+;
+
+7253 
+IO_BYTE
+       mby\8b
+;
+
+7255 
+       mIO_BYTE
+ :1;
+
+7256 
+       mIO_BYTE
+ :1;
+
+7257 
+       mIO_BYTE
+ :1;
+
+7258 
+       mIO_BYTE
+ :1;
+
+7259 
+       mIO_BYTE
+ :1;
+
+7260 
+       mIO_BYTE
+ :1;
+
+7261 
+       mIO_BYTE
+ :1;
+
+7262 
+IO_BYTE
+       m_OSCDS1
+ :1;
+
+7263 }
+       mb\99
+;
+
+7264 }
+       tOSCCRSTR
+;
+
+7266 
+IO_BYTE
+       mby\8b
+;
+
+7268 
+       mIO_BYTE
+ :1;
+
+7269 
+       mIO_BYTE
+ :1;
+
+7270 
+IO_BYTE
+       m_FLASHSEL
+ :1;
+
+7271 
+IO_BYTE
+       m_MAINSEL
+ :1;
+
+7272 
+IO_BYTE
+       m_SUBSEL3
+ :1;
+
+7273 
+IO_BYTE
+       m_SUBSEL2
+ :1;
+
+7274 
+IO_BYTE
+       m_SUBSEL1
+ :1;
+
+7275 
+IO_BYTE
+       m_SUBSEL0
+ :1;
+
+7276 }
+       mb\99
+;
+
+7278 
+       mIO_BYTE
+ :4;
+
+7279 
+IO_BYTE
+       m_SUBSEL
+ :4;
+
+7280 }
+       mb\99c
+;
+
+7281 }
+       tREGSELSTR
+;
+
+7283 
+IO_BYTE
+       mby\8b
+;
+
+7285 
+       mIO_BYTE
+ :1;
+
+7286 
+       mIO_BYTE
+ :1;
+
+7287 
+       mIO_BYTE
+ :1;
+
+7288 
+IO_BYTE
+       m_MSTBO
+ :1;
+
+7289 
+       mIO_BYTE
+ :1;
+
+7290 
+       mIO_BYTE
+ :1;
+
+7291 
+IO_BYTE
+       m_MAINKPEN
+ :1;
+
+7292 
+IO_BYTE
+       m_MAINDSBL
+ :1;
+
+7293 }
+       mb\99
+;
+
+7294 }
+       tREGCTRSTR
+;
+
+7296 
+IO_BYTE
+       mby\8b
+;
+
+7298 
+       mIO_BYTE
+ :1;
+
+7299 
+       mIO_BYTE
+ :1;
+
+7300 
+       mIO_BYTE
+ :1;
+
+7301 
+       mIO_BYTE
+ :1;
+
+7302 
+       mIO_BYTE
+ :1;
+
+7303 
+IO_BYTE
+       m_ROMA
+ :1;
+
+7304 
+IO_BYTE
+       m_WTH1
+ :1;
+
+7305 
+IO_BYTE
+       m_WTH0
+ :1;
+
+7306 }
+       mb\99
+;
+
+7308 
+       mIO_BYTE
+ :1;
+
+7309 
+       mIO_BYTE
+ :1;
+
+7310 
+       mIO_BYTE
+ :1;
+
+7311 
+       mIO_BYTE
+ :1;
+
+7312 
+       mIO_BYTE
+ :1;
+
+7313 
+       mIO_BYTE
+ :1;
+
+7314 
+IO_BYTE
+       m_WTH
+ :2;
+
+7315 }
+       mb\99c
+;
+
+7316 }
+       tMODRSTR
+;
+
+7318 
+IO_BYTE
+       mby\8b
+;
+
+7320 
+IO_BYTE
+       m_D7
+ :1;
+
+7321 
+IO_BYTE
+       m_D6
+ :1;
+
+7322 
+IO_BYTE
+       m_D5
+ :1;
+
+7323 
+IO_BYTE
+       m_D4
+ :1;
+
+7324 
+IO_BYTE
+       m_D3
+ :1;
+
+7325 
+IO_BYTE
+       m_D2
+ :1;
+
+7326 
+IO_BYTE
+       m_D1
+ :1;
+
+7327 
+IO_BYTE
+       m_D0
+ :1;
+
+7328 }
+       mb\99
+;
+
+7329 }
+       tPDRD14STR
+;
+
+7331 
+IO_BYTE
+       mby\8b
+;
+
+7333 
+IO_BYTE
+       m_D7
+ :1;
+
+7334 
+IO_BYTE
+       m_D6
+ :1;
+
+7335 
+IO_BYTE
+       m_D5
+ :1;
+
+7336 
+IO_BYTE
+       m_D4
+ :1;
+
+7337 
+IO_BYTE
+       m_D3
+ :1;
+
+7338 
+IO_BYTE
+       m_D2
+ :1;
+
+7339 
+IO_BYTE
+       m_D1
+ :1;
+
+7340 
+IO_BYTE
+       m_D0
+ :1;
+
+7341 }
+       mb\99
+;
+
+7342 }
+       tPDRD15STR
+;
+
+7344 
+IO_BYTE
+       mby\8b
+;
+
+7346 
+IO_BYTE
+       m_D7
+ :1;
+
+7347 
+IO_BYTE
+       m_D6
+ :1;
+
+7348 
+IO_BYTE
+       m_D5
+ :1;
+
+7349 
+IO_BYTE
+       m_D4
+ :1;
+
+7350 
+IO_BYTE
+       m_D3
+ :1;
+
+7351 
+IO_BYTE
+       m_D2
+ :1;
+
+7352 
+IO_BYTE
+       m_D1
+ :1;
+
+7353 
+IO_BYTE
+       m_D0
+ :1;
+
+7354 }
+       mb\99
+;
+
+7355 }
+       tPDRD16STR
+;
+
+7357 
+IO_BYTE
+       mby\8b
+;
+
+7359 
+IO_BYTE
+       m_D7
+ :1;
+
+7360 
+IO_BYTE
+       m_D6
+ :1;
+
+7361 
+IO_BYTE
+       m_D5
+ :1;
+
+7362 
+IO_BYTE
+       m_D4
+ :1;
+
+7363 
+IO_BYTE
+       m_D3
+ :1;
+
+7364 
+IO_BYTE
+       m_D2
+ :1;
+
+7365 
+IO_BYTE
+       m_D1
+ :1;
+
+7366 
+IO_BYTE
+       m_D0
+ :1;
+
+7367 }
+       mb\99
+;
+
+7368 }
+       tPDRD17STR
+;
+
+7370 
+IO_BYTE
+       mby\8b
+;
+
+7372 
+       mIO_BYTE
+ :1;
+
+7373 
+IO_BYTE
+       m_D6
+ :1;
+
+7374 
+       mIO_BYTE
+ :1;
+
+7375 
+       mIO_BYTE
+ :1;
+
+7376 
+       mIO_BYTE
+ :1;
+
+7377 
+IO_BYTE
+       m_D2
+ :1;
+
+7378 
+       mIO_BYTE
+ :1;
+
+7379 
+       mIO_BYTE
+ :1;
+
+7380 }
+       mb\99
+;
+
+7381 }
+       tPDRD18STR
+;
+
+7383 
+IO_BYTE
+       mby\8b
+;
+
+7385 
+       mIO_BYTE
+ :1;
+
+7386 
+IO_BYTE
+       m_D6
+ :1;
+
+7387 
+       mIO_BYTE
+ :1;
+
+7388 
+       mIO_BYTE
+ :1;
+
+7389 
+       mIO_BYTE
+ :1;
+
+7390 
+IO_BYTE
+       m_D2
+ :1;
+
+7391 
+IO_BYTE
+       m_D1
+ :1;
+
+7392 
+IO_BYTE
+       m_D0
+ :1;
+
+7393 }
+       mb\99
+;
+
+7394 }
+       tPDRD19STR
+;
+
+7396 
+IO_BYTE
+       mby\8b
+;
+
+7398 
+IO_BYTE
+       m_D7
+ :1;
+
+7399 
+IO_BYTE
+       m_D6
+ :1;
+
+7400 
+IO_BYTE
+       m_D5
+ :1;
+
+7401 
+IO_BYTE
+       m_D4
+ :1;
+
+7402 
+IO_BYTE
+       m_D3
+ :1;
+
+7403 
+IO_BYTE
+       m_D2
+ :1;
+
+7404 
+IO_BYTE
+       m_D1
+ :1;
+
+7405 
+IO_BYTE
+       m_D0
+ :1;
+
+7406 }
+       mb\99
+;
+
+7407 }
+       tPDRD20STR
+;
+
+7409 
+IO_BYTE
+       mby\8b
+;
+
+7411 
+IO_BYTE
+       m_D7
+ :1;
+
+7412 
+IO_BYTE
+       m_D6
+ :1;
+
+7413 
+IO_BYTE
+       m_D5
+ :1;
+
+7414 
+IO_BYTE
+       m_D4
+ :1;
+
+7415 
+IO_BYTE
+       m_D3
+ :1;
+
+7416 
+IO_BYTE
+       m_D2
+ :1;
+
+7417 
+IO_BYTE
+       m_D1
+ :1;
+
+7418 
+IO_BYTE
+       m_D0
+ :1;
+
+7419 }
+       mb\99
+;
+
+7420 }
+       tPDRD21STR
+;
+
+7422 
+IO_BYTE
+       mby\8b
+;
+
+7424 
+       mIO_BYTE
+ :1;
+
+7425 
+       mIO_BYTE
+ :1;
+
+7426 
+IO_BYTE
+       m_D5
+ :1;
+
+7427 
+IO_BYTE
+       m_D4
+ :1;
+
+7428 
+       mIO_BYTE
+ :1;
+
+7429 
+       mIO_BYTE
+ :1;
+
+7430 
+IO_BYTE
+       m_D1
+ :1;
+
+7431 
+IO_BYTE
+       m_D0
+ :1;
+
+7432 }
+       mb\99
+;
+
+7433 }
+       tPDRD22STR
+;
+
+7435 
+IO_BYTE
+       mby\8b
+;
+
+7437 
+IO_BYTE
+       m_D7
+ :1;
+
+7438 
+IO_BYTE
+       m_D6
+ :1;
+
+7439 
+IO_BYTE
+       m_D5
+ :1;
+
+7440 
+IO_BYTE
+       m_D4
+ :1;
+
+7441 
+IO_BYTE
+       m_D3
+ :1;
+
+7442 
+IO_BYTE
+       m_D2
+ :1;
+
+7443 
+IO_BYTE
+       m_D1
+ :1;
+
+7444 
+IO_BYTE
+       m_D0
+ :1;
+
+7445 }
+       mb\99
+;
+
+7446 }
+       tPDRD24STR
+;
+
+7448 
+IO_BYTE
+       mby\8b
+;
+
+7450 
+       mIO_BYTE
+ :1;
+
+7451 
+       mIO_BYTE
+ :1;
+
+7452 
+       mIO_BYTE
+ :1;
+
+7453 
+       mIO_BYTE
+ :1;
+
+7454 
+       mIO_BYTE
+ :1;
+
+7455 
+       mIO_BYTE
+ :1;
+
+7456 
+IO_BYTE
+       m_D1
+ :1;
+
+7457 
+IO_BYTE
+       m_D0
+ :1;
+
+7458 }
+       mb\99
+;
+
+7459 }
+       tPDRD26STR
+;
+
+7461 
+IO_BYTE
+       mby\8b
+;
+
+7463 
+IO_BYTE
+       m_D7
+ :1;
+
+7464 
+IO_BYTE
+       m_D6
+ :1;
+
+7465 
+IO_BYTE
+       m_D5
+ :1;
+
+7466 
+IO_BYTE
+       m_D4
+ :1;
+
+7467 
+IO_BYTE
+       m_D3
+ :1;
+
+7468 
+IO_BYTE
+       m_D2
+ :1;
+
+7469 
+IO_BYTE
+       m_D1
+ :1;
+
+7470 
+IO_BYTE
+       m_D0
+ :1;
+
+7471 }
+       mb\99
+;
+
+7472 }
+       tPDRD27STR
+;
+
+7474 
+IO_BYTE
+       mby\8b
+;
+
+7476 
+IO_BYTE
+       m_D7
+ :1;
+
+7477 
+IO_BYTE
+       m_D6
+ :1;
+
+7478 
+IO_BYTE
+       m_D5
+ :1;
+
+7479 
+IO_BYTE
+       m_D4
+ :1;
+
+7480 
+IO_BYTE
+       m_D3
+ :1;
+
+7481 
+IO_BYTE
+       m_D2
+ :1;
+
+7482 
+IO_BYTE
+       m_D1
+ :1;
+
+7483 
+IO_BYTE
+       m_D0
+ :1;
+
+7484 }
+       mb\99
+;
+
+7485 }
+       tPDRD28STR
+;
+
+7487 
+IO_BYTE
+       mby\8b
+;
+
+7489 
+IO_BYTE
+       m_D7
+ :1;
+
+7490 
+IO_BYTE
+       m_D6
+ :1;
+
+7491 
+IO_BYTE
+       m_D5
+ :1;
+
+7492 
+IO_BYTE
+       m_D4
+ :1;
+
+7493 
+IO_BYTE
+       m_D3
+ :1;
+
+7494 
+IO_BYTE
+       m_D2
+ :1;
+
+7495 
+IO_BYTE
+       m_D1
+ :1;
+
+7496 
+IO_BYTE
+       m_D0
+ :1;
+
+7497 }
+       mb\99
+;
+
+7498 }
+       tPDRD29STR
+;
+
+7500 
+IO_BYTE
+       mby\8b
+;
+
+7502 
+IO_BYTE
+       m_D7
+ :1;
+
+7503 
+IO_BYTE
+       m_D6
+ :1;
+
+7504 
+IO_BYTE
+       m_D5
+ :1;
+
+7505 
+IO_BYTE
+       m_D4
+ :1;
+
+7506 
+IO_BYTE
+       m_D3
+ :1;
+
+7507 
+IO_BYTE
+       m_D2
+ :1;
+
+7508 
+IO_BYTE
+       m_D1
+ :1;
+
+7509 
+IO_BYTE
+       m_D0
+ :1;
+
+7510 }
+       mb\99
+;
+
+7511 }
+       tDDR14STR
+;
+
+7513 
+IO_BYTE
+       mby\8b
+;
+
+7515 
+IO_BYTE
+       m_D7
+ :1;
+
+7516 
+IO_BYTE
+       m_D6
+ :1;
+
+7517 
+IO_BYTE
+       m_D5
+ :1;
+
+7518 
+IO_BYTE
+       m_D4
+ :1;
+
+7519 
+IO_BYTE
+       m_D3
+ :1;
+
+7520 
+IO_BYTE
+       m_D2
+ :1;
+
+7521 
+IO_BYTE
+       m_D1
+ :1;
+
+7522 
+IO_BYTE
+       m_D0
+ :1;
+
+7523 }
+       mb\99
+;
+
+7524 }
+       tDDR15STR
+;
+
+7526 
+IO_BYTE
+       mby\8b
+;
+
+7528 
+IO_BYTE
+       m_D7
+ :1;
+
+7529 
+IO_BYTE
+       m_D6
+ :1;
+
+7530 
+IO_BYTE
+       m_D5
+ :1;
+
+7531 
+IO_BYTE
+       m_D4
+ :1;
+
+7532 
+IO_BYTE
+       m_D3
+ :1;
+
+7533 
+IO_BYTE
+       m_D2
+ :1;
+
+7534 
+IO_BYTE
+       m_D1
+ :1;
+
+7535 
+IO_BYTE
+       m_D0
+ :1;
+
+7536 }
+       mb\99
+;
+
+7537 }
+       tDDR16STR
+;
+
+7539 
+IO_BYTE
+       mby\8b
+;
+
+7541 
+IO_BYTE
+       m_D7
+ :1;
+
+7542 
+IO_BYTE
+       m_D6
+ :1;
+
+7543 
+IO_BYTE
+       m_D5
+ :1;
+
+7544 
+IO_BYTE
+       m_D4
+ :1;
+
+7545 
+IO_BYTE
+       m_D3
+ :1;
+
+7546 
+IO_BYTE
+       m_D2
+ :1;
+
+7547 
+IO_BYTE
+       m_D1
+ :1;
+
+7548 
+IO_BYTE
+       m_D0
+ :1;
+
+7549 }
+       mb\99
+;
+
+7550 }
+       tDDR17STR
+;
+
+7552 
+IO_BYTE
+       mby\8b
+;
+
+7554 
+       mIO_BYTE
+ :1;
+
+7555 
+IO_BYTE
+       m_D6
+ :1;
+
+7556 
+       mIO_BYTE
+ :1;
+
+7557 
+       mIO_BYTE
+ :1;
+
+7558 
+       mIO_BYTE
+ :1;
+
+7559 
+IO_BYTE
+       m_D2
+ :1;
+
+7560 
+       mIO_BYTE
+ :1;
+
+7561 
+       mIO_BYTE
+ :1;
+
+7562 }
+       mb\99
+;
+
+7563 }
+       tDDR18STR
+;
+
+7565 
+IO_BYTE
+       mby\8b
+;
+
+7567 
+       mIO_BYTE
+ :1;
+
+7568 
+IO_BYTE
+       m_D6
+ :1;
+
+7569 
+       mIO_BYTE
+ :1;
+
+7570 
+       mIO_BYTE
+ :1;
+
+7571 
+       mIO_BYTE
+ :1;
+
+7572 
+IO_BYTE
+       m_D2
+ :1;
+
+7573 
+IO_BYTE
+       m_D1
+ :1;
+
+7574 
+IO_BYTE
+       m_D0
+ :1;
+
+7575 }
+       mb\99
+;
+
+7576 }
+       tDDR19STR
+;
+
+7578 
+IO_BYTE
+       mby\8b
+;
+
+7580 
+IO_BYTE
+       m_D7
+ :1;
+
+7581 
+IO_BYTE
+       m_D6
+ :1;
+
+7582 
+IO_BYTE
+       m_D5
+ :1;
+
+7583 
+IO_BYTE
+       m_D4
+ :1;
+
+7584 
+IO_BYTE
+       m_D3
+ :1;
+
+7585 
+IO_BYTE
+       m_D2
+ :1;
+
+7586 
+IO_BYTE
+       m_D1
+ :1;
+
+7587 
+IO_BYTE
+       m_D0
+ :1;
+
+7588 }
+       mb\99
+;
+
+7589 }
+       tDDR20STR
+;
+
+7591 
+IO_BYTE
+       mby\8b
+;
+
+7593 
+IO_BYTE
+       m_D7
+ :1;
+
+7594 
+IO_BYTE
+       m_D6
+ :1;
+
+7595 
+IO_BYTE
+       m_D5
+ :1;
+
+7596 
+IO_BYTE
+       m_D4
+ :1;
+
+7597 
+IO_BYTE
+       m_D3
+ :1;
+
+7598 
+IO_BYTE
+       m_D2
+ :1;
+
+7599 
+IO_BYTE
+       m_D1
+ :1;
+
+7600 
+IO_BYTE
+       m_D0
+ :1;
+
+7601 }
+       mb\99
+;
+
+7602 }
+       tDDR21STR
+;
+
+7604 
+IO_BYTE
+       mby\8b
+;
+
+7606 
+       mIO_BYTE
+ :1;
+
+7607 
+       mIO_BYTE
+ :1;
+
+7608 
+IO_BYTE
+       m_D5
+ :1;
+
+7609 
+IO_BYTE
+       m_D4
+ :1;
+
+7610 
+       mIO_BYTE
+ :1;
+
+7611 
+       mIO_BYTE
+ :1;
+
+7612 
+IO_BYTE
+       m_D1
+ :1;
+
+7613 
+IO_BYTE
+       m_D0
+ :1;
+
+7614 }
+       mb\99
+;
+
+7615 }
+       tDDR22STR
+;
+
+7617 
+IO_BYTE
+       mby\8b
+;
+
+7619 
+IO_BYTE
+       m_D7
+ :1;
+
+7620 
+IO_BYTE
+       m_D6
+ :1;
+
+7621 
+IO_BYTE
+       m_D5
+ :1;
+
+7622 
+IO_BYTE
+       m_D4
+ :1;
+
+7623 
+IO_BYTE
+       m_D3
+ :1;
+
+7624 
+IO_BYTE
+       m_D2
+ :1;
+
+7625 
+IO_BYTE
+       m_D1
+ :1;
+
+7626 
+IO_BYTE
+       m_D0
+ :1;
+
+7627 }
+       mb\99
+;
+
+7628 }
+       tDDR24STR
+;
+
+7630 
+IO_BYTE
+       mby\8b
+;
+
+7632 
+       mIO_BYTE
+ :1;
+
+7633 
+       mIO_BYTE
+ :1;
+
+7634 
+       mIO_BYTE
+ :1;
+
+7635 
+       mIO_BYTE
+ :1;
+
+7636 
+       mIO_BYTE
+ :1;
+
+7637 
+       mIO_BYTE
+ :1;
+
+7638 
+IO_BYTE
+       m_D1
+ :1;
+
+7639 
+IO_BYTE
+       m_D0
+ :1;
+
+7640 }
+       mb\99
+;
+
+7641 }
+       tDDR26STR
+;
+
+7643 
+IO_BYTE
+       mby\8b
+;
+
+7645 
+IO_BYTE
+       m_D7
+ :1;
+
+7646 
+IO_BYTE
+       m_D6
+ :1;
+
+7647 
+IO_BYTE
+       m_D5
+ :1;
+
+7648 
+IO_BYTE
+       m_D4
+ :1;
+
+7649 
+IO_BYTE
+       m_D3
+ :1;
+
+7650 
+IO_BYTE
+       m_D2
+ :1;
+
+7651 
+IO_BYTE
+       m_D1
+ :1;
+
+7652 
+IO_BYTE
+       m_D0
+ :1;
+
+7653 }
+       mb\99
+;
+
+7654 }
+       tDDR27STR
+;
+
+7656 
+IO_BYTE
+       mby\8b
+;
+
+7658 
+IO_BYTE
+       m_D7
+ :1;
+
+7659 
+IO_BYTE
+       m_D6
+ :1;
+
+7660 
+IO_BYTE
+       m_D5
+ :1;
+
+7661 
+IO_BYTE
+       m_D4
+ :1;
+
+7662 
+IO_BYTE
+       m_D3
+ :1;
+
+7663 
+IO_BYTE
+       m_D2
+ :1;
+
+7664 
+IO_BYTE
+       m_D1
+ :1;
+
+7665 
+IO_BYTE
+       m_D0
+ :1;
+
+7666 }
+       mb\99
+;
+
+7667 }
+       tDDR28STR
+;
+
+7669 
+IO_BYTE
+       mby\8b
+;
+
+7671 
+IO_BYTE
+       m_D7
+ :1;
+
+7672 
+IO_BYTE
+       m_D6
+ :1;
+
+7673 
+IO_BYTE
+       m_D5
+ :1;
+
+7674 
+IO_BYTE
+       m_D4
+ :1;
+
+7675 
+IO_BYTE
+       m_D3
+ :1;
+
+7676 
+IO_BYTE
+       m_D2
+ :1;
+
+7677 
+IO_BYTE
+       m_D1
+ :1;
+
+7678 
+IO_BYTE
+       m_D0
+ :1;
+
+7679 }
+       mb\99
+;
+
+7680 }
+       tDDR29STR
+;
+
+7682 
+IO_BYTE
+       mby\8b
+;
+
+7684 
+IO_BYTE
+       m_D7
+ :1;
+
+7685 
+IO_BYTE
+       m_D6
+ :1;
+
+7686 
+IO_BYTE
+       m_D5
+ :1;
+
+7687 
+IO_BYTE
+       m_D4
+ :1;
+
+7688 
+IO_BYTE
+       m_D3
+ :1;
+
+7689 
+IO_BYTE
+       m_D2
+ :1;
+
+7690 
+IO_BYTE
+       m_D1
+ :1;
+
+7691 
+IO_BYTE
+       m_D0
+ :1;
+
+7692 }
+       mb\99
+;
+
+7693 }
+       tPFR14STR
+;
+
+7695 
+IO_BYTE
+       mby\8b
+;
+
+7697 
+IO_BYTE
+       m_D7
+ :1;
+
+7698 
+IO_BYTE
+       m_D6
+ :1;
+
+7699 
+IO_BYTE
+       m_D5
+ :1;
+
+7700 
+IO_BYTE
+       m_D4
+ :1;
+
+7701 
+IO_BYTE
+       m_D3
+ :1;
+
+7702 
+IO_BYTE
+       m_D2
+ :1;
+
+7703 
+IO_BYTE
+       m_D1
+ :1;
+
+7704 
+IO_BYTE
+       m_D0
+ :1;
+
+7705 }
+       mb\99
+;
+
+7706 }
+       tPFR15STR
+;
+
+7708 
+IO_BYTE
+       mby\8b
+;
+
+7710 
+IO_BYTE
+       m_D7
+ :1;
+
+7711 
+IO_BYTE
+       m_D6
+ :1;
+
+7712 
+IO_BYTE
+       m_D5
+ :1;
+
+7713 
+IO_BYTE
+       m_D4
+ :1;
+
+7714 
+IO_BYTE
+       m_D3
+ :1;
+
+7715 
+IO_BYTE
+       m_D2
+ :1;
+
+7716 
+IO_BYTE
+       m_D1
+ :1;
+
+7717 
+IO_BYTE
+       m_D0
+ :1;
+
+7718 }
+       mb\99
+;
+
+7719 }
+       tPFR16STR
+;
+
+7721 
+IO_BYTE
+       mby\8b
+;
+
+7723 
+IO_BYTE
+       m_D7
+ :1;
+
+7724 
+IO_BYTE
+       m_D6
+ :1;
+
+7725 
+IO_BYTE
+       m_D5
+ :1;
+
+7726 
+IO_BYTE
+       m_D4
+ :1;
+
+7727 
+IO_BYTE
+       m_D3
+ :1;
+
+7728 
+IO_BYTE
+       m_D2
+ :1;
+
+7729 
+IO_BYTE
+       m_D1
+ :1;
+
+7730 
+IO_BYTE
+       m_D0
+ :1;
+
+7731 }
+       mb\99
+;
+
+7732 }
+       tPFR17STR
+;
+
+7734 
+IO_BYTE
+       mby\8b
+;
+
+7736 
+       mIO_BYTE
+ :1;
+
+7737 
+IO_BYTE
+       m_D6
+ :1;
+
+7738 
+       mIO_BYTE
+ :1;
+
+7739 
+       mIO_BYTE
+ :1;
+
+7740 
+       mIO_BYTE
+ :1;
+
+7741 
+IO_BYTE
+       m_D2
+ :1;
+
+7742 
+       mIO_BYTE
+ :1;
+
+7743 
+       mIO_BYTE
+ :1;
+
+7744 }
+       mb\99
+;
+
+7745 }
+       tPFR18STR
+;
+
+7747 
+IO_BYTE
+       mby\8b
+;
+
+7749 
+       mIO_BYTE
+ :1;
+
+7750 
+IO_BYTE
+       m_D6
+ :1;
+
+7751 
+       mIO_BYTE
+ :1;
+
+7752 
+       mIO_BYTE
+ :1;
+
+7753 
+       mIO_BYTE
+ :1;
+
+7754 
+IO_BYTE
+       m_D2
+ :1;
+
+7755 
+IO_BYTE
+       m_D1
+ :1;
+
+7756 
+IO_BYTE
+       m_D0
+ :1;
+
+7757 }
+       mb\99
+;
+
+7758 }
+       tPFR19STR
+;
+
+7760 
+IO_BYTE
+       mby\8b
+;
+
+7762 
+IO_BYTE
+       m_D7
+ :1;
+
+7763 
+IO_BYTE
+       m_D6
+ :1;
+
+7764 
+IO_BYTE
+       m_D5
+ :1;
+
+7765 
+IO_BYTE
+       m_D4
+ :1;
+
+7766 
+IO_BYTE
+       m_D3
+ :1;
+
+7767 
+IO_BYTE
+       m_D2
+ :1;
+
+7768 
+IO_BYTE
+       m_D1
+ :1;
+
+7769 
+IO_BYTE
+       m_D0
+ :1;
+
+7770 }
+       mb\99
+;
+
+7771 }
+       tPFR20STR
+;
+
+7773 
+IO_BYTE
+       mby\8b
+;
+
+7775 
+IO_BYTE
+       m_D7
+ :1;
+
+7776 
+IO_BYTE
+       m_D6
+ :1;
+
+7777 
+IO_BYTE
+       m_D5
+ :1;
+
+7778 
+IO_BYTE
+       m_D4
+ :1;
+
+7779 
+IO_BYTE
+       m_D3
+ :1;
+
+7780 
+IO_BYTE
+       m_D2
+ :1;
+
+7781 
+IO_BYTE
+       m_D1
+ :1;
+
+7782 
+IO_BYTE
+       m_D0
+ :1;
+
+7783 }
+       mb\99
+;
+
+7784 }
+       tPFR21STR
+;
+
+7786 
+IO_BYTE
+       mby\8b
+;
+
+7788 
+       mIO_BYTE
+ :1;
+
+7789 
+       mIO_BYTE
+ :1;
+
+7790 
+IO_BYTE
+       m_D5
+ :1;
+
+7791 
+IO_BYTE
+       m_D4
+ :1;
+
+7792 
+       mIO_BYTE
+ :1;
+
+7793 
+       mIO_BYTE
+ :1;
+
+7794 
+IO_BYTE
+       m_D1
+ :1;
+
+7795 
+IO_BYTE
+       m_D0
+ :1;
+
+7796 }
+       mb\99
+;
+
+7797 }
+       tPFR22STR
+;
+
+7799 
+IO_BYTE
+       mby\8b
+;
+
+7801 
+IO_BYTE
+       m_D7
+ :1;
+
+7802 
+IO_BYTE
+       m_D6
+ :1;
+
+7803 
+IO_BYTE
+       m_D5
+ :1;
+
+7804 
+IO_BYTE
+       m_D4
+ :1;
+
+7805 
+IO_BYTE
+       m_D3
+ :1;
+
+7806 
+IO_BYTE
+       m_D2
+ :1;
+
+7807 
+IO_BYTE
+       m_D1
+ :1;
+
+7808 
+IO_BYTE
+       m_D0
+ :1;
+
+7809 }
+       mb\99
+;
+
+7810 }
+       tPFR24STR
+;
+
+7812 
+IO_BYTE
+       mby\8b
+;
+
+7814 
+       mIO_BYTE
+ :1;
+
+7815 
+       mIO_BYTE
+ :1;
+
+7816 
+       mIO_BYTE
+ :1;
+
+7817 
+       mIO_BYTE
+ :1;
+
+7818 
+       mIO_BYTE
+ :1;
+
+7819 
+       mIO_BYTE
+ :1;
+
+7820 
+IO_BYTE
+       m_D1
+ :1;
+
+7821 
+IO_BYTE
+       m_D0
+ :1;
+
+7822 }
+       mb\99
+;
+
+7823 }
+       tPFR26STR
+;
+
+7825 
+IO_BYTE
+       mby\8b
+;
+
+7827 
+IO_BYTE
+       m_D7
+ :1;
+
+7828 
+IO_BYTE
+       m_D6
+ :1;
+
+7829 
+IO_BYTE
+       m_D5
+ :1;
+
+7830 
+IO_BYTE
+       m_D4
+ :1;
+
+7831 
+IO_BYTE
+       m_D3
+ :1;
+
+7832 
+IO_BYTE
+       m_D2
+ :1;
+
+7833 
+IO_BYTE
+       m_D1
+ :1;
+
+7834 
+IO_BYTE
+       m_D0
+ :1;
+
+7835 }
+       mb\99
+;
+
+7836 }
+       tPFR27STR
+;
+
+7838 
+IO_BYTE
+       mby\8b
+;
+
+7840 
+IO_BYTE
+       m_D7
+ :1;
+
+7841 
+IO_BYTE
+       m_D6
+ :1;
+
+7842 
+IO_BYTE
+       m_D5
+ :1;
+
+7843 
+IO_BYTE
+       m_D4
+ :1;
+
+7844 
+IO_BYTE
+       m_D3
+ :1;
+
+7845 
+IO_BYTE
+       m_D2
+ :1;
+
+7846 
+IO_BYTE
+       m_D1
+ :1;
+
+7847 
+IO_BYTE
+       m_D0
+ :1;
+
+7848 }
+       mb\99
+;
+
+7849 }
+       tPFR28STR
+;
+
+7851 
+IO_BYTE
+       mby\8b
+;
+
+7853 
+IO_BYTE
+       m_D7
+ :1;
+
+7854 
+IO_BYTE
+       m_D6
+ :1;
+
+7855 
+IO_BYTE
+       m_D5
+ :1;
+
+7856 
+IO_BYTE
+       m_D4
+ :1;
+
+7857 
+IO_BYTE
+       m_D3
+ :1;
+
+7858 
+IO_BYTE
+       m_D2
+ :1;
+
+7859 
+IO_BYTE
+       m_D1
+ :1;
+
+7860 
+IO_BYTE
+       m_D0
+ :1;
+
+7861 }
+       mb\99
+;
+
+7862 }
+       tPFR29STR
+;
+
+7864 
+IO_BYTE
+       mby\8b
+;
+
+7866 
+IO_BYTE
+       m_D7
+ :1;
+
+7867 
+IO_BYTE
+       m_D6
+ :1;
+
+7868 
+IO_BYTE
+       m_D5
+ :1;
+
+7869 
+IO_BYTE
+       m_D4
+ :1;
+
+7870 
+IO_BYTE
+       m_D3
+ :1;
+
+7871 
+IO_BYTE
+       m_D2
+ :1;
+
+7872 
+IO_BYTE
+       m_D1
+ :1;
+
+7873 
+IO_BYTE
+       m_D0
+ :1;
+
+7874 }
+       mb\99
+;
+
+7875 }
+       tEPFR14STR
+;
+
+7877 
+IO_BYTE
+       mby\8b
+;
+
+7879 
+IO_BYTE
+       m_D7
+ :1;
+
+7880 
+IO_BYTE
+       m_D6
+ :1;
+
+7881 
+IO_BYTE
+       m_D5
+ :1;
+
+7882 
+IO_BYTE
+       m_D4
+ :1;
+
+7883 
+IO_BYTE
+       m_D3
+ :1;
+
+7884 
+IO_BYTE
+       m_D2
+ :1;
+
+7885 
+IO_BYTE
+       m_D1
+ :1;
+
+7886 
+IO_BYTE
+       m_D0
+ :1;
+
+7887 }
+       mb\99
+;
+
+7888 }
+       tEPFR15STR
+;
+
+7890 
+IO_BYTE
+       mby\8b
+;
+
+7892 
+IO_BYTE
+       m_D7
+ :1;
+
+7893 
+       mIO_BYTE
+ :1;
+
+7894 
+       mIO_BYTE
+ :1;
+
+7895 
+       mIO_BYTE
+ :1;
+
+7896 
+       mIO_BYTE
+ :1;
+
+7897 
+       mIO_BYTE
+ :1;
+
+7898 
+       mIO_BYTE
+ :1;
+
+7899 
+       mIO_BYTE
+ :1;
+
+7900 }
+       mb\99
+;
+
+7901 }
+       tEPFR16STR
+;
+
+7903 
+IO_BYTE
+       mby\8b
+;
+
+7905 
+       mIO_BYTE
+ :1;
+
+7906 
+IO_BYTE
+       m_D6
+ :1;
+
+7907 
+       mIO_BYTE
+ :1;
+
+7908 
+       mIO_BYTE
+ :1;
+
+7909 
+       mIO_BYTE
+ :1;
+
+7910 
+IO_BYTE
+       m_D2
+ :1;
+
+7911 
+       mIO_BYTE
+ :1;
+
+7912 
+       mIO_BYTE
+ :1;
+
+7913 }
+       mb\99
+;
+
+7914 }
+       tEPFR18STR
+;
+
+7916 
+IO_BYTE
+       mby\8b
+;
+
+7918 
+       mIO_BYTE
+ :1;
+
+7919 
+IO_BYTE
+       m_D6
+ :1;
+
+7920 
+       mIO_BYTE
+ :1;
+
+7921 
+       mIO_BYTE
+ :1;
+
+7922 
+       mIO_BYTE
+ :1;
+
+7923 
+IO_BYTE
+       m_D2
+ :1;
+
+7924 
+       mIO_BYTE
+ :1;
+
+7925 
+       mIO_BYTE
+ :1;
+
+7926 }
+       mb\99
+;
+
+7927 }
+       tEPFR19STR
+;
+
+7929 
+IO_BYTE
+       mby\8b
+;
+
+7931 
+       mIO_BYTE
+ :1;
+
+7932 
+IO_BYTE
+       m_D6
+ :1;
+
+7933 
+       mIO_BYTE
+ :1;
+
+7934 
+       mIO_BYTE
+ :1;
+
+7935 
+       mIO_BYTE
+ :1;
+
+7936 
+IO_BYTE
+       m_D2
+ :1;
+
+7937 
+       mIO_BYTE
+ :1;
+
+7938 
+       mIO_BYTE
+ :1;
+
+7939 }
+       mb\99
+;
+
+7940 }
+       tEPFR20STR
+;
+
+7942 
+IO_BYTE
+       mby\8b
+;
+
+7944 
+       mIO_BYTE
+ :1;
+
+7945 
+IO_BYTE
+       m_D6
+ :1;
+
+7946 
+       mIO_BYTE
+ :1;
+
+7947 
+       mIO_BYTE
+ :1;
+
+7948 
+       mIO_BYTE
+ :1;
+
+7949 
+IO_BYTE
+       m_D2
+ :1;
+
+7950 
+       mIO_BYTE
+ :1;
+
+7951 
+       mIO_BYTE
+ :1;
+
+7952 }
+       mb\99
+;
+
+7953 }
+       tEPFR21STR
+;
+
+7955 
+IO_BYTE
+       mby\8b
+;
+
+7957 
+       mIO_BYTE
+ :1;
+
+7958 
+       mIO_BYTE
+ :1;
+
+7959 
+       mIO_BYTE
+ :1;
+
+7960 
+       mIO_BYTE
+ :1;
+
+7961 
+       mIO_BYTE
+ :1;
+
+7962 
+       mIO_BYTE
+ :1;
+
+7963 
+IO_BYTE
+       m_D1
+ :1;
+
+7964 
+IO_BYTE
+       m_D0
+ :1;
+
+7965 }
+       mb\99
+;
+
+7966 }
+       tEPFR26STR
+;
+
+7968 
+IO_BYTE
+       mby\8b
+;
+
+7970 
+IO_BYTE
+       m_D7
+ :1;
+
+7971 
+IO_BYTE
+       m_D6
+ :1;
+
+7972 
+IO_BYTE
+       m_D5
+ :1;
+
+7973 
+IO_BYTE
+       m_D4
+ :1;
+
+7974 
+IO_BYTE
+       m_D3
+ :1;
+
+7975 
+IO_BYTE
+       m_D2
+ :1;
+
+7976 
+IO_BYTE
+       m_D1
+ :1;
+
+7977 
+IO_BYTE
+       m_D0
+ :1;
+
+7978 }
+       mb\99
+;
+
+7979 }
+       tEPFR27STR
+;
+
+7981 
+IO_BYTE
+       mby\8b
+;
+
+7983 
+IO_BYTE
+       m_D7
+ :1;
+
+7984 
+IO_BYTE
+       m_D6
+ :1;
+
+7985 
+IO_BYTE
+       m_D5
+ :1;
+
+7986 
+IO_BYTE
+       m_D4
+ :1;
+
+7987 
+IO_BYTE
+       m_D3
+ :1;
+
+7988 
+IO_BYTE
+       m_D2
+ :1;
+
+7989 
+IO_BYTE
+       m_D1
+ :1;
+
+7990 
+IO_BYTE
+       m_D0
+ :1;
+
+7991 }
+       mb\99
+;
+
+7992 }
+       tPODR14STR
+;
+
+7994 
+IO_BYTE
+       mby\8b
+;
+
+7996 
+IO_BYTE
+       m_D7
+ :1;
+
+7997 
+IO_BYTE
+       m_D6
+ :1;
+
+7998 
+IO_BYTE
+       m_D5
+ :1;
+
+7999 
+IO_BYTE
+       m_D4
+ :1;
+
+8000 
+IO_BYTE
+       m_D3
+ :1;
+
+8001 
+IO_BYTE
+       m_D2
+ :1;
+
+8002 
+IO_BYTE
+       m_D1
+ :1;
+
+8003 
+IO_BYTE
+       m_D0
+ :1;
+
+8004 }
+       mb\99
+;
+
+8005 }
+       tPODR15STR
+;
+
+8007 
+IO_BYTE
+       mby\8b
+;
+
+8009 
+IO_BYTE
+       m_D7
+ :1;
+
+8010 
+IO_BYTE
+       m_D6
+ :1;
+
+8011 
+IO_BYTE
+       m_D5
+ :1;
+
+8012 
+IO_BYTE
+       m_D4
+ :1;
+
+8013 
+IO_BYTE
+       m_D3
+ :1;
+
+8014 
+IO_BYTE
+       m_D2
+ :1;
+
+8015 
+IO_BYTE
+       m_D1
+ :1;
+
+8016 
+IO_BYTE
+       m_D0
+ :1;
+
+8017 }
+       mb\99
+;
+
+8018 }
+       tPODR16STR
+;
+
+8020 
+IO_BYTE
+       mby\8b
+;
+
+8022 
+IO_BYTE
+       m_D7
+ :1;
+
+8023 
+IO_BYTE
+       m_D6
+ :1;
+
+8024 
+IO_BYTE
+       m_D5
+ :1;
+
+8025 
+IO_BYTE
+       m_D4
+ :1;
+
+8026 
+IO_BYTE
+       m_D3
+ :1;
+
+8027 
+IO_BYTE
+       m_D2
+ :1;
+
+8028 
+IO_BYTE
+       m_D1
+ :1;
+
+8029 
+IO_BYTE
+       m_D0
+ :1;
+
+8030 }
+       mb\99
+;
+
+8031 }
+       tPODR17STR
+;
+
+8033 
+IO_BYTE
+       mby\8b
+;
+
+8035 
+       mIO_BYTE
+ :1;
+
+8036 
+IO_BYTE
+       m_D6
+ :1;
+
+8037 
+       mIO_BYTE
+ :1;
+
+8038 
+       mIO_BYTE
+ :1;
+
+8039 
+       mIO_BYTE
+ :1;
+
+8040 
+IO_BYTE
+       m_D2
+ :1;
+
+8041 
+       mIO_BYTE
+ :1;
+
+8042 
+       mIO_BYTE
+ :1;
+
+8043 }
+       mb\99
+;
+
+8044 }
+       tPODR18STR
+;
+
+8046 
+IO_BYTE
+       mby\8b
+;
+
+8048 
+       mIO_BYTE
+ :1;
+
+8049 
+IO_BYTE
+       m_D6
+ :1;
+
+8050 
+       mIO_BYTE
+ :1;
+
+8051 
+       mIO_BYTE
+ :1;
+
+8052 
+       mIO_BYTE
+ :1;
+
+8053 
+IO_BYTE
+       m_D2
+ :1;
+
+8054 
+IO_BYTE
+       m_D1
+ :1;
+
+8055 
+IO_BYTE
+       m_D0
+ :1;
+
+8056 }
+       mb\99
+;
+
+8057 }
+       tPODR19STR
+;
+
+8059 
+IO_BYTE
+       mby\8b
+;
+
+8061 
+IO_BYTE
+       m_D7
+ :1;
+
+8062 
+IO_BYTE
+       m_D6
+ :1;
+
+8063 
+IO_BYTE
+       m_D5
+ :1;
+
+8064 
+IO_BYTE
+       m_D4
+ :1;
+
+8065 
+IO_BYTE
+       m_D3
+ :1;
+
+8066 
+IO_BYTE
+       m_D2
+ :1;
+
+8067 
+IO_BYTE
+       m_D1
+ :1;
+
+8068 
+IO_BYTE
+       m_D0
+ :1;
+
+8069 }
+       mb\99
+;
+
+8070 }
+       tPODR20STR
+;
+
+8072 
+IO_BYTE
+       mby\8b
+;
+
+8074 
+IO_BYTE
+       m_D7
+ :1;
+
+8075 
+IO_BYTE
+       m_D6
+ :1;
+
+8076 
+IO_BYTE
+       m_D5
+ :1;
+
+8077 
+IO_BYTE
+       m_D4
+ :1;
+
+8078 
+IO_BYTE
+       m_D3
+ :1;
+
+8079 
+IO_BYTE
+       m_D2
+ :1;
+
+8080 
+IO_BYTE
+       m_D1
+ :1;
+
+8081 
+IO_BYTE
+       m_D0
+ :1;
+
+8082 }
+       mb\99
+;
+
+8083 }
+       tPODR21STR
+;
+
+8085 
+IO_BYTE
+       mby\8b
+;
+
+8087 
+       mIO_BYTE
+ :1;
+
+8088 
+       mIO_BYTE
+ :1;
+
+8089 
+IO_BYTE
+       m_D5
+ :1;
+
+8090 
+IO_BYTE
+       m_D4
+ :1;
+
+8091 
+       mIO_BYTE
+ :1;
+
+8092 
+       mIO_BYTE
+ :1;
+
+8093 
+IO_BYTE
+       m_D1
+ :1;
+
+8094 
+IO_BYTE
+       m_D0
+ :1;
+
+8095 }
+       mb\99
+;
+
+8096 }
+       tPODR22STR
+;
+
+8098 
+IO_BYTE
+       mby\8b
+;
+
+8100 
+IO_BYTE
+       m_D7
+ :1;
+
+8101 
+IO_BYTE
+       m_D6
+ :1;
+
+8102 
+IO_BYTE
+       m_D5
+ :1;
+
+8103 
+IO_BYTE
+       m_D4
+ :1;
+
+8104 
+IO_BYTE
+       m_D3
+ :1;
+
+8105 
+IO_BYTE
+       m_D2
+ :1;
+
+8106 
+IO_BYTE
+       m_D1
+ :1;
+
+8107 
+IO_BYTE
+       m_D0
+ :1;
+
+8108 }
+       mb\99
+;
+
+8109 }
+       tPODR24STR
+;
+
+8111 
+IO_BYTE
+       mby\8b
+;
+
+8113 
+       mIO_BYTE
+ :1;
+
+8114 
+       mIO_BYTE
+ :1;
+
+8115 
+       mIO_BYTE
+ :1;
+
+8116 
+       mIO_BYTE
+ :1;
+
+8117 
+       mIO_BYTE
+ :1;
+
+8118 
+       mIO_BYTE
+ :1;
+
+8119 
+IO_BYTE
+       m_D1
+ :1;
+
+8120 
+IO_BYTE
+       m_D0
+ :1;
+
+8121 }
+       mb\99
+;
+
+8122 }
+       tPODR26STR
+;
+
+8124 
+IO_BYTE
+       mby\8b
+;
+
+8126 
+IO_BYTE
+       m_D7
+ :1;
+
+8127 
+IO_BYTE
+       m_D6
+ :1;
+
+8128 
+IO_BYTE
+       m_D5
+ :1;
+
+8129 
+IO_BYTE
+       m_D4
+ :1;
+
+8130 
+IO_BYTE
+       m_D3
+ :1;
+
+8131 
+IO_BYTE
+       m_D2
+ :1;
+
+8132 
+IO_BYTE
+       m_D1
+ :1;
+
+8133 
+IO_BYTE
+       m_D0
+ :1;
+
+8134 }
+       mb\99
+;
+
+8135 }
+       tPODR27STR
+;
+
+8137 
+IO_BYTE
+       mby\8b
+;
+
+8139 
+IO_BYTE
+       m_D7
+ :1;
+
+8140 
+IO_BYTE
+       m_D6
+ :1;
+
+8141 
+IO_BYTE
+       m_D5
+ :1;
+
+8142 
+IO_BYTE
+       m_D4
+ :1;
+
+8143 
+IO_BYTE
+       m_D3
+ :1;
+
+8144 
+IO_BYTE
+       m_D2
+ :1;
+
+8145 
+IO_BYTE
+       m_D1
+ :1;
+
+8146 
+IO_BYTE
+       m_D0
+ :1;
+
+8147 }
+       mb\99
+;
+
+8148 }
+       tPODR28STR
+;
+
+8150 
+IO_BYTE
+       mby\8b
+;
+
+8152 
+IO_BYTE
+       m_D7
+ :1;
+
+8153 
+IO_BYTE
+       m_D6
+ :1;
+
+8154 
+IO_BYTE
+       m_D5
+ :1;
+
+8155 
+IO_BYTE
+       m_D4
+ :1;
+
+8156 
+IO_BYTE
+       m_D3
+ :1;
+
+8157 
+IO_BYTE
+       m_D2
+ :1;
+
+8158 
+IO_BYTE
+       m_D1
+ :1;
+
+8159 
+IO_BYTE
+       m_D0
+ :1;
+
+8160 }
+       mb\99
+;
+
+8161 }
+       tPODR29STR
+;
+
+8163 
+IO_BYTE
+       mby\8b
+;
+
+8165 
+IO_BYTE
+       m_D7
+ :1;
+
+8166 
+IO_BYTE
+       m_D6
+ :1;
+
+8167 
+IO_BYTE
+       m_D5
+ :1;
+
+8168 
+IO_BYTE
+       m_D4
+ :1;
+
+8169 
+IO_BYTE
+       m_D3
+ :1;
+
+8170 
+IO_BYTE
+       m_D2
+ :1;
+
+8171 
+IO_BYTE
+       m_D1
+ :1;
+
+8172 
+IO_BYTE
+       m_D0
+ :1;
+
+8173 }
+       mb\99
+;
+
+8174 }
+       tPILR14STR
+;
+
+8176 
+IO_BYTE
+       mby\8b
+;
+
+8178 
+IO_BYTE
+       m_D7
+ :1;
+
+8179 
+IO_BYTE
+       m_D6
+ :1;
+
+8180 
+IO_BYTE
+       m_D5
+ :1;
+
+8181 
+IO_BYTE
+       m_D4
+ :1;
+
+8182 
+IO_BYTE
+       m_D3
+ :1;
+
+8183 
+IO_BYTE
+       m_D2
+ :1;
+
+8184 
+IO_BYTE
+       m_D1
+ :1;
+
+8185 
+IO_BYTE
+       m_D0
+ :1;
+
+8186 }
+       mb\99
+;
+
+8187 }
+       tPILR15STR
+;
+
+8189 
+IO_BYTE
+       mby\8b
+;
+
+8191 
+IO_BYTE
+       m_D7
+ :1;
+
+8192 
+IO_BYTE
+       m_D6
+ :1;
+
+8193 
+IO_BYTE
+       m_D5
+ :1;
+
+8194 
+IO_BYTE
+       m_D4
+ :1;
+
+8195 
+IO_BYTE
+       m_D3
+ :1;
+
+8196 
+IO_BYTE
+       m_D2
+ :1;
+
+8197 
+IO_BYTE
+       m_D1
+ :1;
+
+8198 
+IO_BYTE
+       m_D0
+ :1;
+
+8199 }
+       mb\99
+;
+
+8200 }
+       tPILR16STR
+;
+
+8202 
+IO_BYTE
+       mby\8b
+;
+
+8204 
+IO_BYTE
+       m_D7
+ :1;
+
+8205 
+IO_BYTE
+       m_D6
+ :1;
+
+8206 
+IO_BYTE
+       m_D5
+ :1;
+
+8207 
+IO_BYTE
+       m_D4
+ :1;
+
+8208 
+IO_BYTE
+       m_D3
+ :1;
+
+8209 
+IO_BYTE
+       m_D2
+ :1;
+
+8210 
+IO_BYTE
+       m_D1
+ :1;
+
+8211 
+IO_BYTE
+       m_D0
+ :1;
+
+8212 }
+       mb\99
+;
+
+8213 }
+       tPILR17STR
+;
+
+8215 
+IO_BYTE
+       mby\8b
+;
+
+8217 
+       mIO_BYTE
+ :1;
+
+8218 
+IO_BYTE
+       m_D6
+ :1;
+
+8219 
+       mIO_BYTE
+ :1;
+
+8220 
+       mIO_BYTE
+ :1;
+
+8221 
+       mIO_BYTE
+ :1;
+
+8222 
+IO_BYTE
+       m_D2
+ :1;
+
+8223 
+       mIO_BYTE
+ :1;
+
+8224 
+       mIO_BYTE
+ :1;
+
+8225 }
+       mb\99
+;
+
+8226 }
+       tPILR18STR
+;
+
+8228 
+IO_BYTE
+       mby\8b
+;
+
+8230 
+       mIO_BYTE
+ :1;
+
+8231 
+IO_BYTE
+       m_D6
+ :1;
+
+8232 
+       mIO_BYTE
+ :1;
+
+8233 
+       mIO_BYTE
+ :1;
+
+8234 
+       mIO_BYTE
+ :1;
+
+8235 
+IO_BYTE
+       m_D2
+ :1;
+
+8236 
+IO_BYTE
+       m_D1
+ :1;
+
+8237 
+IO_BYTE
+       m_D0
+ :1;
+
+8238 }
+       mb\99
+;
+
+8239 }
+       tPILR19STR
+;
+
+8241 
+IO_BYTE
+       mby\8b
+;
+
+8243 
+IO_BYTE
+       m_D7
+ :1;
+
+8244 
+IO_BYTE
+       m_D6
+ :1;
+
+8245 
+IO_BYTE
+       m_D5
+ :1;
+
+8246 
+IO_BYTE
+       m_D4
+ :1;
+
+8247 
+IO_BYTE
+       m_D3
+ :1;
+
+8248 
+IO_BYTE
+       m_D2
+ :1;
+
+8249 
+IO_BYTE
+       m_D1
+ :1;
+
+8250 
+IO_BYTE
+       m_D0
+ :1;
+
+8251 }
+       mb\99
+;
+
+8252 }
+       tPILR20STR
+;
+
+8254 
+IO_BYTE
+       mby\8b
+;
+
+8256 
+IO_BYTE
+       m_D7
+ :1;
+
+8257 
+IO_BYTE
+       m_D6
+ :1;
+
+8258 
+IO_BYTE
+       m_D5
+ :1;
+
+8259 
+IO_BYTE
+       m_D4
+ :1;
+
+8260 
+IO_BYTE
+       m_D3
+ :1;
+
+8261 
+IO_BYTE
+       m_D2
+ :1;
+
+8262 
+IO_BYTE
+       m_D1
+ :1;
+
+8263 
+IO_BYTE
+       m_D0
+ :1;
+
+8264 }
+       mb\99
+;
+
+8265 }
+       tPILR21STR
+;
+
+8267 
+IO_BYTE
+       mby\8b
+;
+
+8269 
+       mIO_BYTE
+ :1;
+
+8270 
+       mIO_BYTE
+ :1;
+
+8271 
+IO_BYTE
+       m_D5
+ :1;
+
+8272 
+IO_BYTE
+       m_D4
+ :1;
+
+8273 
+       mIO_BYTE
+ :1;
+
+8274 
+       mIO_BYTE
+ :1;
+
+8275 
+IO_BYTE
+       m_D1
+ :1;
+
+8276 
+IO_BYTE
+       m_D0
+ :1;
+
+8277 }
+       mb\99
+;
+
+8278 }
+       tPILR22STR
+;
+
+8280 
+IO_BYTE
+       mby\8b
+;
+
+8282 
+IO_BYTE
+       m_D7
+ :1;
+
+8283 
+IO_BYTE
+       m_D6
+ :1;
+
+8284 
+IO_BYTE
+       m_D5
+ :1;
+
+8285 
+IO_BYTE
+       m_D4
+ :1;
+
+8286 
+IO_BYTE
+       m_D3
+ :1;
+
+8287 
+IO_BYTE
+       m_D2
+ :1;
+
+8288 
+IO_BYTE
+       m_D1
+ :1;
+
+8289 
+IO_BYTE
+       m_D0
+ :1;
+
+8290 }
+       mb\99
+;
+
+8291 }
+       tPILR24STR
+;
+
+8293 
+IO_BYTE
+       mby\8b
+;
+
+8295 
+       mIO_BYTE
+ :1;
+
+8296 
+       mIO_BYTE
+ :1;
+
+8297 
+       mIO_BYTE
+ :1;
+
+8298 
+       mIO_BYTE
+ :1;
+
+8299 
+       mIO_BYTE
+ :1;
+
+8300 
+       mIO_BYTE
+ :1;
+
+8301 
+IO_BYTE
+       m_D1
+ :1;
+
+8302 
+IO_BYTE
+       m_D0
+ :1;
+
+8303 }
+       mb\99
+;
+
+8304 }
+       tPILR26STR
+;
+
+8306 
+IO_BYTE
+       mby\8b
+;
+
+8308 
+IO_BYTE
+       m_D7
+ :1;
+
+8309 
+IO_BYTE
+       m_D6
+ :1;
+
+8310 
+IO_BYTE
+       m_D5
+ :1;
+
+8311 
+IO_BYTE
+       m_D4
+ :1;
+
+8312 
+IO_BYTE
+       m_D3
+ :1;
+
+8313 
+IO_BYTE
+       m_D2
+ :1;
+
+8314 
+IO_BYTE
+       m_D1
+ :1;
+
+8315 
+IO_BYTE
+       m_D0
+ :1;
+
+8316 }
+       mb\99
+;
+
+8317 }
+       tPILR27STR
+;
+
+8319 
+IO_BYTE
+       mby\8b
+;
+
+8321 
+IO_BYTE
+       m_D7
+ :1;
+
+8322 
+IO_BYTE
+       m_D6
+ :1;
+
+8323 
+IO_BYTE
+       m_D5
+ :1;
+
+8324 
+IO_BYTE
+       m_D4
+ :1;
+
+8325 
+IO_BYTE
+       m_D3
+ :1;
+
+8326 
+IO_BYTE
+       m_D2
+ :1;
+
+8327 
+IO_BYTE
+       m_D1
+ :1;
+
+8328 
+IO_BYTE
+       m_D0
+ :1;
+
+8329 }
+       mb\99
+;
+
+8330 }
+       tPILR28STR
+;
+
+8332 
+IO_BYTE
+       mby\8b
+;
+
+8334 
+IO_BYTE
+       m_D7
+ :1;
+
+8335 
+IO_BYTE
+       m_D6
+ :1;
+
+8336 
+IO_BYTE
+       m_D5
+ :1;
+
+8337 
+IO_BYTE
+       m_D4
+ :1;
+
+8338 
+IO_BYTE
+       m_D3
+ :1;
+
+8339 
+IO_BYTE
+       m_D2
+ :1;
+
+8340 
+IO_BYTE
+       m_D1
+ :1;
+
+8341 
+IO_BYTE
+       m_D0
+ :1;
+
+8342 }
+       mb\99
+;
+
+8343 }
+       tPILR29STR
+;
+
+8345 
+IO_BYTE
+       mby\8b
+;
+
+8347 
+IO_BYTE
+       m_D7
+ :1;
+
+8348 
+IO_BYTE
+       m_D6
+ :1;
+
+8349 
+IO_BYTE
+       m_D5
+ :1;
+
+8350 
+IO_BYTE
+       m_D4
+ :1;
+
+8351 
+IO_BYTE
+       m_D3
+ :1;
+
+8352 
+IO_BYTE
+       m_D2
+ :1;
+
+8353 
+IO_BYTE
+       m_D1
+ :1;
+
+8354 
+IO_BYTE
+       m_D0
+ :1;
+
+8355 }
+       mb\99
+;
+
+8356 }
+       tEPILR14STR
+;
+
+8358 
+IO_BYTE
+       mby\8b
+;
+
+8360 
+IO_BYTE
+       m_D7
+ :1;
+
+8361 
+IO_BYTE
+       m_D6
+ :1;
+
+8362 
+IO_BYTE
+       m_D5
+ :1;
+
+8363 
+IO_BYTE
+       m_D4
+ :1;
+
+8364 
+IO_BYTE
+       m_D3
+ :1;
+
+8365 
+IO_BYTE
+       m_D2
+ :1;
+
+8366 
+IO_BYTE
+       m_D1
+ :1;
+
+8367 
+IO_BYTE
+       m_D0
+ :1;
+
+8368 }
+       mb\99
+;
+
+8369 }
+       tEPILR15STR
+;
+
+8371 
+IO_BYTE
+       mby\8b
+;
+
+8373 
+IO_BYTE
+       m_D7
+ :1;
+
+8374 
+IO_BYTE
+       m_D6
+ :1;
+
+8375 
+IO_BYTE
+       m_D5
+ :1;
+
+8376 
+IO_BYTE
+       m_D4
+ :1;
+
+8377 
+IO_BYTE
+       m_D3
+ :1;
+
+8378 
+IO_BYTE
+       m_D2
+ :1;
+
+8379 
+IO_BYTE
+       m_D1
+ :1;
+
+8380 
+IO_BYTE
+       m_D0
+ :1;
+
+8381 }
+       mb\99
+;
+
+8382 }
+       tEPILR16STR
+;
+
+8384 
+IO_BYTE
+       mby\8b
+;
+
+8386 
+IO_BYTE
+       m_D7
+ :1;
+
+8387 
+IO_BYTE
+       m_D6
+ :1;
+
+8388 
+IO_BYTE
+       m_D5
+ :1;
+
+8389 
+IO_BYTE
+       m_D4
+ :1;
+
+8390 
+IO_BYTE
+       m_D3
+ :1;
+
+8391 
+IO_BYTE
+       m_D2
+ :1;
+
+8392 
+IO_BYTE
+       m_D1
+ :1;
+
+8393 
+IO_BYTE
+       m_D0
+ :1;
+
+8394 }
+       mb\99
+;
+
+8395 }
+       tEPILR17STR
+;
+
+8397 
+IO_BYTE
+       mby\8b
+;
+
+8399 
+       mIO_BYTE
+ :1;
+
+8400 
+IO_BYTE
+       m_D6
+ :1;
+
+8401 
+       mIO_BYTE
+ :1;
+
+8402 
+       mIO_BYTE
+ :1;
+
+8403 
+       mIO_BYTE
+ :1;
+
+8404 
+IO_BYTE
+       m_D2
+ :1;
+
+8405 
+       mIO_BYTE
+ :1;
+
+8406 
+       mIO_BYTE
+ :1;
+
+8407 }
+       mb\99
+;
+
+8408 }
+       tEPILR18STR
+;
+
+8410 
+IO_BYTE
+       mby\8b
+;
+
+8412 
+       mIO_BYTE
+ :1;
+
+8413 
+IO_BYTE
+       m_D6
+ :1;
+
+8414 
+       mIO_BYTE
+ :1;
+
+8415 
+       mIO_BYTE
+ :1;
+
+8416 
+       mIO_BYTE
+ :1;
+
+8417 
+IO_BYTE
+       m_D2
+ :1;
+
+8418 
+IO_BYTE
+       m_D1
+ :1;
+
+8419 
+IO_BYTE
+       m_D0
+ :1;
+
+8420 }
+       mb\99
+;
+
+8421 }
+       tEPILR19STR
+;
+
+8423 
+IO_BYTE
+       mby\8b
+;
+
+8425 
+IO_BYTE
+       m_D7
+ :1;
+
+8426 
+IO_BYTE
+       m_D6
+ :1;
+
+8427 
+IO_BYTE
+       m_D5
+ :1;
+
+8428 
+IO_BYTE
+       m_D4
+ :1;
+
+8429 
+IO_BYTE
+       m_D3
+ :1;
+
+8430 
+IO_BYTE
+       m_D2
+ :1;
+
+8431 
+IO_BYTE
+       m_D1
+ :1;
+
+8432 
+IO_BYTE
+       m_D0
+ :1;
+
+8433 }
+       mb\99
+;
+
+8434 }
+       tEPILR20STR
+;
+
+8436 
+IO_BYTE
+       mby\8b
+;
+
+8438 
+IO_BYTE
+       m_D7
+ :1;
+
+8439 
+IO_BYTE
+       m_D6
+ :1;
+
+8440 
+IO_BYTE
+       m_D5
+ :1;
+
+8441 
+IO_BYTE
+       m_D4
+ :1;
+
+8442 
+IO_BYTE
+       m_D3
+ :1;
+
+8443 
+IO_BYTE
+       m_D2
+ :1;
+
+8444 
+IO_BYTE
+       m_D1
+ :1;
+
+8445 
+IO_BYTE
+       m_D0
+ :1;
+
+8446 }
+       mb\99
+;
+
+8447 }
+       tEPILR21STR
+;
+
+8449 
+IO_BYTE
+       mby\8b
+;
+
+8451 
+       mIO_BYTE
+ :1;
+
+8452 
+       mIO_BYTE
+ :1;
+
+8453 
+IO_BYTE
+       m_D5
+ :1;
+
+8454 
+IO_BYTE
+       m_D4
+ :1;
+
+8455 
+       mIO_BYTE
+ :1;
+
+8456 
+       mIO_BYTE
+ :1;
+
+8457 
+IO_BYTE
+       m_D1
+ :1;
+
+8458 
+IO_BYTE
+       m_D0
+ :1;
+
+8459 }
+       mb\99
+;
+
+8460 }
+       tEPILR22STR
+;
+
+8462 
+IO_BYTE
+       mby\8b
+;
+
+8464 
+IO_BYTE
+       m_D7
+ :1;
+
+8465 
+IO_BYTE
+       m_D6
+ :1;
+
+8466 
+IO_BYTE
+       m_D5
+ :1;
+
+8467 
+IO_BYTE
+       m_D4
+ :1;
+
+8468 
+IO_BYTE
+       m_D3
+ :1;
+
+8469 
+IO_BYTE
+       m_D2
+ :1;
+
+8470 
+IO_BYTE
+       m_D1
+ :1;
+
+8471 
+IO_BYTE
+       m_D0
+ :1;
+
+8472 }
+       mb\99
+;
+
+8473 }
+       tEPILR24STR
+;
+
+8475 
+IO_BYTE
+       mby\8b
+;
+
+8477 
+       mIO_BYTE
+ :1;
+
+8478 
+       mIO_BYTE
+ :1;
+
+8479 
+       mIO_BYTE
+ :1;
+
+8480 
+       mIO_BYTE
+ :1;
+
+8481 
+       mIO_BYTE
+ :1;
+
+8482 
+       mIO_BYTE
+ :1;
+
+8483 
+IO_BYTE
+       m_D1
+ :1;
+
+8484 
+IO_BYTE
+       m_D0
+ :1;
+
+8485 }
+       mb\99
+;
+
+8486 }
+       tEPILR26STR
+;
+
+8488 
+IO_BYTE
+       mby\8b
+;
+
+8490 
+IO_BYTE
+       m_D7
+ :1;
+
+8491 
+IO_BYTE
+       m_D6
+ :1;
+
+8492 
+IO_BYTE
+       m_D5
+ :1;
+
+8493 
+IO_BYTE
+       m_D4
+ :1;
+
+8494 
+IO_BYTE
+       m_D3
+ :1;
+
+8495 
+IO_BYTE
+       m_D2
+ :1;
+
+8496 
+IO_BYTE
+       m_D1
+ :1;
+
+8497 
+IO_BYTE
+       m_D0
+ :1;
+
+8498 }
+       mb\99
+;
+
+8499 }
+       tEPILR27STR
+;
+
+8501 
+IO_BYTE
+       mby\8b
+;
+
+8503 
+IO_BYTE
+       m_D7
+ :1;
+
+8504 
+IO_BYTE
+       m_D6
+ :1;
+
+8505 
+IO_BYTE
+       m_D5
+ :1;
+
+8506 
+IO_BYTE
+       m_D4
+ :1;
+
+8507 
+IO_BYTE
+       m_D3
+ :1;
+
+8508 
+IO_BYTE
+       m_D2
+ :1;
+
+8509 
+IO_BYTE
+       m_D1
+ :1;
+
+8510 
+IO_BYTE
+       m_D0
+ :1;
+
+8511 }
+       mb\99
+;
+
+8512 }
+       tEPILR28STR
+;
+
+8514 
+IO_BYTE
+       mby\8b
+;
+
+8516 
+IO_BYTE
+       m_D7
+ :1;
+
+8517 
+IO_BYTE
+       m_D6
+ :1;
+
+8518 
+IO_BYTE
+       m_D5
+ :1;
+
+8519 
+IO_BYTE
+       m_D4
+ :1;
+
+8520 
+IO_BYTE
+       m_D3
+ :1;
+
+8521 
+IO_BYTE
+       m_D2
+ :1;
+
+8522 
+IO_BYTE
+       m_D1
+ :1;
+
+8523 
+IO_BYTE
+       m_D0
+ :1;
+
+8524 }
+       mb\99
+;
+
+8525 }
+       tEPILR29STR
+;
+
+8527 
+IO_BYTE
+       mby\8b
+;
+
+8529 
+IO_BYTE
+       m_D7
+ :1;
+
+8530 
+IO_BYTE
+       m_D6
+ :1;
+
+8531 
+IO_BYTE
+       m_D5
+ :1;
+
+8532 
+IO_BYTE
+       m_D4
+ :1;
+
+8533 
+IO_BYTE
+       m_D3
+ :1;
+
+8534 
+IO_BYTE
+       m_D2
+ :1;
+
+8535 
+IO_BYTE
+       m_D1
+ :1;
+
+8536 
+IO_BYTE
+       m_D0
+ :1;
+
+8537 }
+       mb\99
+;
+
+8538 }
+       tPPER14STR
+;
+
+8540 
+IO_BYTE
+       mby\8b
+;
+
+8542 
+IO_BYTE
+       m_D7
+ :1;
+
+8543 
+IO_BYTE
+       m_D6
+ :1;
+
+8544 
+IO_BYTE
+       m_D5
+ :1;
+
+8545 
+IO_BYTE
+       m_D4
+ :1;
+
+8546 
+IO_BYTE
+       m_D3
+ :1;
+
+8547 
+IO_BYTE
+       m_D2
+ :1;
+
+8548 
+IO_BYTE
+       m_D1
+ :1;
+
+8549 
+IO_BYTE
+       m_D0
+ :1;
+
+8550 }
+       mb\99
+;
+
+8551 }
+       tPPER15STR
+;
+
+8553 
+IO_BYTE
+       mby\8b
+;
+
+8555 
+IO_BYTE
+       m_D7
+ :1;
+
+8556 
+IO_BYTE
+       m_D6
+ :1;
+
+8557 
+IO_BYTE
+       m_D5
+ :1;
+
+8558 
+IO_BYTE
+       m_D4
+ :1;
+
+8559 
+IO_BYTE
+       m_D3
+ :1;
+
+8560 
+IO_BYTE
+       m_D2
+ :1;
+
+8561 
+IO_BYTE
+       m_D1
+ :1;
+
+8562 
+IO_BYTE
+       m_D0
+ :1;
+
+8563 }
+       mb\99
+;
+
+8564 }
+       tPPER16STR
+;
+
+8566 
+IO_BYTE
+       mby\8b
+;
+
+8568 
+IO_BYTE
+       m_D7
+ :1;
+
+8569 
+IO_BYTE
+       m_D6
+ :1;
+
+8570 
+IO_BYTE
+       m_D5
+ :1;
+
+8571 
+IO_BYTE
+       m_D4
+ :1;
+
+8572 
+IO_BYTE
+       m_D3
+ :1;
+
+8573 
+IO_BYTE
+       m_D2
+ :1;
+
+8574 
+IO_BYTE
+       m_D1
+ :1;
+
+8575 
+IO_BYTE
+       m_D0
+ :1;
+
+8576 }
+       mb\99
+;
+
+8577 }
+       tPPER17STR
+;
+
+8579 
+IO_BYTE
+       mby\8b
+;
+
+8581 
+       mIO_BYTE
+ :1;
+
+8582 
+IO_BYTE
+       m_D6
+ :1;
+
+8583 
+       mIO_BYTE
+ :1;
+
+8584 
+       mIO_BYTE
+ :1;
+
+8585 
+       mIO_BYTE
+ :1;
+
+8586 
+IO_BYTE
+       m_D2
+ :1;
+
+8587 
+       mIO_BYTE
+ :1;
+
+8588 
+       mIO_BYTE
+ :1;
+
+8589 }
+       mb\99
+;
+
+8590 }
+       tPPER18STR
+;
+
+8592 
+IO_BYTE
+       mby\8b
+;
+
+8594 
+       mIO_BYTE
+ :1;
+
+8595 
+IO_BYTE
+       m_D6
+ :1;
+
+8596 
+       mIO_BYTE
+ :1;
+
+8597 
+       mIO_BYTE
+ :1;
+
+8598 
+       mIO_BYTE
+ :1;
+
+8599 
+IO_BYTE
+       m_D2
+ :1;
+
+8600 
+IO_BYTE
+       m_D1
+ :1;
+
+8601 
+IO_BYTE
+       m_D0
+ :1;
+
+8602 }
+       mb\99
+;
+
+8603 }
+       tPPER19STR
+;
+
+8605 
+IO_BYTE
+       mby\8b
+;
+
+8607 
+IO_BYTE
+       m_D7
+ :1;
+
+8608 
+IO_BYTE
+       m_D6
+ :1;
+
+8609 
+IO_BYTE
+       m_D5
+ :1;
+
+8610 
+IO_BYTE
+       m_D4
+ :1;
+
+8611 
+IO_BYTE
+       m_D3
+ :1;
+
+8612 
+IO_BYTE
+       m_D2
+ :1;
+
+8613 
+IO_BYTE
+       m_D1
+ :1;
+
+8614 
+IO_BYTE
+       m_D0
+ :1;
+
+8615 }
+       mb\99
+;
+
+8616 }
+       tPPER20STR
+;
+
+8618 
+IO_BYTE
+       mby\8b
+;
+
+8620 
+IO_BYTE
+       m_D7
+ :1;
+
+8621 
+IO_BYTE
+       m_D6
+ :1;
+
+8622 
+IO_BYTE
+       m_D5
+ :1;
+
+8623 
+IO_BYTE
+       m_D4
+ :1;
+
+8624 
+IO_BYTE
+       m_D3
+ :1;
+
+8625 
+IO_BYTE
+       m_D2
+ :1;
+
+8626 
+IO_BYTE
+       m_D1
+ :1;
+
+8627 
+IO_BYTE
+       m_D0
+ :1;
+
+8628 }
+       mb\99
+;
+
+8629 }
+       tPPER21STR
+;
+
+8631 
+IO_BYTE
+       mby\8b
+;
+
+8633 
+       mIO_BYTE
+ :1;
+
+8634 
+       mIO_BYTE
+ :1;
+
+8635 
+IO_BYTE
+       m_D5
+ :1;
+
+8636 
+IO_BYTE
+       m_D4
+ :1;
+
+8637 
+       mIO_BYTE
+ :1;
+
+8638 
+       mIO_BYTE
+ :1;
+
+8639 
+IO_BYTE
+       m_D1
+ :1;
+
+8640 
+IO_BYTE
+       m_D0
+ :1;
+
+8641 }
+       mb\99
+;
+
+8642 }
+       tPPER22STR
+;
+
+8644 
+IO_BYTE
+       mby\8b
+;
+
+8646 
+IO_BYTE
+       m_D7
+ :1;
+
+8647 
+IO_BYTE
+       m_D6
+ :1;
+
+8648 
+IO_BYTE
+       m_D5
+ :1;
+
+8649 
+IO_BYTE
+       m_D4
+ :1;
+
+8650 
+IO_BYTE
+       m_D3
+ :1;
+
+8651 
+IO_BYTE
+       m_D2
+ :1;
+
+8652 
+IO_BYTE
+       m_D1
+ :1;
+
+8653 
+IO_BYTE
+       m_D0
+ :1;
+
+8654 }
+       mb\99
+;
+
+8655 }
+       tPPER24STR
+;
+
+8657 
+IO_BYTE
+       mby\8b
+;
+
+8659 
+       mIO_BYTE
+ :1;
+
+8660 
+       mIO_BYTE
+ :1;
+
+8661 
+       mIO_BYTE
+ :1;
+
+8662 
+       mIO_BYTE
+ :1;
+
+8663 
+       mIO_BYTE
+ :1;
+
+8664 
+       mIO_BYTE
+ :1;
+
+8665 
+IO_BYTE
+       m_D1
+ :1;
+
+8666 
+IO_BYTE
+       m_D0
+ :1;
+
+8667 }
+       mb\99
+;
+
+8668 }
+       tPPER26STR
+;
+
+8670 
+IO_BYTE
+       mby\8b
+;
+
+8672 
+IO_BYTE
+       m_D7
+ :1;
+
+8673 
+IO_BYTE
+       m_D6
+ :1;
+
+8674 
+IO_BYTE
+       m_D5
+ :1;
+
+8675 
+IO_BYTE
+       m_D4
+ :1;
+
+8676 
+IO_BYTE
+       m_D3
+ :1;
+
+8677 
+IO_BYTE
+       m_D2
+ :1;
+
+8678 
+IO_BYTE
+       m_D1
+ :1;
+
+8679 
+IO_BYTE
+       m_D0
+ :1;
+
+8680 }
+       mb\99
+;
+
+8681 }
+       tPPER27STR
+;
+
+8683 
+IO_BYTE
+       mby\8b
+;
+
+8685 
+IO_BYTE
+       m_D7
+ :1;
+
+8686 
+IO_BYTE
+       m_D6
+ :1;
+
+8687 
+IO_BYTE
+       m_D5
+ :1;
+
+8688 
+IO_BYTE
+       m_D4
+ :1;
+
+8689 
+IO_BYTE
+       m_D3
+ :1;
+
+8690 
+IO_BYTE
+       m_D2
+ :1;
+
+8691 
+IO_BYTE
+       m_D1
+ :1;
+
+8692 
+IO_BYTE
+       m_D0
+ :1;
+
+8693 }
+       mb\99
+;
+
+8694 }
+       tPPER28STR
+;
+
+8696 
+IO_BYTE
+       mby\8b
+;
+
+8698 
+IO_BYTE
+       m_D7
+ :1;
+
+8699 
+IO_BYTE
+       m_D6
+ :1;
+
+8700 
+IO_BYTE
+       m_D5
+ :1;
+
+8701 
+IO_BYTE
+       m_D4
+ :1;
+
+8702 
+IO_BYTE
+       m_D3
+ :1;
+
+8703 
+IO_BYTE
+       m_D2
+ :1;
+
+8704 
+IO_BYTE
+       m_D1
+ :1;
+
+8705 
+IO_BYTE
+       m_D0
+ :1;
+
+8706 }
+       mb\99
+;
+
+8707 }
+       tPPER29STR
+;
+
+8709 
+IO_BYTE
+       mby\8b
+;
+
+8711 
+IO_BYTE
+       m_D7
+ :1;
+
+8712 
+IO_BYTE
+       m_D6
+ :1;
+
+8713 
+IO_BYTE
+       m_D5
+ :1;
+
+8714 
+IO_BYTE
+       m_D4
+ :1;
+
+8715 
+IO_BYTE
+       m_D3
+ :1;
+
+8716 
+IO_BYTE
+       m_D2
+ :1;
+
+8717 
+IO_BYTE
+       m_D1
+ :1;
+
+8718 
+IO_BYTE
+       m_D0
+ :1;
+
+8719 }
+       mb\99
+;
+
+8720 }
+       tPPCR14STR
+;
+
+8722 
+IO_BYTE
+       mby\8b
+;
+
+8724 
+IO_BYTE
+       m_D7
+ :1;
+
+8725 
+IO_BYTE
+       m_D6
+ :1;
+
+8726 
+IO_BYTE
+       m_D5
+ :1;
+
+8727 
+IO_BYTE
+       m_D4
+ :1;
+
+8728 
+IO_BYTE
+       m_D3
+ :1;
+
+8729 
+IO_BYTE
+       m_D2
+ :1;
+
+8730 
+IO_BYTE
+       m_D1
+ :1;
+
+8731 
+IO_BYTE
+       m_D0
+ :1;
+
+8732 }
+       mb\99
+;
+
+8733 }
+       tPPCR15STR
+;
+
+8735 
+IO_BYTE
+       mby\8b
+;
+
+8737 
+IO_BYTE
+       m_D7
+ :1;
+
+8738 
+IO_BYTE
+       m_D6
+ :1;
+
+8739 
+IO_BYTE
+       m_D5
+ :1;
+
+8740 
+IO_BYTE
+       m_D4
+ :1;
+
+8741 
+IO_BYTE
+       m_D3
+ :1;
+
+8742 
+IO_BYTE
+       m_D2
+ :1;
+
+8743 
+IO_BYTE
+       m_D1
+ :1;
+
+8744 
+IO_BYTE
+       m_D0
+ :1;
+
+8745 }
+       mb\99
+;
+
+8746 }
+       tPPCR16STR
+;
+
+8748 
+IO_BYTE
+       mby\8b
+;
+
+8750 
+IO_BYTE
+       m_D7
+ :1;
+
+8751 
+IO_BYTE
+       m_D6
+ :1;
+
+8752 
+IO_BYTE
+       m_D5
+ :1;
+
+8753 
+IO_BYTE
+       m_D4
+ :1;
+
+8754 
+IO_BYTE
+       m_D3
+ :1;
+
+8755 
+IO_BYTE
+       m_D2
+ :1;
+
+8756 
+IO_BYTE
+       m_D1
+ :1;
+
+8757 
+IO_BYTE
+       m_D0
+ :1;
+
+8758 }
+       mb\99
+;
+
+8759 }
+       tPPCR17STR
+;
+
+8761 
+IO_BYTE
+       mby\8b
+;
+
+8763 
+       mIO_BYTE
+ :1;
+
+8764 
+IO_BYTE
+       m_D6
+ :1;
+
+8765 
+       mIO_BYTE
+ :1;
+
+8766 
+       mIO_BYTE
+ :1;
+
+8767 
+       mIO_BYTE
+ :1;
+
+8768 
+IO_BYTE
+       m_D2
+ :1;
+
+8769 
+       mIO_BYTE
+ :1;
+
+8770 
+       mIO_BYTE
+ :1;
+
+8771 }
+       mb\99
+;
+
+8772 }
+       tPPCR18STR
+;
+
+8774 
+IO_BYTE
+       mby\8b
+;
+
+8776 
+       mIO_BYTE
+ :1;
+
+8777 
+IO_BYTE
+       m_D6
+ :1;
+
+8778 
+       mIO_BYTE
+ :1;
+
+8779 
+IO_BYTE
+       m_D2
+ :1;
+
+8780 
+IO_BYTE
+       m_D1
+ :1;
+
+8781 
+IO_BYTE
+       m_D0
+ :1;
+
+8782 
+       mIO_BYTE
+ :1;
+
+8783 
+       mIO_BYTE
+ :1;
+
+8784 }
+       mb\99
+;
+
+8785 }
+       tPPCR19STR
+;
+
+8787 
+IO_BYTE
+       mby\8b
+;
+
+8789 
+IO_BYTE
+       m_D7
+ :1;
+
+8790 
+IO_BYTE
+       m_D6
+ :1;
+
+8791 
+IO_BYTE
+       m_D5
+ :1;
+
+8792 
+IO_BYTE
+       m_D4
+ :1;
+
+8793 
+IO_BYTE
+       m_D3
+ :1;
+
+8794 
+IO_BYTE
+       m_D2
+ :1;
+
+8795 
+IO_BYTE
+       m_D1
+ :1;
+
+8796 
+IO_BYTE
+       m_D0
+ :1;
+
+8797 }
+       mb\99
+;
+
+8798 }
+       tPPCR20STR
+;
+
+8800 
+IO_BYTE
+       mby\8b
+;
+
+8802 
+IO_BYTE
+       m_D7
+ :1;
+
+8803 
+IO_BYTE
+       m_D6
+ :1;
+
+8804 
+IO_BYTE
+       m_D5
+ :1;
+
+8805 
+IO_BYTE
+       m_D4
+ :1;
+
+8806 
+IO_BYTE
+       m_D3
+ :1;
+
+8807 
+IO_BYTE
+       m_D2
+ :1;
+
+8808 
+IO_BYTE
+       m_D1
+ :1;
+
+8809 
+IO_BYTE
+       m_D0
+ :1;
+
+8810 }
+       mb\99
+;
+
+8811 }
+       tPPCR21STR
+;
+
+8813 
+IO_BYTE
+       mby\8b
+;
+
+8815 
+       mIO_BYTE
+ :1;
+
+8816 
+       mIO_BYTE
+ :1;
+
+8817 
+IO_BYTE
+       m_D5
+ :1;
+
+8818 
+IO_BYTE
+       m_D4
+ :1;
+
+8819 
+       mIO_BYTE
+ :1;
+
+8820 
+       mIO_BYTE
+ :1;
+
+8821 
+IO_BYTE
+       m_D1
+ :1;
+
+8822 
+IO_BYTE
+       m_D0
+ :1;
+
+8823 }
+       mb\99
+;
+
+8824 }
+       tPPCR22STR
+;
+
+8826 
+IO_BYTE
+       mby\8b
+;
+
+8828 
+IO_BYTE
+       m_D7
+ :1;
+
+8829 
+IO_BYTE
+       m_D6
+ :1;
+
+8830 
+IO_BYTE
+       m_D5
+ :1;
+
+8831 
+IO_BYTE
+       m_D4
+ :1;
+
+8832 
+IO_BYTE
+       m_D3
+ :1;
+
+8833 
+IO_BYTE
+       m_D2
+ :1;
+
+8834 
+IO_BYTE
+       m_D1
+ :1;
+
+8835 
+IO_BYTE
+       m_D0
+ :1;
+
+8836 }
+       mb\99
+;
+
+8837 }
+       tPPCR24STR
+;
+
+8839 
+IO_BYTE
+       mby\8b
+;
+
+8841 
+       mIO_BYTE
+ :1;
+
+8842 
+       mIO_BYTE
+ :1;
+
+8843 
+       mIO_BYTE
+ :1;
+
+8844 
+       mIO_BYTE
+ :1;
+
+8845 
+       mIO_BYTE
+ :1;
+
+8846 
+       mIO_BYTE
+ :1;
+
+8847 
+IO_BYTE
+       m_D1
+ :1;
+
+8848 
+IO_BYTE
+       m_D0
+ :1;
+
+8849 }
+       mb\99
+;
+
+8850 }
+       tPPCR26STR
+;
+
+8852 
+IO_BYTE
+       mby\8b
+;
+
+8854 
+IO_BYTE
+       m_D7
+ :1;
+
+8855 
+IO_BYTE
+       m_D6
+ :1;
+
+8856 
+IO_BYTE
+       m_D5
+ :1;
+
+8857 
+IO_BYTE
+       m_D4
+ :1;
+
+8858 
+IO_BYTE
+       m_D3
+ :1;
+
+8859 
+IO_BYTE
+       m_D2
+ :1;
+
+8860 
+IO_BYTE
+       m_D1
+ :1;
+
+8861 
+IO_BYTE
+       m_D0
+ :1;
+
+8862 }
+       mb\99
+;
+
+8863 }
+       tPPCR27STR
+;
+
+8865 
+IO_BYTE
+       mby\8b
+;
+
+8867 
+IO_BYTE
+       m_D7
+ :1;
+
+8868 
+IO_BYTE
+       m_D6
+ :1;
+
+8869 
+IO_BYTE
+       m_D5
+ :1;
+
+8870 
+IO_BYTE
+       m_D4
+ :1;
+
+8871 
+IO_BYTE
+       m_D3
+ :1;
+
+8872 
+IO_BYTE
+       m_D2
+ :1;
+
+8873 
+IO_BYTE
+       m_D1
+ :1;
+
+8874 
+IO_BYTE
+       m_D0
+ :1;
+
+8875 }
+       mb\99
+;
+
+8876 }
+       tPPCR28STR
+;
+
+8878 
+IO_BYTE
+       mby\8b
+;
+
+8880 
+IO_BYTE
+       m_D7
+ :1;
+
+8881 
+IO_BYTE
+       m_D6
+ :1;
+
+8882 
+IO_BYTE
+       m_D5
+ :1;
+
+8883 
+IO_BYTE
+       m_D4
+ :1;
+
+8884 
+IO_BYTE
+       m_D3
+ :1;
+
+8885 
+IO_BYTE
+       m_D2
+ :1;
+
+8886 
+IO_BYTE
+       m_D1
+ :1;
+
+8887 
+IO_BYTE
+       m_D0
+ :1;
+
+8888 }
+       mb\99
+;
+
+8889 }
+       tPPCR29STR
+;
+
+8891 
+IO_BYTE
+       mby\8b
+;
+
+8893 
+IO_BYTE
+       m_ASYNC
+ :1;
+
+8894 
+IO_BYTE
+       m_FIXE
+ :1;
+
+8895 
+IO_BYTE
+       m_BIRE
+ :1;
+
+8896 
+IO_BYTE
+       m_RDYEG
+ :1;
+
+8897 
+IO_BYTE
+       m_RDY
+ :1;
+
+8898 
+IO_BYTE
+       m_RDYI
+ :1;
+
+8899 
+IO_BYTE
+       m_RW16
+ :1;
+
+8900 
+IO_BYTE
+       m_LPM
+ :1;
+
+8901 }
+       mb\99
+;
+
+8902 }
+       tFMCSSTR
+;
+
+8904 
+IO_BYTE
+       mby\8b
+;
+
+8906 
+       mIO_BYTE
+ :1;
+
+8907 
+       mIO_BYTE
+ :1;
+
+8908 
+       mIO_BYTE
+ :1;
+
+8909 
+       mIO_BYTE
+ :1;
+
+8910 
+IO_BYTE
+       m_LOCK
+ :1;
+
+8911 
+IO_BYTE
+       m_PHASE
+ :1;
+
+8912 
+IO_BYTE
+       m_PF2I
+ :1;
+
+8913 
+IO_BYTE
+       m_RD64
+ :1;
+
+8914 }
+       mb\99
+;
+
+8915 }
+       tFMCRSTR
+;
+
+8917 
+IO_WORD
+       mwÜd
+;
+
+8919 
+       mIO_WORD
+ :1;
+
+8920 
+       mIO_WORD
+ :1;
+
+8921 
+       mIO_WORD
+ :1;
+
+8922 
+       mIO_WORD
+ :1;
+
+8923 
+       mIO_WORD
+ :1;
+
+8924 
+       mIO_WORD
+ :1;
+
+8925 
+IO_WORD
+       m_REN
+ :1;
+
+8926 
+IO_WORD
+       m_TAGE
+ :1;
+
+8927 
+IO_WORD
+       m_FLUSH
+ :1;
+
+8928 
+IO_WORD
+       m_DBEN
+ :1;
+
+8929 
+IO_WORD
+       m_PFEN
+ :1;
+
+8930 
+IO_WORD
+       m_PFMC
+ :1;
+
+8931 
+IO_WORD
+       m_LOCK
+ :1;
+
+8932 
+IO_WORD
+       m_ENAB
+ :1;
+
+8933 
+IO_WORD
+       m_SIZE1
+ :1;
+
+8934 
+IO_WORD
+       m_SIZE0
+ :1;
+
+8935 }
+       mb\99
+;
+
+8937 
+       mIO_WORD
+ :1;
+
+8938 
+       mIO_WORD
+ :1;
+
+8939 
+       mIO_WORD
+ :1;
+
+8940 
+       mIO_WORD
+ :1;
+
+8941 
+       mIO_WORD
+ :1;
+
+8942 
+       mIO_WORD
+ :1;
+
+8943 
+       mIO_WORD
+ :1;
+
+8944 
+       mIO_WORD
+ :1;
+
+8945 
+       mIO_WORD
+ :1;
+
+8946 
+       mIO_WORD
+ :1;
+
+8947 
+       mIO_WORD
+ :1;
+
+8948 
+       mIO_WORD
+ :1;
+
+8949 
+       mIO_WORD
+ :1;
+
+8950 
+       mIO_WORD
+ :1;
+
+8951 
+IO_WORD
+       m_SIZE
+ :2;
+
+8952 }
+       mb\99c
+;
+
+8953 }
+       tFCHCRSTR
+;
+
+8955 
+IO_WORD
+       mwÜd
+;
+
+8957 
+IO_WORD
+       m_WTP1
+ :1;
+
+8958 
+IO_WORD
+       m_WTP0
+ :1;
+
+8959 
+IO_WORD
+       m_WEXH1
+ :1;
+
+8960 
+IO_WORD
+       m_WEXH0
+ :1;
+
+8961 
+IO_WORD
+       m_WTC3
+ :1;
+
+8962 
+IO_WORD
+       m_WTC2
+ :1;
+
+8963 
+IO_WORD
+       m_WTC1
+ :1;
+
+8964 
+IO_WORD
+       m_WTC0
+ :1;
+
+8965 
+IO_WORD
+       m_FRAM
+ :1;
+
+8966 
+IO_WORD
+       m_ATD2
+ :1;
+
+8967 
+IO_WORD
+       m_ATD1
+ :1;
+
+8968 
+IO_WORD
+       m_ATD0
+ :1;
+
+8969 
+IO_WORD
+       m_EQ3
+ :1;
+
+8970 
+IO_WORD
+       m_EQ2
+ :1;
+
+8971 
+IO_WORD
+       m_EQ1
+ :1;
+
+8972 
+IO_WORD
+       m_EQ0
+ :1;
+
+8973 }
+       mb\99
+;
+
+8975 
+IO_WORD
+       m_WTP
+ :2;
+
+8976 
+IO_WORD
+       m_WEXH
+ :2;
+
+8977 
+IO_WORD
+       m_WTC
+ :4;
+
+8978 
+       mIO_WORD
+ :1;
+
+8979 
+IO_WORD
+       m_ATD
+ :3;
+
+8980 
+IO_WORD
+       m_EQ
+ :4;
+
+8981 }
+       mb\99c
+;
+
+8982 }
+       tFMWTSTR
+;
+
+8984 
+IO_BYTE
+       mby\8b
+;
+
+8986 
+       mIO_BYTE
+ :1;
+
+8987 
+IO_BYTE
+       m_ALEH2
+ :1;
+
+8988 
+IO_BYTE
+       m_ALEH1
+ :1;
+
+8989 
+IO_BYTE
+       m_ALEH0
+ :1;
+
+8990 
+       mIO_BYTE
+ :1;
+
+8991 
+       mIO_BYTE
+ :1;
+
+8992 
+       mIO_BYTE
+ :1;
+
+8993 
+       mIO_BYTE
+ :1;
+
+8994 }
+       mb\99
+;
+
+8996 
+       mIO_BYTE
+ :1;
+
+8997 
+IO_BYTE
+       m_ALEH
+ :3;
+
+8998 }
+       mb\99c
+;
+
+8999 }
+       tFMWT2STR
+;
+
+9001 
+IO_BYTE
+       mby\8b
+;
+
+9003 
+       mIO_BYTE
+ :1;
+
+9004 
+       mIO_BYTE
+ :1;
+
+9005 
+       mIO_BYTE
+ :1;
+
+9006 
+       mIO_BYTE
+ :1;
+
+9007 
+       mIO_BYTE
+ :1;
+
+9008 
+IO_BYTE
+       m_PS2
+ :1;
+
+9009 
+IO_BYTE
+       m_PS1
+ :1;
+
+9010 
+IO_BYTE
+       m_PS0
+ :1;
+
+9011 }
+       mb\99
+;
+
+9013 
+       mIO_BYTE
+ :1;
+
+9014 
+       mIO_BYTE
+ :1;
+
+9015 
+       mIO_BYTE
+ :1;
+
+9016 
+       mIO_BYTE
+ :1;
+
+9017 
+       mIO_BYTE
+ :1;
+
+9018 
+IO_BYTE
+       m_PS
+ :3;
+
+9019 }
+       mb\99c
+;
+
+9020 }
+       tFMPSSTR
+;
+
+9022 
+IO_LWORD
+       mlwÜd
+;
+
+9024 
+IO_LWORD
+       m_CRC31
+ :1;
+
+9025 
+IO_LWORD
+       m_CRC30
+ :1;
+
+9026 
+IO_LWORD
+       m_CRC29
+ :1;
+
+9027 
+IO_LWORD
+       m_CRC28
+ :1;
+
+9028 
+IO_LWORD
+       m_CRC27
+ :1;
+
+9029 
+IO_LWORD
+       m_CRC26
+ :1;
+
+9030 
+IO_LWORD
+       m_CRC25
+ :1;
+
+9031 
+IO_LWORD
+       m_CRC24
+ :1;
+
+9032 
+IO_LWORD
+       m_CRC23
+ :1;
+
+9033 
+IO_LWORD
+       m_CRC22
+ :1;
+
+9034 
+IO_LWORD
+       m_CRC21
+ :1;
+
+9035 
+IO_LWORD
+       m_CRC20
+ :1;
+
+9036 
+IO_LWORD
+       m_CRC19
+ :1;
+
+9037 
+IO_LWORD
+       m_CRC18
+ :1;
+
+9038 
+IO_LWORD
+       m_CRC17
+ :1;
+
+9039 
+IO_LWORD
+       m_CRC16
+ :1;
+
+9040 
+IO_LWORD
+       m_CRC15
+ :1;
+
+9041 
+IO_LWORD
+       m_CRC14
+ :1;
+
+9042 
+IO_LWORD
+       m_CRC13
+ :1;
+
+9043 
+IO_LWORD
+       m_CRC12
+ :1;
+
+9044 
+IO_LWORD
+       m_CRC11
+ :1;
+
+9045 
+IO_LWORD
+       m_CRC10
+ :1;
+
+9046 
+IO_LWORD
+       m_CRC9
+ :1;
+
+9047 
+IO_LWORD
+       m_CRC8
+ :1;
+
+9048 
+IO_LWORD
+       m_CRC7
+ :1;
+
+9049 
+IO_LWORD
+       m_CRC6
+ :1;
+
+9050 
+IO_LWORD
+       m_CRC5
+ :1;
+
+9051 
+IO_LWORD
+       m_CRC4
+ :1;
+
+9052 
+IO_LWORD
+       m_CRC3
+ :1;
+
+9053 
+IO_LWORD
+       m_CRC2
+ :1;
+
+9054 
+IO_LWORD
+       m_CRC1
+ :1;
+
+9055 
+IO_LWORD
+       m_CRC0
+ :1;
+
+9056 }
+       mb\99
+;
+
+9057 }
+       tFSCR0STR
+;
+
+9059 
+IO_LWORD
+       mlwÜd
+;
+
+9061 
+       mIO_LWORD
+ :1;
+
+9062 
+       mIO_LWORD
+ :1;
+
+9063 
+       mIO_LWORD
+ :1;
+
+9064 
+       mIO_LWORD
+ :1;
+
+9065 
+       mIO_LWORD
+ :1;
+
+9066 
+       mIO_LWORD
+ :1;
+
+9067 
+       mIO_LWORD
+ :1;
+
+9068 
+IO_LWORD
+       m_RDY
+ :1;
+
+9069 
+       mIO_LWORD
+ :1;
+
+9070 
+       mIO_LWORD
+ :1;
+
+9071 
+       mIO_LWORD
+ :1;
+
+9072 
+       mIO_LWORD
+ :1;
+
+9073 
+IO_LWORD
+       m_CSZ3
+ :1;
+
+9074 
+IO_LWORD
+       m_CSZ2
+ :1;
+
+9075 
+IO_LWORD
+       m_CSZ1
+ :1;
+
+9076 
+IO_LWORD
+       m_CSZ0
+ :1;
+
+9077 
+IO_LWORD
+       m_CSA15
+ :1;
+
+9078 
+IO_LWORD
+       m_CSA14
+ :1;
+
+9079 
+IO_LWORD
+       m_CSA13
+ :1;
+
+9080 
+IO_LWORD
+       m_CSA12
+ :1;
+
+9081 
+IO_LWORD
+       m_CSA11
+ :1;
+
+9082 
+IO_LWORD
+       m_CSA10
+ :1;
+
+9083 
+IO_LWORD
+       m_CSA9
+ :1;
+
+9084 
+IO_LWORD
+       m_CSA8
+ :1;
+
+9085 
+IO_LWORD
+       m_CSA7
+ :1;
+
+9086 
+IO_LWORD
+       m_CSA6
+ :1;
+
+9087 
+IO_LWORD
+       m_CSA5
+ :1;
+
+9088 
+IO_LWORD
+       m_CSA4
+ :1;
+
+9089 
+IO_LWORD
+       m_CSA3
+ :1;
+
+9090 
+IO_LWORD
+       m_CSA2
+ :1;
+
+9091 
+IO_LWORD
+       m_CSA1
+ :1;
+
+9092 
+IO_LWORD
+       m_CSA0
+ :1;
+
+9093 }
+       mb\99
+;
+
+9095 
+       mIO_LWORD
+ :1;
+
+9096 
+       mIO_LWORD
+ :1;
+
+9097 
+       mIO_LWORD
+ :1;
+
+9098 
+       mIO_LWORD
+ :1;
+
+9099 
+       mIO_LWORD
+ :1;
+
+9100 
+       mIO_LWORD
+ :1;
+
+9101 
+       mIO_LWORD
+ :1;
+
+9102 
+       mIO_LWORD
+ :1;
+
+9103 
+       mIO_LWORD
+ :1;
+
+9104 
+       mIO_LWORD
+ :1;
+
+9105 
+       mIO_LWORD
+ :1;
+
+9106 
+       mIO_LWORD
+ :1;
+
+9107 
+IO_LWORD
+       m_CSZ
+ :4;
+
+9108 }
+       mb\99c
+;
+
+9109 }
+       tFSCR1STR
+;
+
+9111 
+IO_WORD
+       mwÜd
+;
+
+9113 
+       mIO_WORD
+ :1;
+
+9114 
+       mIO_WORD
+ :1;
+
+9115 
+       mIO_WORD
+ :1;
+
+9116 
+       mIO_WORD
+ :1;
+
+9117 
+       mIO_WORD
+ :1;
+
+9118 
+       mIO_WORD
+ :1;
+
+9119 
+       mIO_WORD
+ :1;
+
+9120 
+       mIO_WORD
+ :1;
+
+9121 
+IO_WORD
+       m_Te¡
+ :1;
+
+9122 
+IO_WORD
+       m_CCE
+ :1;
+
+9123 
+IO_WORD
+       m_DAR
+ :1;
+
+9124 
+       mIO_WORD
+ :1;
+
+9125 
+IO_WORD
+       m_EIE
+ :1;
+
+9126 
+IO_WORD
+       m_SIE
+ :1;
+
+9127 
+IO_WORD
+       m_IE
+ :1;
+
+9128 
+IO_WORD
+       m_In\99
+ :1;
+
+9129 }
+       mb\99
+;
+
+9130 }
+       tCTRLR4STR
+;
+
+9132 
+IO_WORD
+       mwÜd
+;
+
+9134 
+       mIO_WORD
+ :1;
+
+9135 
+       mIO_WORD
+ :1;
+
+9136 
+       mIO_WORD
+ :1;
+
+9137 
+       mIO_WORD
+ :1;
+
+9138 
+       mIO_WORD
+ :1;
+
+9139 
+       mIO_WORD
+ :1;
+
+9140 
+       mIO_WORD
+ :1;
+
+9141 
+       mIO_WORD
+ :1;
+
+9142 
+IO_WORD
+       m_BOff
+ :1;
+
+9143 
+IO_WORD
+       m_EW¬n
+ :1;
+
+9144 
+IO_WORD
+       m_EPass
+ :1;
+
+9145 
+IO_WORD
+       m_RxOK
+ :1;
+
+9146 
+IO_WORD
+       m_TxOK
+ :1;
+
+9147 
+IO_WORD
+       m_LEC2
+ :1;
+
+9148 
+IO_WORD
+       m_LEC1
+ :1;
+
+9149 
+IO_WORD
+       m_LEC0
+ :1;
+
+9150 }
+       mb\99
+;
+
+9152 
+       mIO_WORD
+ :1;
+
+9153 
+       mIO_WORD
+ :1;
+
+9154 
+       mIO_WORD
+ :1;
+
+9155 
+       mIO_WORD
+ :1;
+
+9156 
+       mIO_WORD
+ :1;
+
+9157 
+       mIO_WORD
+ :1;
+
+9158 
+       mIO_WORD
+ :1;
+
+9159 
+       mIO_WORD
+ :1;
+
+9160 
+       mIO_WORD
+ :1;
+
+9161 
+       mIO_WORD
+ :1;
+
+9162 
+       mIO_WORD
+ :1;
+
+9163 
+       mIO_WORD
+ :1;
+
+9164 
+       mIO_WORD
+ :1;
+
+9165 
+IO_WORD
+       m_LEC
+ :3;
+
+9166 }
+       mb\99c
+;
+
+9167 }
+       tSTATR4STR
+;
+
+9169 
+IO_WORD
+       mwÜd
+;
+
+9171 
+IO_WORD
+       m_RP
+ :1;
+
+9172 
+IO_WORD
+       m_REC6
+ :1;
+
+9173 
+IO_WORD
+       m_REC5
+ :1;
+
+9174 
+IO_WORD
+       m_REC4
+ :1;
+
+9175 
+IO_WORD
+       m_REC3
+ :1;
+
+9176 
+IO_WORD
+       m_REC2
+ :1;
+
+9177 
+IO_WORD
+       m_REC1
+ :1;
+
+9178 
+IO_WORD
+       m_REC0
+ :1;
+
+9179 
+IO_WORD
+       m_TEC7
+ :1;
+
+9180 
+IO_WORD
+       m_TEC6
+ :1;
+
+9181 
+IO_WORD
+       m_TEC5
+ :1;
+
+9182 
+IO_WORD
+       m_TEC4
+ :1;
+
+9183 
+IO_WORD
+       m_TEC3
+ :1;
+
+9184 
+IO_WORD
+       m_TEC2
+ :1;
+
+9185 
+IO_WORD
+       m_TEC1
+ :1;
+
+9186 
+IO_WORD
+       m_TEC0
+ :1;
+
+9187 }
+       mb\99
+;
+
+9189 
+       mIO_WORD
+ :1;
+
+9190 
+IO_WORD
+       m_REC
+ :7;
+
+9191 
+IO_WORD
+       m_TEC
+ :8;
+
+9192 }
+       mb\99c
+;
+
+9193 }
+       tERRCNT4STR
+;
+
+9195 
+IO_WORD
+       mwÜd
+;
+
+9197 
+       mIO_WORD
+ :1;
+
+9198 
+IO_WORD
+       m_T£g22
+ :1;
+
+9199 
+IO_WORD
+       m_T£g21
+ :1;
+
+9200 
+IO_WORD
+       m_T£g20
+ :1;
+
+9201 
+IO_WORD
+       m_T£g13
+ :1;
+
+9202 
+IO_WORD
+       m_T£g12
+ :1;
+
+9203 
+IO_WORD
+       m_T£g11
+ :1;
+
+9204 
+IO_WORD
+       m_T£g10
+ :1;
+
+9205 
+IO_WORD
+       m_SJW1
+ :1;
+
+9206 
+IO_WORD
+       m_SJW0
+ :1;
+
+9207 
+IO_WORD
+       m_BRP5
+ :1;
+
+9208 
+IO_WORD
+       m_BRP4
+ :1;
+
+9209 
+IO_WORD
+       m_BRP3
+ :1;
+
+9210 
+IO_WORD
+       m_BRP2
+ :1;
+
+9211 
+IO_WORD
+       m_BRP1
+ :1;
+
+9212 
+IO_WORD
+       m_BRP0
+ :1;
+
+9213 }
+       mb\99
+;
+
+9215 
+       mIO_WORD
+ :1;
+
+9216 
+IO_WORD
+       m_T£g2
+ :3;
+
+9217 
+IO_WORD
+       m_T£g1
+ :4;
+
+9218 
+IO_WORD
+       m_SJW
+ :2;
+
+9219 
+IO_WORD
+       m_BRP
+ :6;
+
+9220 }
+       mb\99c
+;
+
+9221 }
+       tBTR4STR
+;
+
+9223 
+IO_WORD
+       mwÜd
+;
+
+9225 
+       mIO_WORD
+ :1;
+
+9226 
+       mIO_WORD
+ :1;
+
+9227 
+       mIO_WORD
+ :1;
+
+9228 
+       mIO_WORD
+ :1;
+
+9229 
+       mIO_WORD
+ :1;
+
+9230 
+       mIO_WORD
+ :1;
+
+9231 
+       mIO_WORD
+ :1;
+
+9232 
+       mIO_WORD
+ :1;
+
+9233 
+IO_WORD
+       m_Rx
+ :1;
+
+9234 
+IO_WORD
+       m_Tx1
+ :1;
+
+9235 
+IO_WORD
+       m_Tx0
+ :1;
+
+9236 
+IO_WORD
+       m_LBack
+ :1;
+
+9237 
+IO_WORD
+       m_S\9e\92t
+ :1;
+
+9238 
+IO_WORD
+       m_Basic
+ :1;
+
+9239 
+       mIO_WORD
+ :1;
+
+9240 
+       mIO_WORD
+ :1;
+
+9241 }
+       mb\99
+;
+
+9243 
+       mIO_WORD
+ :1;
+
+9244 
+       mIO_WORD
+ :1;
+
+9245 
+       mIO_WORD
+ :1;
+
+9246 
+       mIO_WORD
+ :1;
+
+9247 
+       mIO_WORD
+ :1;
+
+9248 
+       mIO_WORD
+ :1;
+
+9249 
+       mIO_WORD
+ :1;
+
+9250 
+       mIO_WORD
+ :1;
+
+9251 
+       mIO_WORD
+ :1;
+
+9252 
+IO_WORD
+       m_Tx
+ :2;
+
+9253 }
+       mb\99c
+;
+
+9254 }
+       tTESTR4STR
+;
+
+9256 
+IO_WORD
+       mwÜd
+;
+
+9258 
+       mIO_WORD
+ :1;
+
+9259 
+       mIO_WORD
+ :1;
+
+9260 
+       mIO_WORD
+ :1;
+
+9261 
+       mIO_WORD
+ :1;
+
+9262 
+       mIO_WORD
+ :1;
+
+9263 
+       mIO_WORD
+ :1;
+
+9264 
+       mIO_WORD
+ :1;
+
+9265 
+       mIO_WORD
+ :1;
+
+9266 
+       mIO_WORD
+ :1;
+
+9267 
+       mIO_WORD
+ :1;
+
+9268 
+       mIO_WORD
+ :1;
+
+9269 
+       mIO_WORD
+ :1;
+
+9270 
+IO_WORD
+       m_BRPE3
+ :1;
+
+9271 
+IO_WORD
+       m_BRPE2
+ :1;
+
+9272 
+IO_WORD
+       m_BRPE1
+ :1;
+
+9273 
+IO_WORD
+       m_BRPE0
+ :1;
+
+9274 }
+       mb\99
+;
+
+9276 
+       mIO_WORD
+ :1;
+
+9277 
+       mIO_WORD
+ :1;
+
+9278 
+       mIO_WORD
+ :1;
+
+9279 
+       mIO_WORD
+ :1;
+
+9280 
+       mIO_WORD
+ :1;
+
+9281 
+       mIO_WORD
+ :1;
+
+9282 
+       mIO_WORD
+ :1;
+
+9283 
+       mIO_WORD
+ :1;
+
+9284 
+       mIO_WORD
+ :1;
+
+9285 
+       mIO_WORD
+ :1;
+
+9286 
+       mIO_WORD
+ :1;
+
+9287 
+       mIO_WORD
+ :1;
+
+9288 
+IO_WORD
+       m_BRPE
+ :4;
+
+9289 }
+       mb\99c
+;
+
+9290 }
+       tBRPER4STR
+;
+
+9292 
+IO_WORD
+       mwÜd
+;
+
+9294 
+       mIO_WORD
+ :1;
+
+9295 
+       mIO_WORD
+ :1;
+
+9296 
+       mIO_WORD
+ :1;
+
+9297 
+       mIO_WORD
+ :1;
+
+9298 
+       mIO_WORD
+ :1;
+
+9299 
+       mIO_WORD
+ :1;
+
+9300 
+       mIO_WORD
+ :1;
+
+9301 
+       mIO_WORD
+ :1;
+
+9302 
+       mIO_WORD
+ :1;
+
+9303 
+       mIO_WORD
+ :1;
+
+9304 
+       mIO_WORD
+ :1;
+
+9305 
+       mIO_WORD
+ :1;
+
+9306 
+       mIO_WORD
+ :1;
+
+9307 
+       mIO_WORD
+ :1;
+
+9308 
+       mIO_WORD
+ :1;
+
+9309 
+       mIO_WORD
+ :1;
+
+9310 }
+       mb\99
+;
+
+9311 }
+       tBRPE4STR
+;
+
+9313 
+IO_WORD
+       mwÜd
+;
+
+9315 
+IO_WORD
+       m_Busy
+ :1;
+
+9316 
+       mIO_WORD
+ :1;
+
+9317 
+       mIO_WORD
+ :1;
+
+9318 
+       mIO_WORD
+ :1;
+
+9319 
+       mIO_WORD
+ :1;
+
+9320 
+       mIO_WORD
+ :1;
+
+9321 
+       mIO_WORD
+ :1;
+
+9322 
+       mIO_WORD
+ :1;
+
+9323 
+       mIO_WORD
+ :1;
+
+9324 
+       mIO_WORD
+ :1;
+
+9325 
+IO_WORD
+       m_MN5
+ :1;
+
+9326 
+IO_WORD
+       m_MN4
+ :1;
+
+9327 
+IO_WORD
+       m_MN3
+ :1;
+
+9328 
+IO_WORD
+       m_MN2
+ :1;
+
+9329 
+IO_WORD
+       m_MN1
+ :1;
+
+9330 
+IO_WORD
+       m_MN0
+ :1;
+
+9331 }
+       mb\99
+;
+
+9333 
+       mIO_WORD
+ :1;
+
+9334 
+       mIO_WORD
+ :1;
+
+9335 
+       mIO_WORD
+ :1;
+
+9336 
+       mIO_WORD
+ :1;
+
+9337 
+       mIO_WORD
+ :1;
+
+9338 
+       mIO_WORD
+ :1;
+
+9339 
+       mIO_WORD
+ :1;
+
+9340 
+       mIO_WORD
+ :1;
+
+9341 
+       mIO_WORD
+ :1;
+
+9342 
+       mIO_WORD
+ :1;
+
+9343 
+IO_WORD
+       m_MN
+ :6;
+
+9344 }
+       mb\99c
+;
+
+9345 }
+       tIF1CREQ4STR
+;
+
+9347 
+IO_WORD
+       mwÜd
+;
+
+9349 
+       mIO_WORD
+ :1;
+
+9350 
+       mIO_WORD
+ :1;
+
+9351 
+       mIO_WORD
+ :1;
+
+9352 
+       mIO_WORD
+ :1;
+
+9353 
+       mIO_WORD
+ :1;
+
+9354 
+       mIO_WORD
+ :1;
+
+9355 
+       mIO_WORD
+ :1;
+
+9356 
+       mIO_WORD
+ :1;
+
+9357 
+IO_WORD
+       m_WR
+ :1;
+
+9358 
+IO_WORD
+       m_Mask
+ :1;
+
+9359 
+IO_WORD
+       m_Arb
+ :1;
+
+9360 
+IO_WORD
+       m_CÚ\8cÞ
+ :1;
+
+9361 
+IO_WORD
+       m_CIP
+ :1;
+
+9362 
+IO_WORD
+       m_TxReq
+ :1;
+
+9363 
+IO_WORD
+       m_D©aA
+ :1;
+
+9364 
+IO_WORD
+       m_D©aB
+ :1;
+
+9365 }
+       mb\99
+;
+
+9366 }
+       tIF1CMSK4STR
+;
+
+9368 
+IO_WORD
+       mwÜd
+;
+
+9370 
+IO_WORD
+       m_MXtd
+ :1;
+
+9371 
+IO_WORD
+       m_MD\9c
+ :1;
+
+9372 
+       mIO_WORD
+ :1;
+
+9373 
+       mIO_WORD
+ :1;
+
+9374 
+       mIO_WORD
+ :1;
+
+9375 
+       mIO_WORD
+ :1;
+
+9376 
+       mIO_WORD
+ :1;
+
+9377 
+       mIO_WORD
+ :1;
+
+9378 
+       mIO_WORD
+ :1;
+
+9379 
+       mIO_WORD
+ :1;
+
+9380 
+       mIO_WORD
+ :1;
+
+9381 
+       mIO_WORD
+ :1;
+
+9382 
+       mIO_WORD
+ :1;
+
+9383 
+       mIO_WORD
+ :1;
+
+9384 
+       mIO_WORD
+ :1;
+
+9385 
+       mIO_WORD
+ :1;
+
+9386 }
+       mb\99
+;
+
+9387 }
+       tIF1MSK24STR
+;
+
+9389 
+IO_WORD
+       mwÜd
+;
+
+9391 
+IO_WORD
+       m_MsgV®
+ :1;
+
+9392 
+IO_WORD
+       m_Xtd
+ :1;
+
+9393 
+IO_WORD
+       m_DIR
+ :1;
+
+9394 
+       mIO_WORD
+ :1;
+
+9395 
+       mIO_WORD
+ :1;
+
+9396 
+       mIO_WORD
+ :1;
+
+9397 
+       mIO_WORD
+ :1;
+
+9398 
+       mIO_WORD
+ :1;
+
+9399 
+       mIO_WORD
+ :1;
+
+9400 
+       mIO_WORD
+ :1;
+
+9401 
+       mIO_WORD
+ :1;
+
+9402 
+       mIO_WORD
+ :1;
+
+9403 
+       mIO_WORD
+ :1;
+
+9404 
+       mIO_WORD
+ :1;
+
+9405 
+       mIO_WORD
+ :1;
+
+9406 
+       mIO_WORD
+ :1;
+
+9407 }
+       mb\99
+;
+
+9408 }
+       tIF1ARB24STR
+;
+
+9410 
+IO_WORD
+       mwÜd
+;
+
+9412 
+IO_WORD
+       m_NewD©
+ :1;
+
+9413 
+IO_WORD
+       m_MsgL¡
+ :1;
+
+9414 
+IO_WORD
+       m_IÁPnd
+ :1;
+
+9415 
+IO_WORD
+       m_UMask
+ :1;
+
+9416 
+IO_WORD
+       m_TxIE
+ :1;
+
+9417 
+IO_WORD
+       m_RxIE
+ :1;
+
+9418 
+IO_WORD
+       m_RmtEn
+ :1;
+
+9419 
+IO_WORD
+       m_TxRq¡
+ :1;
+
+9420 
+IO_WORD
+       m_EoB
+ :1;
+
+9421 
+       mIO_WORD
+ :1;
+
+9422 
+       mIO_WORD
+ :1;
+
+9423 
+       mIO_WORD
+ :1;
+
+9424 
+IO_WORD
+       m_DLC3
+ :1;
+
+9425 
+IO_WORD
+       m_DLC2
+ :1;
+
+9426 
+IO_WORD
+       m_DLC1
+ :1;
+
+9427 
+IO_WORD
+       m_DLC0
+ :1;
+
+9428 }
+       mb\99
+;
+
+9430 
+       mIO_WORD
+ :1;
+
+9431 
+       mIO_WORD
+ :1;
+
+9432 
+       mIO_WORD
+ :1;
+
+9433 
+       mIO_WORD
+ :1;
+
+9434 
+       mIO_WORD
+ :1;
+
+9435 
+       mIO_WORD
+ :1;
+
+9436 
+       mIO_WORD
+ :1;
+
+9437 
+       mIO_WORD
+ :1;
+
+9438 
+       mIO_WORD
+ :1;
+
+9439 
+       mIO_WORD
+ :1;
+
+9440 
+       mIO_WORD
+ :1;
+
+9441 
+       mIO_WORD
+ :1;
+
+9442 
+IO_WORD
+       m_DLC
+ :4;
+
+9443 }
+       mb\99c
+;
+
+9444 }
+       tIF1MCTR4STR
+;
+
+9446 
+IO_WORD
+       mwÜd
+;
+
+9448 
+IO_WORD
+       m_Busy
+ :1;
+
+9449 
+       mIO_WORD
+ :1;
+
+9450 
+       mIO_WORD
+ :1;
+
+9451 
+       mIO_WORD
+ :1;
+
+9452 
+       mIO_WORD
+ :1;
+
+9453 
+       mIO_WORD
+ :1;
+
+9454 
+       mIO_WORD
+ :1;
+
+9455 
+       mIO_WORD
+ :1;
+
+9456 
+       mIO_WORD
+ :1;
+
+9457 
+       mIO_WORD
+ :1;
+
+9458 
+IO_WORD
+       m_MN5
+ :1;
+
+9459 
+IO_WORD
+       m_MN4
+ :1;
+
+9460 
+IO_WORD
+       m_MN3
+ :1;
+
+9461 
+IO_WORD
+       m_MN2
+ :1;
+
+9462 
+IO_WORD
+       m_MN1
+ :1;
+
+9463 
+IO_WORD
+       m_MN0
+ :1;
+
+9464 }
+       mb\99
+;
+
+9466 
+       mIO_WORD
+ :1;
+
+9467 
+       mIO_WORD
+ :1;
+
+9468 
+       mIO_WORD
+ :1;
+
+9469 
+       mIO_WORD
+ :1;
+
+9470 
+       mIO_WORD
+ :1;
+
+9471 
+       mIO_WORD
+ :1;
+
+9472 
+       mIO_WORD
+ :1;
+
+9473 
+       mIO_WORD
+ :1;
+
+9474 
+       mIO_WORD
+ :1;
+
+9475 
+       mIO_WORD
+ :1;
+
+9476 
+IO_WORD
+       m_MN
+ :6;
+
+9477 }
+       mb\99c
+;
+
+9478 }
+       tIF2CREQ4STR
+;
+
+9480 
+IO_WORD
+       mwÜd
+;
+
+9482 
+       mIO_WORD
+ :1;
+
+9483 
+       mIO_WORD
+ :1;
+
+9484 
+       mIO_WORD
+ :1;
+
+9485 
+       mIO_WORD
+ :1;
+
+9486 
+       mIO_WORD
+ :1;
+
+9487 
+       mIO_WORD
+ :1;
+
+9488 
+       mIO_WORD
+ :1;
+
+9489 
+       mIO_WORD
+ :1;
+
+9490 
+IO_WORD
+       m_WR
+ :1;
+
+9491 
+IO_WORD
+       m_Mask
+ :1;
+
+9492 
+IO_WORD
+       m_Arb
+ :1;
+
+9493 
+IO_WORD
+       m_CÚ\8cÞ
+ :1;
+
+9494 
+IO_WORD
+       m_CIP
+ :1;
+
+9495 
+IO_WORD
+       m_TxReq
+ :1;
+
+9496 
+IO_WORD
+       m_D©aA
+ :1;
+
+9497 
+IO_WORD
+       m_D©aB
+ :1;
+
+9498 }
+       mb\99
+;
+
+9499 }
+       tIF2CMSK4STR
+;
+
+9501 
+IO_WORD
+       mwÜd
+;
+
+9503 
+IO_WORD
+       m_MXtd
+ :1;
+
+9504 
+IO_WORD
+       m_MD\9c
+ :1;
+
+9505 
+       mIO_WORD
+ :1;
+
+9506 
+       mIO_WORD
+ :1;
+
+9507 
+       mIO_WORD
+ :1;
+
+9508 
+       mIO_WORD
+ :1;
+
+9509 
+       mIO_WORD
+ :1;
+
+9510 
+       mIO_WORD
+ :1;
+
+9511 
+       mIO_WORD
+ :1;
+
+9512 
+       mIO_WORD
+ :1;
+
+9513 
+       mIO_WORD
+ :1;
+
+9514 
+       mIO_WORD
+ :1;
+
+9515 
+       mIO_WORD
+ :1;
+
+9516 
+       mIO_WORD
+ :1;
+
+9517 
+       mIO_WORD
+ :1;
+
+9518 
+       mIO_WORD
+ :1;
+
+9519 }
+       mb\99
+;
+
+9520 }
+       tIF2MSK24STR
+;
+
+9522 
+IO_WORD
+       mwÜd
+;
+
+9524 
+IO_WORD
+       m_MsgV®
+ :1;
+
+9525 
+IO_WORD
+       m_Xtd
+ :1;
+
+9526 
+IO_WORD
+       m_DIR
+ :1;
+
+9527 
+       mIO_WORD
+ :1;
+
+9528 
+       mIO_WORD
+ :1;
+
+9529 
+       mIO_WORD
+ :1;
+
+9530 
+       mIO_WORD
+ :1;
+
+9531 
+       mIO_WORD
+ :1;
+
+9532 
+       mIO_WORD
+ :1;
+
+9533 
+       mIO_WORD
+ :1;
+
+9534 
+       mIO_WORD
+ :1;
+
+9535 
+       mIO_WORD
+ :1;
+
+9536 
+       mIO_WORD
+ :1;
+
+9537 
+       mIO_WORD
+ :1;
+
+9538 
+       mIO_WORD
+ :1;
+
+9539 
+       mIO_WORD
+ :1;
+
+9540 }
+       mb\99
+;
+
+9541 }
+       tIF2ARB24STR
+;
+
+9543 
+IO_WORD
+       mwÜd
+;
+
+9545 
+IO_WORD
+       m_NewD©
+ :1;
+
+9546 
+IO_WORD
+       m_MsgL¡
+ :1;
+
+9547 
+IO_WORD
+       m_IÁPnd
+ :1;
+
+9548 
+IO_WORD
+       m_UMask
+ :1;
+
+9549 
+IO_WORD
+       m_TxIE
+ :1;
+
+9550 
+IO_WORD
+       m_RxIE
+ :1;
+
+9551 
+IO_WORD
+       m_RmtEn
+ :1;
+
+9552 
+IO_WORD
+       m_TxRq¡
+ :1;
+
+9553 
+IO_WORD
+       m_EoB
+ :1;
+
+9554 
+       mIO_WORD
+ :1;
+
+9555 
+       mIO_WORD
+ :1;
+
+9556 
+       mIO_WORD
+ :1;
+
+9557 
+IO_WORD
+       m_DLC3
+ :1;
+
+9558 
+IO_WORD
+       m_DLC2
+ :1;
+
+9559 
+IO_WORD
+       m_DLC1
+ :1;
+
+9560 
+IO_WORD
+       m_DLC0
+ :1;
+
+9561 }
+       mb\99
+;
+
+9563 
+       mIO_WORD
+ :1;
+
+9564 
+       mIO_WORD
+ :1;
+
+9565 
+       mIO_WORD
+ :1;
+
+9566 
+       mIO_WORD
+ :1;
+
+9567 
+       mIO_WORD
+ :1;
+
+9568 
+       mIO_WORD
+ :1;
+
+9569 
+       mIO_WORD
+ :1;
+
+9570 
+       mIO_WORD
+ :1;
+
+9571 
+       mIO_WORD
+ :1;
+
+9572 
+       mIO_WORD
+ :1;
+
+9573 
+       mIO_WORD
+ :1;
+
+9574 
+       mIO_WORD
+ :1;
+
+9575 
+IO_WORD
+       m_DLC
+ :4;
+
+9576 }
+       mb\99c
+;
+
+9577 }
+       tIF2MCTR4STR
+;
+
+9579 
+IO_LWORD
+       mlwÜd
+;
+
+9581 
+       mIO_LWORD
+ :1;
+
+9582 
+       mIO_LWORD
+ :1;
+
+9583 
+       mIO_LWORD
+ :1;
+
+9584 
+       mIO_LWORD
+ :1;
+
+9585 
+       mIO_LWORD
+ :1;
+
+9586 
+       mIO_LWORD
+ :1;
+
+9587 
+       mIO_LWORD
+ :1;
+
+9588 
+       mIO_LWORD
+ :1;
+
+9589 
+       mIO_LWORD
+ :1;
+
+9590 
+       mIO_LWORD
+ :1;
+
+9591 
+       mIO_LWORD
+ :1;
+
+9592 
+       mIO_LWORD
+ :1;
+
+9593 
+       mIO_LWORD
+ :1;
+
+9594 
+       mIO_LWORD
+ :1;
+
+9595 
+       mIO_LWORD
+ :1;
+
+9596 
+       mIO_LWORD
+ :1;
+
+9597 
+IO_LWORD
+       m_SR
+ :1;
+
+9598 
+IO_LWORD
+       m_SW
+ :1;
+
+9599 
+IO_LWORD
+       m_SX
+ :1;
+
+9600 
+IO_LWORD
+       m_UR
+ :1;
+
+9601 
+IO_LWORD
+       m_UW
+ :1;
+
+9602 
+IO_LWORD
+       m_UX
+ :1;
+
+9603 
+IO_LWORD
+       m_FCPU
+ :1;
+
+9604 
+IO_LWORD
+       m_FDMA
+ :1;
+
+9605 
+IO_LWORD
+       m_EEMM
+ :1;
+
+9606 
+IO_LWORD
+       m_PFD
+ :1;
+
+9607 
+IO_LWORD
+       m_SINT1
+ :1;
+
+9608 
+IO_LWORD
+       m_SINT0
+ :1;
+
+9609 
+IO_LWORD
+       m_EINT1
+ :1;
+
+9610 
+IO_LWORD
+       m_EINT0
+ :1;
+
+9611 
+IO_LWORD
+       m_EINTT
+ :1;
+
+9612 
+IO_LWORD
+       m_EINTR
+ :1;
+
+9613 }
+       mb\99
+;
+
+9615 
+       mIO_LWORD
+ :1;
+
+9616 
+       mIO_LWORD
+ :1;
+
+9617 
+       mIO_LWORD
+ :1;
+
+9618 
+       mIO_LWORD
+ :1;
+
+9619 
+       mIO_LWORD
+ :1;
+
+9620 
+       mIO_LWORD
+ :1;
+
+9621 
+       mIO_LWORD
+ :1;
+
+9622 
+       mIO_LWORD
+ :1;
+
+9623 
+       mIO_LWORD
+ :1;
+
+9624 
+       mIO_LWORD
+ :1;
+
+9625 
+       mIO_LWORD
+ :1;
+
+9626 
+       mIO_LWORD
+ :1;
+
+9627 
+       mIO_LWORD
+ :1;
+
+9628 
+       mIO_LWORD
+ :1;
+
+9629 
+       mIO_LWORD
+ :1;
+
+9630 
+       mIO_LWORD
+ :1;
+
+9631 
+       mIO_LWORD
+ :1;
+
+9632 
+       mIO_LWORD
+ :1;
+
+9633 
+       mIO_LWORD
+ :1;
+
+9634 
+       mIO_LWORD
+ :1;
+
+9635 
+       mIO_LWORD
+ :1;
+
+9636 
+       mIO_LWORD
+ :1;
+
+9637 
+       mIO_LWORD
+ :1;
+
+9638 
+       mIO_LWORD
+ :1;
+
+9639 
+       mIO_LWORD
+ :1;
+
+9640 
+       mIO_LWORD
+ :1;
+
+9641 
+IO_LWORD
+       m_SINT
+ :2;
+
+9642 
+IO_LWORD
+       m_EINT
+ :2;
+
+9643 }
+       mb\99c
+;
+
+9644 }
+       tBCTRLSTR
+;
+
+9646 
+IO_LWORD
+       mlwÜd
+;
+
+9648 
+       mIO_LWORD
+ :1;
+
+9649 
+       mIO_LWORD
+ :1;
+
+9650 
+       mIO_LWORD
+ :1;
+
+9651 
+       mIO_LWORD
+ :1;
+
+9652 
+       mIO_LWORD
+ :1;
+
+9653 
+       mIO_LWORD
+ :1;
+
+9654 
+       mIO_LWORD
+ :1;
+
+9655 
+       mIO_LWORD
+ :1;
+
+9656 
+       mIO_LWORD
+ :1;
+
+9657 
+       mIO_LWORD
+ :1;
+
+9658 
+       mIO_LWORD
+ :1;
+
+9659 
+       mIO_LWORD
+ :1;
+
+9660 
+       mIO_LWORD
+ :1;
+
+9661 
+       mIO_LWORD
+ :1;
+
+9662 
+       mIO_LWORD
+ :1;
+
+9663 
+       mIO_LWORD
+ :1;
+
+9664 
+IO_LWORD
+       m_IDX4
+ :1;
+
+9665 
+IO_LWORD
+       m_IDX3
+ :1;
+
+9666 
+IO_LWORD
+       m_IDX2
+ :1;
+
+9667 
+IO_LWORD
+       m_IDX1
+ :1;
+
+9668 
+IO_LWORD
+       m_IDX0
+ :1;
+
+9669 
+IO_LWORD
+       m_CDMA
+ :1;
+
+9670 
+IO_LWORD
+       m_CSZ1
+ :1;
+
+9671 
+IO_LWORD
+       m_CSZ0
+ :1;
+
+9672 
+IO_LWORD
+       m_CRW1
+ :1;
+
+9673 
+IO_LWORD
+       m_CRW0
+ :1;
+
+9674 
+IO_LWORD
+       m_PV
+ :1;
+
+9675 
+IO_LWORD
+       m_RST
+ :1;
+
+9676 
+IO_LWORD
+       m_INT1
+ :1;
+
+9677 
+IO_LWORD
+       m_INT0
+ :1;
+
+9678 
+IO_LWORD
+       m_INTT
+ :1;
+
+9679 
+IO_LWORD
+       m_INTR
+ :1;
+
+9680 }
+       mb\99
+;
+
+9682 
+       mIO_LWORD
+ :1;
+
+9683 
+       mIO_LWORD
+ :1;
+
+9684 
+       mIO_LWORD
+ :1;
+
+9685 
+       mIO_LWORD
+ :1;
+
+9686 
+       mIO_LWORD
+ :1;
+
+9687 
+       mIO_LWORD
+ :1;
+
+9688 
+       mIO_LWORD
+ :1;
+
+9689 
+       mIO_LWORD
+ :1;
+
+9690 
+       mIO_LWORD
+ :1;
+
+9691 
+       mIO_LWORD
+ :1;
+
+9692 
+       mIO_LWORD
+ :1;
+
+9693 
+       mIO_LWORD
+ :1;
+
+9694 
+       mIO_LWORD
+ :1;
+
+9695 
+       mIO_LWORD
+ :1;
+
+9696 
+       mIO_LWORD
+ :1;
+
+9697 
+       mIO_LWORD
+ :1;
+
+9698 
+IO_LWORD
+       m_IDX
+ :5;
+
+9699 
+       mIO_LWORD
+ :1;
+
+9700 
+IO_LWORD
+       m_CSZ
+ :2;
+
+9701 
+IO_LWORD
+       m_CRW
+ :2;
+
+9702 
+       mIO_LWORD
+ :1;
+
+9703 
+       mIO_LWORD
+ :1;
+
+9704 
+IO_LWORD
+       m_INT
+ :2;
+
+9705 }
+       mb\99c
+;
+
+9706 }
+       tBSTATSTR
+;
+
+9708 
+IO_LWORD
+       mlwÜd
+;
+
+9710 
+IO_LWORD
+       m_BD31
+ :1;
+
+9711 
+IO_LWORD
+       m_BD30
+ :1;
+
+9712 
+IO_LWORD
+       m_BD29
+ :1;
+
+9713 
+IO_LWORD
+       m_BD28
+ :1;
+
+9714 
+IO_LWORD
+       m_BD27
+ :1;
+
+9715 
+IO_LWORD
+       m_BD26
+ :1;
+
+9716 
+IO_LWORD
+       m_BD25
+ :1;
+
+9717 
+IO_LWORD
+       m_BD24
+ :1;
+
+9718 
+IO_LWORD
+       m_BD23
+ :1;
+
+9719 
+IO_LWORD
+       m_BD22
+ :1;
+
+9720 
+IO_LWORD
+       m_BD21
+ :1;
+
+9721 
+IO_LWORD
+       m_BD20
+ :1;
+
+9722 
+IO_LWORD
+       m_BD19
+ :1;
+
+9723 
+IO_LWORD
+       m_BD18
+ :1;
+
+9724 
+IO_LWORD
+       m_BD17
+ :1;
+
+9725 
+IO_LWORD
+       m_BD16
+ :1;
+
+9726 
+IO_LWORD
+       m_BD15
+ :1;
+
+9727 
+IO_LWORD
+       m_BD14
+ :1;
+
+9728 
+IO_LWORD
+       m_BD13
+ :1;
+
+9729 
+IO_LWORD
+       m_BD12
+ :1;
+
+9730 
+IO_LWORD
+       m_BD11
+ :1;
+
+9731 
+IO_LWORD
+       m_BD10
+ :1;
+
+9732 
+IO_LWORD
+       m_BD9
+ :1;
+
+9733 
+IO_LWORD
+       m_BD8
+ :1;
+
+9734 
+IO_LWORD
+       m_BD7
+ :1;
+
+9735 
+IO_LWORD
+       m_BD6
+ :1;
+
+9736 
+IO_LWORD
+       m_BD5
+ :1;
+
+9737 
+IO_LWORD
+       m_BD4
+ :1;
+
+9738 
+IO_LWORD
+       m_BD3
+ :1;
+
+9739 
+IO_LWORD
+       m_BD2
+ :1;
+
+9740 
+IO_LWORD
+       m_BD1
+ :1;
+
+9741 
+IO_LWORD
+       m_BD0
+ :1;
+
+9742 }
+       mb\99
+;
+
+9743 }
+       tBIRQSTR
+;
+
+9745 
+IO_LWORD
+       mlwÜd
+;
+
+9747 
+       mIO_LWORD
+ :1;
+
+9748 
+       mIO_LWORD
+ :1;
+
+9749 
+       mIO_LWORD
+ :1;
+
+9750 
+       mIO_LWORD
+ :1;
+
+9751 
+       mIO_LWORD
+ :1;
+
+9752 
+       mIO_LWORD
+ :1;
+
+9753 
+       mIO_LWORD
+ :1;
+
+9754 
+       mIO_LWORD
+ :1;
+
+9755 
+IO_LWORD
+       m_SRX1
+ :1;
+
+9756 
+IO_LWORD
+       m_SW1
+ :1;
+
+9757 
+IO_LWORD
+       m_SRX0
+ :1;
+
+9758 
+IO_LWORD
+       m_SW0
+ :1;
+
+9759 
+IO_LWORD
+       m_URX1
+ :1;
+
+9760 
+IO_LWORD
+       m_UW1
+ :1;
+
+9761 
+IO_LWORD
+       m_URX0
+ :1;
+
+9762 
+IO_LWORD
+       m_UW0
+ :1;
+
+9763 
+IO_LWORD
+       m_MPE
+ :1;
+
+9764 
+IO_LWORD
+       m_COMB
+ :1;
+
+9765 
+IO_LWORD
+       m_CTC1
+ :1;
+
+9766 
+IO_LWORD
+       m_CTC0
+ :1;
+
+9767 
+IO_LWORD
+       m_OBS1
+ :1;
+
+9768 
+IO_LWORD
+       m_OBS0
+ :1;
+
+9769 
+IO_LWORD
+       m_OBT1
+ :1;
+
+9770 
+IO_LWORD
+       m_OBT0
+ :1;
+
+9771 
+IO_LWORD
+       m_EP3
+ :1;
+
+9772 
+IO_LWORD
+       m_EP2
+ :1;
+
+9773 
+IO_LWORD
+       m_EP1
+ :1;
+
+9774 
+IO_LWORD
+       m_EP0
+ :1;
+
+9775 
+IO_LWORD
+       m_EM1
+ :1;
+
+9776 
+IO_LWORD
+       m_EM0
+ :1;
+
+9777 
+IO_LWORD
+       m_ER1
+ :1;
+
+9778 
+IO_LWORD
+       m_ER0
+ :1;
+
+9779 }
+       mb\99
+;
+
+9781 
+       mIO_LWORD
+ :1;
+
+9782 
+       mIO_LWORD
+ :1;
+
+9783 
+       mIO_LWORD
+ :1;
+
+9784 
+       mIO_LWORD
+ :1;
+
+9785 
+       mIO_LWORD
+ :1;
+
+9786 
+       mIO_LWORD
+ :1;
+
+9787 
+       mIO_LWORD
+ :1;
+
+9788 
+       mIO_LWORD
+ :1;
+
+9789 
+       mIO_LWORD
+ :1;
+
+9790 
+       mIO_LWORD
+ :1;
+
+9791 
+       mIO_LWORD
+ :1;
+
+9792 
+       mIO_LWORD
+ :1;
+
+9793 
+       mIO_LWORD
+ :1;
+
+9794 
+       mIO_LWORD
+ :1;
+
+9795 
+       mIO_LWORD
+ :1;
+
+9796 
+       mIO_LWORD
+ :1;
+
+9797 
+       mIO_LWORD
+ :1;
+
+9798 
+       mIO_LWORD
+ :1;
+
+9799 
+IO_LWORD
+       m_CTC
+ :2;
+
+9800 
+IO_LWORD
+       m_OBS
+ :2;
+
+9801 
+IO_LWORD
+       m_OBT
+ :2;
+
+9802 
+IO_LWORD
+       m_EP
+ :4;
+
+9803 
+IO_LWORD
+       m_EM
+ :2;
+
+9804 
+IO_LWORD
+       m_ER
+ :2;
+
+9805 }
+       mb\99c
+;
+
+9806 }
+       tBCR0STR
+;
+
+9808 
+IO_LWORD
+       mlwÜd
+;
+
+9810 
+       mIO_LWORD
+ :1;
+
+9811 
+       mIO_LWORD
+ :1;
+
+9812 
+       mIO_LWORD
+ :1;
+
+9813 
+       mIO_LWORD
+ :1;
+
+9814 
+       mIO_LWORD
+ :1;
+
+9815 
+       mIO_LWORD
+ :1;
+
+9816 
+       mIO_LWORD
+ :1;
+
+9817 
+       mIO_LWORD
+ :1;
+
+9818 
+IO_LWORD
+       m_SRX1
+ :1;
+
+9819 
+IO_LWORD
+       m_SW1
+ :1;
+
+9820 
+IO_LWORD
+       m_SRX0
+ :1;
+
+9821 
+IO_LWORD
+       m_SW0
+ :1;
+
+9822 
+IO_LWORD
+       m_URX1
+ :1;
+
+9823 
+IO_LWORD
+       m_UW1
+ :1;
+
+9824 
+IO_LWORD
+       m_URX0
+ :1;
+
+9825 
+IO_LWORD
+       m_UW0
+ :1;
+
+9826 
+IO_LWORD
+       m_MPE
+ :1;
+
+9827 
+IO_LWORD
+       m_COMB
+ :1;
+
+9828 
+IO_LWORD
+       m_CTC1
+ :1;
+
+9829 
+IO_LWORD
+       m_CTC0
+ :1;
+
+9830 
+IO_LWORD
+       m_OBS1
+ :1;
+
+9831 
+IO_LWORD
+       m_OBS0
+ :1;
+
+9832 
+IO_LWORD
+       m_OBT1
+ :1;
+
+9833 
+IO_LWORD
+       m_OBT0
+ :1;
+
+9834 
+IO_LWORD
+       m_EP3
+ :1;
+
+9835 
+IO_LWORD
+       m_EP2
+ :1;
+
+9836 
+IO_LWORD
+       m_EP1
+ :1;
+
+9837 
+IO_LWORD
+       m_EP0
+ :1;
+
+9838 
+IO_LWORD
+       m_EM1
+ :1;
+
+9839 
+IO_LWORD
+       m_EM0
+ :1;
+
+9840 
+IO_LWORD
+       m_ER1
+ :1;
+
+9841 
+IO_LWORD
+       m_ER0
+ :1;
+
+9842 }
+       mb\99
+;
+
+9844 
+       mIO_LWORD
+ :1;
+
+9845 
+       mIO_LWORD
+ :1;
+
+9846 
+       mIO_LWORD
+ :1;
+
+9847 
+       mIO_LWORD
+ :1;
+
+9848 
+       mIO_LWORD
+ :1;
+
+9849 
+       mIO_LWORD
+ :1;
+
+9850 
+       mIO_LWORD
+ :1;
+
+9851 
+       mIO_LWORD
+ :1;
+
+9852 
+       mIO_LWORD
+ :1;
+
+9853 
+       mIO_LWORD
+ :1;
+
+9854 
+       mIO_LWORD
+ :1;
+
+9855 
+       mIO_LWORD
+ :1;
+
+9856 
+       mIO_LWORD
+ :1;
+
+9857 
+       mIO_LWORD
+ :1;
+
+9858 
+       mIO_LWORD
+ :1;
+
+9859 
+       mIO_LWORD
+ :1;
+
+9860 
+       mIO_LWORD
+ :1;
+
+9861 
+       mIO_LWORD
+ :1;
+
+9862 
+IO_LWORD
+       m_CTC
+ :2;
+
+9863 
+IO_LWORD
+       m_OBS
+ :2;
+
+9864 
+IO_LWORD
+       m_OBT
+ :2;
+
+9865 
+IO_LWORD
+       m_EP
+ :4;
+
+9866 
+IO_LWORD
+       m_EM
+ :2;
+
+9867 
+IO_LWORD
+       m_ER
+ :2;
+
+9868 }
+       mb\99c
+;
+
+9869 }
+       tBCR1STR
+;
+
+9873 
+__IO_EXTERN
+__io
+PDR14STR
+       gpdr14
+;
+
+9874 \ 1
+       #PDR14
+pdr14
+.
+by\8b
+
+
+       )
+
+9875 \ 1
+       #PDR14_D7
+pdr14
+.
+b\99
+.
+_D7
+
+
+       )
+
+9876 \ 1
+       #PDR14_D6
+pdr14
+.
+b\99
+.
+_D6
+
+
+       )
+
+9877 \ 1
+       #PDR14_D5
+pdr14
+.
+b\99
+.
+_D5
+
+
+       )
+
+9878 \ 1
+       #PDR14_D4
+pdr14
+.
+b\99
+.
+_D4
+
+
+       )
+
+9879 \ 1
+       #PDR14_D3
+pdr14
+.
+b\99
+.
+_D3
+
+
+       )
+
+9880 \ 1
+       #PDR14_D2
+pdr14
+.
+b\99
+.
+_D2
+
+
+       )
+
+9881 \ 1
+       #PDR14_D1
+pdr14
+.
+b\99
+.
+_D1
+
+
+       )
+
+9882 \ 1
+       #PDR14_D0
+pdr14
+.
+b\99
+.
+_D0
+
+
+       )
+
+9883 
+__IO_EXTERN
+__io
+PDR15STR
+       gpdr15
+;
+
+9884 \ 1
+       #PDR15
+pdr15
+.
+by\8b
+
+
+       )
+
+9885 \ 1
+       #PDR15_D7
+pdr15
+.
+b\99
+.
+_D7
+
+
+       )
+
+9886 \ 1
+       #PDR15_D6
+pdr15
+.
+b\99
+.
+_D6
+
+
+       )
+
+9887 \ 1
+       #PDR15_D5
+pdr15
+.
+b\99
+.
+_D5
+
+
+       )
+
+9888 \ 1
+       #PDR15_D4
+pdr15
+.
+b\99
+.
+_D4
+
+
+       )
+
+9889 \ 1
+       #PDR15_D3
+pdr15
+.
+b\99
+.
+_D3
+
+
+       )
+
+9890 \ 1
+       #PDR15_D2
+pdr15
+.
+b\99
+.
+_D2
+
+
+       )
+
+9891 \ 1
+       #PDR15_D1
+pdr15
+.
+b\99
+.
+_D1
+
+
+       )
+
+9892 \ 1
+       #PDR15_D0
+pdr15
+.
+b\99
+.
+_D0
+
+
+       )
+
+9893 
+__IO_EXTERN
+__io
+PDR16STR
+       gpdr16
+;
+
+9894 \ 1
+       #PDR16
+pdr16
+.
+by\8b
+
+
+       )
+
+9895 \ 1
+       #PDR16_D7
+pdr16
+.
+b\99
+.
+_D7
+
+
+       )
+
+9896 \ 1
+       #PDR16_D6
+pdr16
+.
+b\99
+.
+_D6
+
+
+       )
+
+9897 \ 1
+       #PDR16_D5
+pdr16
+.
+b\99
+.
+_D5
+
+
+       )
+
+9898 \ 1
+       #PDR16_D4
+pdr16
+.
+b\99
+.
+_D4
+
+
+       )
+
+9899 \ 1
+       #PDR16_D3
+pdr16
+.
+b\99
+.
+_D3
+
+
+       )
+
+9900 \ 1
+       #PDR16_D2
+pdr16
+.
+b\99
+.
+_D2
+
+
+       )
+
+9901 \ 1
+       #PDR16_D1
+pdr16
+.
+b\99
+.
+_D1
+
+
+       )
+
+9902 \ 1
+       #PDR16_D0
+pdr16
+.
+b\99
+.
+_D0
+
+
+       )
+
+9903 
+__IO_EXTERN
+__io
+PDR17STR
+       gpdr17
+;
+
+9904 \ 1
+       #PDR17
+pdr17
+.
+by\8b
+
+
+       )
+
+9905 \ 1
+       #PDR17_D7
+pdr17
+.
+b\99
+.
+_D7
+
+
+       )
+
+9906 \ 1
+       #PDR17_D6
+pdr17
+.
+b\99
+.
+_D6
+
+
+       )
+
+9907 \ 1
+       #PDR17_D5
+pdr17
+.
+b\99
+.
+_D5
+
+
+       )
+
+9908 \ 1
+       #PDR17_D4
+pdr17
+.
+b\99
+.
+_D4
+
+
+       )
+
+9909 \ 1
+       #PDR17_D3
+pdr17
+.
+b\99
+.
+_D3
+
+
+       )
+
+9910 \ 1
+       #PDR17_D2
+pdr17
+.
+b\99
+.
+_D2
+
+
+       )
+
+9911 \ 1
+       #PDR17_D1
+pdr17
+.
+b\99
+.
+_D1
+
+
+       )
+
+9912 \ 1
+       #PDR17_D0
+pdr17
+.
+b\99
+.
+_D0
+
+
+       )
+
+9913 
+__IO_EXTERN
+__io
+PDR18STR
+       gpdr18
+;
+
+9914 \ 1
+       #PDR18
+pdr18
+.
+by\8b
+
+
+       )
+
+9915 \ 1
+       #PDR18_D6
+pdr18
+.
+b\99
+.
+_D6
+
+
+       )
+
+9916 \ 1
+       #PDR18_D2
+pdr18
+.
+b\99
+.
+_D2
+
+
+       )
+
+9917 
+__IO_EXTERN
+__io
+PDR19STR
+       gpdr19
+;
+
+9918 \ 1
+       #PDR19
+pdr19
+.
+by\8b
+
+
+       )
+
+9919 \ 1
+       #PDR19_D6
+pdr19
+.
+b\99
+.
+_D6
+
+
+       )
+
+9920 \ 1
+       #PDR19_D2
+pdr19
+.
+b\99
+.
+_D2
+
+
+       )
+
+9921 \ 1
+       #PDR19_D1
+pdr19
+.
+b\99
+.
+_D1
+
+
+       )
+
+9922 \ 1
+       #PDR19_D0
+pdr19
+.
+b\99
+.
+_D0
+
+
+       )
+
+9923 
+__IO_EXTERN
+__io
+PDR20STR
+       gpdr20
+;
+
+9924 \ 1
+       #PDR20
+pdr20
+.
+by\8b
+
+
+       )
+
+9925 \ 1
+       #PDR20_D7
+pdr20
+.
+b\99
+.
+_D7
+
+
+       )
+
+9926 \ 1
+       #PDR20_D6
+pdr20
+.
+b\99
+.
+_D6
+
+
+       )
+
+9927 \ 1
+       #PDR20_D5
+pdr20
+.
+b\99
+.
+_D5
+
+
+       )
+
+9928 \ 1
+       #PDR20_D4
+pdr20
+.
+b\99
+.
+_D4
+
+
+       )
+
+9929 \ 1
+       #PDR20_D3
+pdr20
+.
+b\99
+.
+_D3
+
+
+       )
+
+9930 \ 1
+       #PDR20_D2
+pdr20
+.
+b\99
+.
+_D2
+
+
+       )
+
+9931 \ 1
+       #PDR20_D1
+pdr20
+.
+b\99
+.
+_D1
+
+
+       )
+
+9932 \ 1
+       #PDR20_D0
+pdr20
+.
+b\99
+.
+_D0
+
+
+       )
+
+9933 
+__IO_EXTERN
+__io
+PDR21STR
+       gpdr21
+;
+
+9934 \ 1
+       #PDR21
+pdr21
+.
+by\8b
+
+
+       )
+
+9935 \ 1
+       #PDR21_D7
+pdr21
+.
+b\99
+.
+_D7
+
+
+       )
+
+9936 \ 1
+       #PDR21_D6
+pdr21
+.
+b\99
+.
+_D6
+
+
+       )
+
+9937 \ 1
+       #PDR21_D5
+pdr21
+.
+b\99
+.
+_D5
+
+
+       )
+
+9938 \ 1
+       #PDR21_D4
+pdr21
+.
+b\99
+.
+_D4
+
+
+       )
+
+9939 \ 1
+       #PDR21_D3
+pdr21
+.
+b\99
+.
+_D3
+
+
+       )
+
+9940 \ 1
+       #PDR21_D2
+pdr21
+.
+b\99
+.
+_D2
+
+
+       )
+
+9941 \ 1
+       #PDR21_D1
+pdr21
+.
+b\99
+.
+_D1
+
+
+       )
+
+9942 \ 1
+       #PDR21_D0
+pdr21
+.
+b\99
+.
+_D0
+
+
+       )
+
+9943 
+__IO_EXTERN
+__io
+PDR22STR
+       gpdr22
+;
+
+9944 \ 1
+       #PDR22
+pdr22
+.
+by\8b
+
+
+       )
+
+9945 \ 1
+       #PDR22_D5
+pdr22
+.
+b\99
+.
+_D5
+
+
+       )
+
+9946 \ 1
+       #PDR22_D4
+pdr22
+.
+b\99
+.
+_D4
+
+
+       )
+
+9947 \ 1
+       #PDR22_D1
+pdr22
+.
+b\99
+.
+_D1
+
+
+       )
+
+9948 \ 1
+       #PDR22_D0
+pdr22
+.
+b\99
+.
+_D0
+
+
+       )
+
+9949 
+__IO_EXTERN
+__io
+PDR24STR
+       gpdr24
+;
+
+9950 \ 1
+       #PDR24
+pdr24
+.
+by\8b
+
+
+       )
+
+9951 \ 1
+       #PDR24_D7
+pdr24
+.
+b\99
+.
+_D7
+
+
+       )
+
+9952 \ 1
+       #PDR24_D6
+pdr24
+.
+b\99
+.
+_D6
+
+
+       )
+
+9953 \ 1
+       #PDR24_D5
+pdr24
+.
+b\99
+.
+_D5
+
+
+       )
+
+9954 \ 1
+       #PDR24_D4
+pdr24
+.
+b\99
+.
+_D4
+
+
+       )
+
+9955 \ 1
+       #PDR24_D3
+pdr24
+.
+b\99
+.
+_D3
+
+
+       )
+
+9956 \ 1
+       #PDR24_D2
+pdr24
+.
+b\99
+.
+_D2
+
+
+       )
+
+9957 \ 1
+       #PDR24_D1
+pdr24
+.
+b\99
+.
+_D1
+
+
+       )
+
+9958 \ 1
+       #PDR24_D0
+pdr24
+.
+b\99
+.
+_D0
+
+
+       )
+
+9959 
+__IO_EXTERN
+__io
+PDR26STR
+       gpdr26
+;
+
+9960 \ 1
+       #PDR26
+pdr26
+.
+by\8b
+
+
+       )
+
+9961 \ 1
+       #PDR26_D1
+pdr26
+.
+b\99
+.
+_D1
+
+
+       )
+
+9962 \ 1
+       #PDR26_D0
+pdr26
+.
+b\99
+.
+_D0
+
+
+       )
+
+9963 
+__IO_EXTERN
+__io
+PDR27STR
+       gpdr27
+;
+
+9964 \ 1
+       #PDR27
+pdr27
+.
+by\8b
+
+
+       )
+
+9965 \ 1
+       #PDR27_D7
+pdr27
+.
+b\99
+.
+_D7
+
+
+       )
+
+9966 \ 1
+       #PDR27_D6
+pdr27
+.
+b\99
+.
+_D6
+
+
+       )
+
+9967 \ 1
+       #PDR27_D5
+pdr27
+.
+b\99
+.
+_D5
+
+
+       )
+
+9968 \ 1
+       #PDR27_D4
+pdr27
+.
+b\99
+.
+_D4
+
+
+       )
+
+9969 \ 1
+       #PDR27_D3
+pdr27
+.
+b\99
+.
+_D3
+
+
+       )
+
+9970 \ 1
+       #PDR27_D2
+pdr27
+.
+b\99
+.
+_D2
+
+
+       )
+
+9971 \ 1
+       #PDR27_D1
+pdr27
+.
+b\99
+.
+_D1
+
+
+       )
+
+9972 \ 1
+       #PDR27_D0
+pdr27
+.
+b\99
+.
+_D0
+
+
+       )
+
+9973 
+__IO_EXTERN
+__io
+PDR28STR
+       gpdr28
+;
+
+9974 \ 1
+       #PDR28
+pdr28
+.
+by\8b
+
+
+       )
+
+9975 \ 1
+       #PDR28_D7
+pdr28
+.
+b\99
+.
+_D7
+
+
+       )
+
+9976 \ 1
+       #PDR28_D6
+pdr28
+.
+b\99
+.
+_D6
+
+
+       )
+
+9977 \ 1
+       #PDR28_D5
+pdr28
+.
+b\99
+.
+_D5
+
+
+       )
+
+9978 \ 1
+       #PDR28_D4
+pdr28
+.
+b\99
+.
+_D4
+
+
+       )
+
+9979 \ 1
+       #PDR28_D3
+pdr28
+.
+b\99
+.
+_D3
+
+
+       )
+
+9980 \ 1
+       #PDR28_D2
+pdr28
+.
+b\99
+.
+_D2
+
+
+       )
+
+9981 \ 1
+       #PDR28_D1
+pdr28
+.
+b\99
+.
+_D1
+
+
+       )
+
+9982 \ 1
+       #PDR28_D0
+pdr28
+.
+b\99
+.
+_D0
+
+
+       )
+
+9983 
+__IO_EXTERN
+__io
+PDR29STR
+       gpdr29
+;
+
+9984 \ 1
+       #PDR29
+pdr29
+.
+by\8b
+
+
+       )
+
+9985 \ 1
+       #PDR29_D7
+pdr29
+.
+b\99
+.
+_D7
+
+
+       )
+
+9986 \ 1
+       #PDR29_D6
+pdr29
+.
+b\99
+.
+_D6
+
+
+       )
+
+9987 \ 1
+       #PDR29_D5
+pdr29
+.
+b\99
+.
+_D5
+
+
+       )
+
+9988 \ 1
+       #PDR29_D4
+pdr29
+.
+b\99
+.
+_D4
+
+
+       )
+
+9989 \ 1
+       #PDR29_D3
+pdr29
+.
+b\99
+.
+_D3
+
+
+       )
+
+9990 \ 1
+       #PDR29_D2
+pdr29
+.
+b\99
+.
+_D2
+
+
+       )
+
+9991 \ 1
+       #PDR29_D1
+pdr29
+.
+b\99
+.
+_D1
+
+
+       )
+
+9992 \ 1
+       #PDR29_D0
+pdr29
+.
+b\99
+.
+_D0
+
+
+       )
+
+9993 
+__IO_EXTERN
+__io
+EIRR0STR
+       ge\9cr0
+;
+
+9994 \ 1
+       #EIRR0
+e\9cr0
+.
+by\8b
+
+
+       )
+
+9995 \ 1
+       #EIRR0_ER7
+e\9cr0
+.
+b\99
+.
+_ER7
+
+
+       )
+
+9996 \ 1
+       #EIRR0_ER6
+e\9cr0
+.
+b\99
+.
+_ER6
+
+
+       )
+
+9997 \ 1
+       #EIRR0_ER5
+e\9cr0
+.
+b\99
+.
+_ER5
+
+
+       )
+
+9998 \ 1
+       #EIRR0_ER4
+e\9cr0
+.
+b\99
+.
+_ER4
+
+
+       )
+
+9999 \ 1
+       #EIRR0_ER3
+e\9cr0
+.
+b\99
+.
+_ER3
+
+
+       )
+
+10000 \ 1
+       #EIRR0_ER2
+e\9cr0
+.
+b\99
+.
+_ER2
+
+
+       )
+
+10001 \ 1
+       #EIRR0_ER1
+e\9cr0
+.
+b\99
+.
+_ER1
+
+
+       )
+
+10002 \ 1
+       #EIRR0_ER0
+e\9cr0
+.
+b\99
+.
+_ER0
+
+
+       )
+
+10003 
+__IO_EXTERN
+__io
+ENIR0STR
+       g\92\9c0
+;
+
+10004 \ 1
+       #ENIR0
+\92\9c0
+.
+by\8b
+
+
+       )
+
+10005 \ 1
+       #ENIR0_EN7
+\92\9c0
+.
+b\99
+.
+_EN7
+
+
+       )
+
+10006 \ 1
+       #ENIR0_EN6
+\92\9c0
+.
+b\99
+.
+_EN6
+
+
+       )
+
+10007 \ 1
+       #ENIR0_EN5
+\92\9c0
+.
+b\99
+.
+_EN5
+
+
+       )
+
+10008 \ 1
+       #ENIR0_EN4
+\92\9c0
+.
+b\99
+.
+_EN4
+
+
+       )
+
+10009 \ 1
+       #ENIR0_EN3
+\92\9c0
+.
+b\99
+.
+_EN3
+
+
+       )
+
+10010 \ 1
+       #ENIR0_EN2
+\92\9c0
+.
+b\99
+.
+_EN2
+
+
+       )
+
+10011 \ 1
+       #ENIR0_EN1
+\92\9c0
+.
+b\99
+.
+_EN1
+
+
+       )
+
+10012 \ 1
+       #ENIR0_EN0
+\92\9c0
+.
+b\99
+.
+_EN0
+
+
+       )
+
+10013 
+__IO_EXTERN
+__io
+ELVR0STR
+       g\96vr0
+;
+
+10014 \ 1
+       #ELVR0
+\96vr0
+.
+wÜd
+
+
+       )
+
+10015 \ 1
+       #ELVR0_LB7
+\96vr0
+.
+b\99
+.
+_LB7
+
+
+       )
+
+10016 \ 1
+       #ELVR0_LA7
+\96vr0
+.
+b\99
+.
+_LA7
+
+
+       )
+
+10017 \ 1
+       #ELVR0_LB6
+\96vr0
+.
+b\99
+.
+_LB6
+
+
+       )
+
+10018 \ 1
+       #ELVR0_LA6
+\96vr0
+.
+b\99
+.
+_LA6
+
+
+       )
+
+10019 \ 1
+       #ELVR0_LB5
+\96vr0
+.
+b\99
+.
+_LB5
+
+
+       )
+
+10020 \ 1
+       #ELVR0_LA5
+\96vr0
+.
+b\99
+.
+_LA5
+
+
+       )
+
+10021 \ 1
+       #ELVR0_LB4
+\96vr0
+.
+b\99
+.
+_LB4
+
+
+       )
+
+10022 \ 1
+       #ELVR0_LA4
+\96vr0
+.
+b\99
+.
+_LA4
+
+
+       )
+
+10023 \ 1
+       #ELVR0_LB3
+\96vr0
+.
+b\99
+.
+_LB3
+
+
+       )
+
+10024 \ 1
+       #ELVR0_LA3
+\96vr0
+.
+b\99
+.
+_LA3
+
+
+       )
+
+10025 \ 1
+       #ELVR0_LB2
+\96vr0
+.
+b\99
+.
+_LB2
+
+
+       )
+
+10026 \ 1
+       #ELVR0_LA2
+\96vr0
+.
+b\99
+.
+_LA2
+
+
+       )
+
+10027 \ 1
+       #ELVR0_LB1
+\96vr0
+.
+b\99
+.
+_LB1
+
+
+       )
+
+10028 \ 1
+       #ELVR0_LA1
+\96vr0
+.
+b\99
+.
+_LA1
+
+
+       )
+
+10029 \ 1
+       #ELVR0_LB0
+\96vr0
+.
+b\99
+.
+_LB0
+
+
+       )
+
+10030 \ 1
+       #ELVR0_LA0
+\96vr0
+.
+b\99
+.
+_LA0
+
+
+       )
+
+10031 
+__IO_EXTERN
+__io
+EIRR1STR
+       ge\9cr1
+;
+
+10032 \ 1
+       #EIRR1
+e\9cr1
+.
+by\8b
+
+
+       )
+
+10033 \ 1
+       #EIRR1_ER15
+e\9cr1
+.
+b\99
+.
+_ER15
+
+
+       )
+
+10034 \ 1
+       #EIRR1_ER14
+e\9cr1
+.
+b\99
+.
+_ER14
+
+
+       )
+
+10035 \ 1
+       #EIRR1_ER13
+e\9cr1
+.
+b\99
+.
+_ER13
+
+
+       )
+
+10036 \ 1
+       #EIRR1_ER12
+e\9cr1
+.
+b\99
+.
+_ER12
+
+
+       )
+
+10037 \ 1
+       #EIRR1_ER11
+e\9cr1
+.
+b\99
+.
+_ER11
+
+
+       )
+
+10038 \ 1
+       #EIRR1_ER10
+e\9cr1
+.
+b\99
+.
+_ER10
+
+
+       )
+
+10039 \ 1
+       #EIRR1_ER9
+e\9cr1
+.
+b\99
+.
+_ER9
+
+
+       )
+
+10040 \ 1
+       #EIRR1_ER8
+e\9cr1
+.
+b\99
+.
+_ER8
+
+
+       )
+
+10041 
+__IO_EXTERN
+__io
+ENIR1STR
+       g\92\9c1
+;
+
+10042 \ 1
+       #ENIR1
+\92\9c1
+.
+by\8b
+
+
+       )
+
+10043 \ 1
+       #ENIR1_EN15
+\92\9c1
+.
+b\99
+.
+_EN15
+
+
+       )
+
+10044 \ 1
+       #ENIR1_EN14
+\92\9c1
+.
+b\99
+.
+_EN14
+
+
+       )
+
+10045 \ 1
+       #ENIR1_EN13
+\92\9c1
+.
+b\99
+.
+_EN13
+
+
+       )
+
+10046 \ 1
+       #ENIR1_EN12
+\92\9c1
+.
+b\99
+.
+_EN12
+
+
+       )
+
+10047 \ 1
+       #ENIR1_EN11
+\92\9c1
+.
+b\99
+.
+_EN11
+
+
+       )
+
+10048 \ 1
+       #ENIR1_EN10
+\92\9c1
+.
+b\99
+.
+_EN10
+
+
+       )
+
+10049 \ 1
+       #ENIR1_EN9
+\92\9c1
+.
+b\99
+.
+_EN9
+
+
+       )
+
+10050 \ 1
+       #ENIR1_EN8
+\92\9c1
+.
+b\99
+.
+_EN8
+
+
+       )
+
+10051 
+__IO_EXTERN
+__io
+ELVR1STR
+       g\96vr1
+;
+
+10052 \ 1
+       #ELVR1
+\96vr1
+.
+wÜd
+
+
+       )
+
+10053 \ 1
+       #ELVR1_LB15
+\96vr1
+.
+b\99
+.
+_LB15
+
+
+       )
+
+10054 \ 1
+       #ELVR1_LA15
+\96vr1
+.
+b\99
+.
+_LA15
+
+
+       )
+
+10055 \ 1
+       #ELVR1_LB14
+\96vr1
+.
+b\99
+.
+_LB14
+
+
+       )
+
+10056 \ 1
+       #ELVR1_LA14
+\96vr1
+.
+b\99
+.
+_LA14
+
+
+       )
+
+10057 \ 1
+       #ELVR1_LB13
+\96vr1
+.
+b\99
+.
+_LB13
+
+
+       )
+
+10058 \ 1
+       #ELVR1_LA13
+\96vr1
+.
+b\99
+.
+_LA13
+
+
+       )
+
+10059 \ 1
+       #ELVR1_LB12
+\96vr1
+.
+b\99
+.
+_LB12
+
+
+       )
+
+10060 \ 1
+       #ELVR1_LA12
+\96vr1
+.
+b\99
+.
+_LA12
+
+
+       )
+
+10061 \ 1
+       #ELVR1_LB11
+\96vr1
+.
+b\99
+.
+_LB11
+
+
+       )
+
+10062 \ 1
+       #ELVR1_LA11
+\96vr1
+.
+b\99
+.
+_LA11
+
+
+       )
+
+10063 \ 1
+       #ELVR1_LB10
+\96vr1
+.
+b\99
+.
+_LB10
+
+
+       )
+
+10064 \ 1
+       #ELVR1_LA10
+\96vr1
+.
+b\99
+.
+_LA10
+
+
+       )
+
+10065 \ 1
+       #ELVR1_LB9
+\96vr1
+.
+b\99
+.
+_LB9
+
+
+       )
+
+10066 \ 1
+       #ELVR1_LA9
+\96vr1
+.
+b\99
+.
+_LA9
+
+
+       )
+
+10067 \ 1
+       #ELVR1_LB8
+\96vr1
+.
+b\99
+.
+_LB8
+
+
+       )
+
+10068 \ 1
+       #ELVR1_LA8
+\96vr1
+.
+b\99
+.
+_LA8
+
+
+       )
+
+10069 
+__IO_EXTERN
+__io
+DICRSTR
+       gdiü
+;
+
+10070 \ 1
+       #DICR
+diü
+.
+by\8b
+
+
+       )
+
+10071 \ 1
+       #DICR_DLYI
+diü
+.
+b\99
+.
+_DLYI
+
+
+       )
+
+10072 
+__IO_EXTERN
+__io
+HRCLSTR
+       ghrþ
+;
+
+10073 \ 1
+       #HRCL
+hrþ
+.
+by\8b
+
+
+       )
+
+10074 \ 1
+       #HRCL_MHALTI
+hrþ
+.
+b\99
+.
+_MHALTI
+
+
+       )
+
+10075 \ 1
+       #HRCL_LVL4
+hrþ
+.
+b\99
+.
+_LVL4
+
+
+       )
+
+10076 \ 1
+       #HRCL_LVL3
+hrþ
+.
+b\99
+.
+_LVL3
+
+
+       )
+
+10077 \ 1
+       #HRCL_LVL2
+hrþ
+.
+b\99
+.
+_LVL2
+
+
+       )
+
+10078 \ 1
+       #HRCL_LVL1
+hrþ
+.
+b\99
+.
+_LVL1
+
+
+       )
+
+10079 \ 1
+       #HRCL_LVL0
+hrþ
+.
+b\99
+.
+_LVL0
+
+
+       )
+
+10080 \ 1
+       #HRCL_LVL
+hrþ
+.
+b\99c
+.
+_LVL
+
+
+       )
+
+10081 
+__IO_EXTERN
+__io
+IO_WORD
+       grbsync
+;
+
+10082 \ 1
+       #RBSYNC
+rbsync
+
+
+       )
+
+10083 
+__IO_EXTERN
+__io
+SCR00STR
+       gsü00
+;
+
+10084 \ 1
+       #SCR00
+sü00
+.
+by\8b
+
+
+       )
+
+10085 \ 1
+       #SCR00_PEN
+sü00
+.
+b\99
+.
+_PEN
+
+
+       )
+
+10086 \ 1
+       #SCR00_P
+sü00
+.
+b\99
+.
+_P
+
+
+       )
+
+10087 \ 1
+       #SCR00_SBL
+sü00
+.
+b\99
+.
+_SBL
+
+
+       )
+
+10088 \ 1
+       #SCR00_CL
+sü00
+.
+b\99
+.
+_CL
+
+
+       )
+
+10089 \ 1
+       #SCR00_AD
+sü00
+.
+b\99
+.
+_AD
+
+
+       )
+
+10090 \ 1
+       #SCR00_CRE
+sü00
+.
+b\99
+.
+_CRE
+
+
+       )
+
+10091 \ 1
+       #SCR00_RXE
+sü00
+.
+b\99
+.
+_RXE
+
+
+       )
+
+10092 \ 1
+       #SCR00_TXE
+sü00
+.
+b\99
+.
+_TXE
+
+
+       )
+
+10093 
+__IO_EXTERN
+__io
+SMR00STR
+       gsmr00
+;
+
+10094 \ 1
+       #SMR00
+smr00
+.
+by\8b
+
+
+       )
+
+10095 \ 1
+       #SMR00_MD1
+smr00
+.
+b\99
+.
+_MD1
+
+
+       )
+
+10096 \ 1
+       #SMR00_MD0
+smr00
+.
+b\99
+.
+_MD0
+
+
+       )
+
+10097 \ 1
+       #SMR00_OTO
+smr00
+.
+b\99
+.
+_OTO
+
+
+       )
+
+10098 \ 1
+       #SMR00_EXT
+smr00
+.
+b\99
+.
+_EXT
+
+
+       )
+
+10099 \ 1
+       #SMR00_REST
+smr00
+.
+b\99
+.
+_REST
+
+
+       )
+
+10100 \ 1
+       #SMR00_UPCL
+smr00
+.
+b\99
+.
+_UPCL
+
+
+       )
+
+10101 \ 1
+       #SMR00_SCKE
+smr00
+.
+b\99
+.
+_SCKE
+
+
+       )
+
+10102 \ 1
+       #SMR00_SOE
+smr00
+.
+b\99
+.
+_SOE
+
+
+       )
+
+10103 \ 1
+       #SMR00_MD
+smr00
+.
+b\99c
+.
+_MD
+
+
+       )
+
+10104 
+__IO_EXTERN
+__io
+SSR00STR
+       gs¤00
+;
+
+10105 \ 1
+       #SSR00
+s¤00
+.
+by\8b
+
+
+       )
+
+10106 \ 1
+       #SSR00_PE
+s¤00
+.
+b\99
+.
+_PE
+
+
+       )
+
+10107 \ 1
+       #SSR00_ORE
+s¤00
+.
+b\99
+.
+_ORE
+
+
+       )
+
+10108 \ 1
+       #SSR00_FRE
+s¤00
+.
+b\99
+.
+_FRE
+
+
+       )
+
+10109 \ 1
+       #SSR00_RDRF
+s¤00
+.
+b\99
+.
+_RDRF
+
+
+       )
+
+10110 \ 1
+       #SSR00_TDRE
+s¤00
+.
+b\99
+.
+_TDRE
+
+
+       )
+
+10111 \ 1
+       #SSR00_BDS
+s¤00
+.
+b\99
+.
+_BDS
+
+
+       )
+
+10112 \ 1
+       #SSR00_RIE
+s¤00
+.
+b\99
+.
+_RIE
+
+
+       )
+
+10113 \ 1
+       #SSR00_TIE
+s¤00
+.
+b\99
+.
+_TIE
+
+
+       )
+
+10114 
+__IO_EXTERN
+__io
+IO_BYTE
+       grdr00
+;
+
+10115 \ 1
+       #RDR00
+rdr00
+
+
+       )
+
+10116 
+__IO_EXTERN
+__io
+IO_BYTE
+       gtdr00
+;
+
+10117 \ 1
+       #TDR00
+tdr00
+
+
+       )
+
+10118 
+__IO_EXTERN
+__io
+ESCR00STR
+       gesü00
+;
+
+10119 \ 1
+       #ESCR00
+esü00
+.
+by\8b
+
+
+       )
+
+10120 \ 1
+       #ESCR00_LBIE
+esü00
+.
+b\99
+.
+_LBIE
+
+
+       )
+
+10121 \ 1
+       #ESCR00_LBD
+esü00
+.
+b\99
+.
+_LBD
+
+
+       )
+
+10122 \ 1
+       #ESCR00_LBL1
+esü00
+.
+b\99
+.
+_LBL1
+
+
+       )
+
+10123 \ 1
+       #ESCR00_LBL0
+esü00
+.
+b\99
+.
+_LBL0
+
+
+       )
+
+10124 \ 1
+       #ESCR00_SOPE
+esü00
+.
+b\99
+.
+_SOPE
+
+
+       )
+
+10125 \ 1
+       #ESCR00_SIOP
+esü00
+.
+b\99
+.
+_SIOP
+
+
+       )
+
+10126 \ 1
+       #ESCR00_CCO
+esü00
+.
+b\99
+.
+_CCO
+
+
+       )
+
+10127 \ 1
+       #ESCR00_SCES
+esü00
+.
+b\99
+.
+_SCES
+
+
+       )
+
+10128 \ 1
+       #ESCR00_LBL
+esü00
+.
+b\99c
+.
+_LBL
+
+
+       )
+
+10129 
+__IO_EXTERN
+__io
+ECCR00STR
+       gecü00
+;
+
+10130 \ 1
+       #ECCR00
+ecü00
+.
+by\8b
+
+
+       )
+
+10131 \ 1
+       #ECCR00_INV
+ecü00
+.
+b\99
+.
+_INV
+
+
+       )
+
+10132 \ 1
+       #ECCR00_LBR
+ecü00
+.
+b\99
+.
+_LBR
+
+
+       )
+
+10133 \ 1
+       #ECCR00_MS
+ecü00
+.
+b\99
+.
+_MS
+
+
+       )
+
+10134 \ 1
+       #ECCR00_SCDE
+ecü00
+.
+b\99
+.
+_SCDE
+
+
+       )
+
+10135 \ 1
+       #ECCR00_SSM
+ecü00
+.
+b\99
+.
+_SSM
+
+
+       )
+
+10136 \ 1
+       #ECCR00_BIE
+ecü00
+.
+b\99
+.
+_BIE
+
+
+       )
+
+10137 \ 1
+       #ECCR00_RBI
+ecü00
+.
+b\99
+.
+_RBI
+
+
+       )
+
+10138 \ 1
+       #ECCR00_TBI
+ecü00
+.
+b\99
+.
+_TBI
+
+
+       )
+
+10139 
+__IO_EXTERN
+__io
+SCR01STR
+       gsü01
+;
+
+10140 \ 1
+       #SCR01
+sü01
+.
+by\8b
+
+
+       )
+
+10141 \ 1
+       #SCR01_PEN
+sü01
+.
+b\99
+.
+_PEN
+
+
+       )
+
+10142 \ 1
+       #SCR01_P
+sü01
+.
+b\99
+.
+_P
+
+
+       )
+
+10143 \ 1
+       #SCR01_SBL
+sü01
+.
+b\99
+.
+_SBL
+
+
+       )
+
+10144 \ 1
+       #SCR01_CL
+sü01
+.
+b\99
+.
+_CL
+
+
+       )
+
+10145 \ 1
+       #SCR01_AD
+sü01
+.
+b\99
+.
+_AD
+
+
+       )
+
+10146 \ 1
+       #SCR01_CRE
+sü01
+.
+b\99
+.
+_CRE
+
+
+       )
+
+10147 \ 1
+       #SCR01_RXE
+sü01
+.
+b\99
+.
+_RXE
+
+
+       )
+
+10148 \ 1
+       #SCR01_TXE
+sü01
+.
+b\99
+.
+_TXE
+
+
+       )
+
+10149 
+__IO_EXTERN
+__io
+SMR01STR
+       gsmr01
+;
+
+10150 \ 1
+       #SMR01
+smr01
+.
+by\8b
+
+
+       )
+
+10151 \ 1
+       #SMR01_MD1
+smr01
+.
+b\99
+.
+_MD1
+
+
+       )
+
+10152 \ 1
+       #SMR01_MD0
+smr01
+.
+b\99
+.
+_MD0
+
+
+       )
+
+10153 \ 1
+       #SMR01_OTO
+smr01
+.
+b\99
+.
+_OTO
+
+
+       )
+
+10154 \ 1
+       #SMR01_EXT
+smr01
+.
+b\99
+.
+_EXT
+
+
+       )
+
+10155 \ 1
+       #SMR01_REST
+smr01
+.
+b\99
+.
+_REST
+
+
+       )
+
+10156 \ 1
+       #SMR01_UPCL
+smr01
+.
+b\99
+.
+_UPCL
+
+
+       )
+
+10157 \ 1
+       #SMR01_SCKE
+smr01
+.
+b\99
+.
+_SCKE
+
+
+       )
+
+10158 \ 1
+       #SMR01_SOE
+smr01
+.
+b\99
+.
+_SOE
+
+
+       )
+
+10159 \ 1
+       #SMR01_MD
+smr01
+.
+b\99c
+.
+_MD
+
+
+       )
+
+10160 
+__IO_EXTERN
+__io
+SSR01STR
+       gs¤01
+;
+
+10161 \ 1
+       #SSR01
+s¤01
+.
+by\8b
+
+
+       )
+
+10162 \ 1
+       #SSR01_PE
+s¤01
+.
+b\99
+.
+_PE
+
+
+       )
+
+10163 \ 1
+       #SSR01_ORE
+s¤01
+.
+b\99
+.
+_ORE
+
+
+       )
+
+10164 \ 1
+       #SSR01_FRE
+s¤01
+.
+b\99
+.
+_FRE
+
+
+       )
+
+10165 \ 1
+       #SSR01_RDRF
+s¤01
+.
+b\99
+.
+_RDRF
+
+
+       )
+
+10166 \ 1
+       #SSR01_TDRE
+s¤01
+.
+b\99
+.
+_TDRE
+
+
+       )
+
+10167 \ 1
+       #SSR01_BDS
+s¤01
+.
+b\99
+.
+_BDS
+
+
+       )
+
+10168 \ 1
+       #SSR01_RIE
+s¤01
+.
+b\99
+.
+_RIE
+
+
+       )
+
+10169 \ 1
+       #SSR01_TIE
+s¤01
+.
+b\99
+.
+_TIE
+
+
+       )
+
+10170 
+__IO_EXTERN
+__io
+IO_BYTE
+       grdr01
+;
+
+10171 \ 1
+       #RDR01
+rdr01
+
+
+       )
+
+10172 
+__IO_EXTERN
+__io
+IO_BYTE
+       gtdr01
+;
+
+10173 \ 1
+       #TDR01
+tdr01
+
+
+       )
+
+10174 
+__IO_EXTERN
+__io
+ESCR01STR
+       gesü01
+;
+
+10175 \ 1
+       #ESCR01
+esü01
+.
+by\8b
+
+
+       )
+
+10176 \ 1
+       #ESCR01_LBIE
+esü01
+.
+b\99
+.
+_LBIE
+
+
+       )
+
+10177 \ 1
+       #ESCR01_LBD
+esü01
+.
+b\99
+.
+_LBD
+
+
+       )
+
+10178 \ 1
+       #ESCR01_LBL1
+esü01
+.
+b\99
+.
+_LBL1
+
+
+       )
+
+10179 \ 1
+       #ESCR01_LBL0
+esü01
+.
+b\99
+.
+_LBL0
+
+
+       )
+
+10180 \ 1
+       #ESCR01_SOPE
+esü01
+.
+b\99
+.
+_SOPE
+
+
+       )
+
+10181 \ 1
+       #ESCR01_SIOP
+esü01
+.
+b\99
+.
+_SIOP
+
+
+       )
+
+10182 \ 1
+       #ESCR01_CCO
+esü01
+.
+b\99
+.
+_CCO
+
+
+       )
+
+10183 \ 1
+       #ESCR01_SCES
+esü01
+.
+b\99
+.
+_SCES
+
+
+       )
+
+10184 \ 1
+       #ESCR01_LBL
+esü01
+.
+b\99c
+.
+_LBL
+
+
+       )
+
+10185 
+__IO_EXTERN
+__io
+ECCR01STR
+       gecü01
+;
+
+10186 \ 1
+       #ECCR01
+ecü01
+.
+by\8b
+
+
+       )
+
+10187 \ 1
+       #ECCR01_INV
+ecü01
+.
+b\99
+.
+_INV
+
+
+       )
+
+10188 \ 1
+       #ECCR01_LBR
+ecü01
+.
+b\99
+.
+_LBR
+
+
+       )
+
+10189 \ 1
+       #ECCR01_MS
+ecü01
+.
+b\99
+.
+_MS
+
+
+       )
+
+10190 \ 1
+       #ECCR01_SCDE
+ecü01
+.
+b\99
+.
+_SCDE
+
+
+       )
+
+10191 \ 1
+       #ECCR01_SSM
+ecü01
+.
+b\99
+.
+_SSM
+
+
+       )
+
+10192 \ 1
+       #ECCR01_BIE
+ecü01
+.
+b\99
+.
+_BIE
+
+
+       )
+
+10193 \ 1
+       #ECCR01_RBI
+ecü01
+.
+b\99
+.
+_RBI
+
+
+       )
+
+10194 \ 1
+       #ECCR01_TBI
+ecü01
+.
+b\99
+.
+_TBI
+
+
+       )
+
+10195 
+__IO_EXTERN
+__io
+SCR02STR
+       gsü02
+;
+
+10196 \ 1
+       #SCR02
+sü02
+.
+by\8b
+
+
+       )
+
+10197 \ 1
+       #SCR02_PEN
+sü02
+.
+b\99
+.
+_PEN
+
+
+       )
+
+10198 \ 1
+       #SCR02_P
+sü02
+.
+b\99
+.
+_P
+
+
+       )
+
+10199 \ 1
+       #SCR02_SBL
+sü02
+.
+b\99
+.
+_SBL
+
+
+       )
+
+10200 \ 1
+       #SCR02_CL
+sü02
+.
+b\99
+.
+_CL
+
+
+       )
+
+10201 \ 1
+       #SCR02_AD
+sü02
+.
+b\99
+.
+_AD
+
+
+       )
+
+10202 \ 1
+       #SCR02_CRE
+sü02
+.
+b\99
+.
+_CRE
+
+
+       )
+
+10203 \ 1
+       #SCR02_RXE
+sü02
+.
+b\99
+.
+_RXE
+
+
+       )
+
+10204 \ 1
+       #SCR02_TXE
+sü02
+.
+b\99
+.
+_TXE
+
+
+       )
+
+10205 
+__IO_EXTERN
+__io
+SMR02STR
+       gsmr02
+;
+
+10206 \ 1
+       #SMR02
+smr02
+.
+by\8b
+
+
+       )
+
+10207 \ 1
+       #SMR02_MD1
+smr02
+.
+b\99
+.
+_MD1
+
+
+       )
+
+10208 \ 1
+       #SMR02_MD0
+smr02
+.
+b\99
+.
+_MD0
+
+
+       )
+
+10209 \ 1
+       #SMR02_OTO
+smr02
+.
+b\99
+.
+_OTO
+
+
+       )
+
+10210 \ 1
+       #SMR02_EXT
+smr02
+.
+b\99
+.
+_EXT
+
+
+       )
+
+10211 \ 1
+       #SMR02_REST
+smr02
+.
+b\99
+.
+_REST
+
+
+       )
+
+10212 \ 1
+       #SMR02_UPCL
+smr02
+.
+b\99
+.
+_UPCL
+
+
+       )
+
+10213 \ 1
+       #SMR02_SCKE
+smr02
+.
+b\99
+.
+_SCKE
+
+
+       )
+
+10214 \ 1
+       #SMR02_SOE
+smr02
+.
+b\99
+.
+_SOE
+
+
+       )
+
+10215 \ 1
+       #SMR02_MD
+smr02
+.
+b\99c
+.
+_MD
+
+
+       )
+
+10216 
+__IO_EXTERN
+__io
+SSR02STR
+       gs¤02
+;
+
+10217 \ 1
+       #SSR02
+s¤02
+.
+by\8b
+
+
+       )
+
+10218 \ 1
+       #SSR02_PE
+s¤02
+.
+b\99
+.
+_PE
+
+
+       )
+
+10219 \ 1
+       #SSR02_ORE
+s¤02
+.
+b\99
+.
+_ORE
+
+
+       )
+
+10220 \ 1
+       #SSR02_FRE
+s¤02
+.
+b\99
+.
+_FRE
+
+
+       )
+
+10221 \ 1
+       #SSR02_RDRF
+s¤02
+.
+b\99
+.
+_RDRF
+
+
+       )
+
+10222 \ 1
+       #SSR02_TDRE
+s¤02
+.
+b\99
+.
+_TDRE
+
+
+       )
+
+10223 \ 1
+       #SSR02_BDS
+s¤02
+.
+b\99
+.
+_BDS
+
+
+       )
+
+10224 \ 1
+       #SSR02_RIE
+s¤02
+.
+b\99
+.
+_RIE
+
+
+       )
+
+10225 \ 1
+       #SSR02_TIE
+s¤02
+.
+b\99
+.
+_TIE
+
+
+       )
+
+10226 
+__IO_EXTERN
+__io
+IO_BYTE
+       grdr02
+;
+
+10227 \ 1
+       #RDR02
+rdr02
+
+
+       )
+
+10228 
+__IO_EXTERN
+__io
+IO_BYTE
+       gtdr02
+;
+
+10229 \ 1
+       #TDR02
+tdr02
+
+
+       )
+
+10230 
+__IO_EXTERN
+__io
+ESCR02STR
+       gesü02
+;
+
+10231 \ 1
+       #ESCR02
+esü02
+.
+by\8b
+
+
+       )
+
+10232 \ 1
+       #ESCR02_LBIE
+esü02
+.
+b\99
+.
+_LBIE
+
+
+       )
+
+10233 \ 1
+       #ESCR02_LBD
+esü02
+.
+b\99
+.
+_LBD
+
+
+       )
+
+10234 \ 1
+       #ESCR02_LBL1
+esü02
+.
+b\99
+.
+_LBL1
+
+
+       )
+
+10235 \ 1
+       #ESCR02_LBL0
+esü02
+.
+b\99
+.
+_LBL0
+
+
+       )
+
+10236 \ 1
+       #ESCR02_SOPE
+esü02
+.
+b\99
+.
+_SOPE
+
+
+       )
+
+10237 \ 1
+       #ESCR02_SIOP
+esü02
+.
+b\99
+.
+_SIOP
+
+
+       )
+
+10238 \ 1
+       #ESCR02_CCO
+esü02
+.
+b\99
+.
+_CCO
+
+
+       )
+
+10239 \ 1
+       #ESCR02_SCES
+esü02
+.
+b\99
+.
+_SCES
+
+
+       )
+
+10240 \ 1
+       #ESCR02_LBL
+esü02
+.
+b\99c
+.
+_LBL
+
+
+       )
+
+10241 
+__IO_EXTERN
+__io
+ECCR02STR
+       gecü02
+;
+
+10242 \ 1
+       #ECCR02
+ecü02
+.
+by\8b
+
+
+       )
+
+10243 \ 1
+       #ECCR02_INV
+ecü02
+.
+b\99
+.
+_INV
+
+
+       )
+
+10244 \ 1
+       #ECCR02_LBR
+ecü02
+.
+b\99
+.
+_LBR
+
+
+       )
+
+10245 \ 1
+       #ECCR02_MS
+ecü02
+.
+b\99
+.
+_MS
+
+
+       )
+
+10246 \ 1
+       #ECCR02_SCDE
+ecü02
+.
+b\99
+.
+_SCDE
+
+
+       )
+
+10247 \ 1
+       #ECCR02_SSM
+ecü02
+.
+b\99
+.
+_SSM
+
+
+       )
+
+10248 \ 1
+       #ECCR02_BIE
+ecü02
+.
+b\99
+.
+_BIE
+
+
+       )
+
+10249 \ 1
+       #ECCR02_RBI
+ecü02
+.
+b\99
+.
+_RBI
+
+
+       )
+
+10250 \ 1
+       #ECCR02_TBI
+ecü02
+.
+b\99
+.
+_TBI
+
+
+       )
+
+10251 
+__IO_EXTERN
+__io
+SCR03STR
+       gsü03
+;
+
+10252 \ 1
+       #SCR03
+sü03
+.
+by\8b
+
+
+       )
+
+10253 \ 1
+       #SCR03_PEN
+sü03
+.
+b\99
+.
+_PEN
+
+
+       )
+
+10254 \ 1
+       #SCR03_P
+sü03
+.
+b\99
+.
+_P
+
+
+       )
+
+10255 \ 1
+       #SCR03_SBL
+sü03
+.
+b\99
+.
+_SBL
+
+
+       )
+
+10256 \ 1
+       #SCR03_CL
+sü03
+.
+b\99
+.
+_CL
+
+
+       )
+
+10257 \ 1
+       #SCR03_AD
+sü03
+.
+b\99
+.
+_AD
+
+
+       )
+
+10258 \ 1
+       #SCR03_CRE
+sü03
+.
+b\99
+.
+_CRE
+
+
+       )
+
+10259 \ 1
+       #SCR03_RXE
+sü03
+.
+b\99
+.
+_RXE
+
+
+       )
+
+10260 \ 1
+       #SCR03_TXE
+sü03
+.
+b\99
+.
+_TXE
+
+
+       )
+
+10261 
+__IO_EXTERN
+__io
+SMR03STR
+       gsmr03
+;
+
+10262 \ 1
+       #SMR03
+smr03
+.
+by\8b
+
+
+       )
+
+10263 \ 1
+       #SMR03_MD1
+smr03
+.
+b\99
+.
+_MD1
+
+
+       )
+
+10264 \ 1
+       #SMR03_MD0
+smr03
+.
+b\99
+.
+_MD0
+
+
+       )
+
+10265 \ 1
+       #SMR03_OTO
+smr03
+.
+b\99
+.
+_OTO
+
+
+       )
+
+10266 \ 1
+       #SMR03_EXT
+smr03
+.
+b\99
+.
+_EXT
+
+
+       )
+
+10267 \ 1
+       #SMR03_REST
+smr03
+.
+b\99
+.
+_REST
+
+
+       )
+
+10268 \ 1
+       #SMR03_UPCL
+smr03
+.
+b\99
+.
+_UPCL
+
+
+       )
+
+10269 \ 1
+       #SMR03_SCKE
+smr03
+.
+b\99
+.
+_SCKE
+
+
+       )
+
+10270 \ 1
+       #SMR03_SOE
+smr03
+.
+b\99
+.
+_SOE
+
+
+       )
+
+10271 \ 1
+       #SMR03_MD
+smr03
+.
+b\99c
+.
+_MD
+
+
+       )
+
+10272 
+__IO_EXTERN
+__io
+SSR03STR
+       gs¤03
+;
+
+10273 \ 1
+       #SSR03
+s¤03
+.
+by\8b
+
+
+       )
+
+10274 \ 1
+       #SSR03_PE
+s¤03
+.
+b\99
+.
+_PE
+
+
+       )
+
+10275 \ 1
+       #SSR03_ORE
+s¤03
+.
+b\99
+.
+_ORE
+
+
+       )
+
+10276 \ 1
+       #SSR03_FRE
+s¤03
+.
+b\99
+.
+_FRE
+
+
+       )
+
+10277 \ 1
+       #SSR03_RDRF
+s¤03
+.
+b\99
+.
+_RDRF
+
+
+       )
+
+10278 \ 1
+       #SSR03_TDRE
+s¤03
+.
+b\99
+.
+_TDRE
+
+
+       )
+
+10279 \ 1
+       #SSR03_BDS
+s¤03
+.
+b\99
+.
+_BDS
+
+
+       )
+
+10280 \ 1
+       #SSR03_RIE
+s¤03
+.
+b\99
+.
+_RIE
+
+
+       )
+
+10281 \ 1
+       #SSR03_TIE
+s¤03
+.
+b\99
+.
+_TIE
+
+
+       )
+
+10282 
+__IO_EXTERN
+__io
+IO_BYTE
+       grdr03
+;
+
+10283 \ 1
+       #RDR03
+rdr03
+
+
+       )
+
+10284 
+__IO_EXTERN
+__io
+IO_BYTE
+       gtdr03
+;
+
+10285 \ 1
+       #TDR03
+tdr03
+
+
+       )
+
+10286 
+__IO_EXTERN
+__io
+ESCR03STR
+       gesü03
+;
+
+10287 \ 1
+       #ESCR03
+esü03
+.
+by\8b
+
+
+       )
+
+10288 \ 1
+       #ESCR03_LBIE
+esü03
+.
+b\99
+.
+_LBIE
+
+
+       )
+
+10289 \ 1
+       #ESCR03_LBD
+esü03
+.
+b\99
+.
+_LBD
+
+
+       )
+
+10290 \ 1
+       #ESCR03_LBL1
+esü03
+.
+b\99
+.
+_LBL1
+
+
+       )
+
+10291 \ 1
+       #ESCR03_LBL0
+esü03
+.
+b\99
+.
+_LBL0
+
+
+       )
+
+10292 \ 1
+       #ESCR03_SOPE
+esü03
+.
+b\99
+.
+_SOPE
+
+
+       )
+
+10293 \ 1
+       #ESCR03_SIOP
+esü03
+.
+b\99
+.
+_SIOP
+
+
+       )
+
+10294 \ 1
+       #ESCR03_CCO
+esü03
+.
+b\99
+.
+_CCO
+
+
+       )
+
+10295 \ 1
+       #ESCR03_SCES
+esü03
+.
+b\99
+.
+_SCES
+
+
+       )
+
+10296 \ 1
+       #ESCR03_LBL
+esü03
+.
+b\99c
+.
+_LBL
+
+
+       )
+
+10297 
+__IO_EXTERN
+__io
+ECCR03STR
+       gecü03
+;
+
+10298 \ 1
+       #ECCR03
+ecü03
+.
+by\8b
+
+
+       )
+
+10299 \ 1
+       #ECCR03_INV
+ecü03
+.
+b\99
+.
+_INV
+
+
+       )
+
+10300 \ 1
+       #ECCR03_LBR
+ecü03
+.
+b\99
+.
+_LBR
+
+
+       )
+
+10301 \ 1
+       #ECCR03_MS
+ecü03
+.
+b\99
+.
+_MS
+
+
+       )
+
+10302 \ 1
+       #ECCR03_SCDE
+ecü03
+.
+b\99
+.
+_SCDE
+
+
+       )
+
+10303 \ 1
+       #ECCR03_SSM
+ecü03
+.
+b\99
+.
+_SSM
+
+
+       )
+
+10304 \ 1
+       #ECCR03_BIE
+ecü03
+.
+b\99
+.
+_BIE
+
+
+       )
+
+10305 \ 1
+       #ECCR03_RBI
+ecü03
+.
+b\99
+.
+_RBI
+
+
+       )
+
+10306 \ 1
+       #ECCR03_TBI
+ecü03
+.
+b\99
+.
+_TBI
+
+
+       )
+
+10307 
+__IO_EXTERN
+__io
+SCR04STR
+       gsü04
+;
+
+10308 \ 1
+       #SCR04
+sü04
+.
+by\8b
+
+
+       )
+
+10309 \ 1
+       #SCR04_PEN
+sü04
+.
+b\99
+.
+_PEN
+
+
+       )
+
+10310 \ 1
+       #SCR04_P
+sü04
+.
+b\99
+.
+_P
+
+
+       )
+
+10311 \ 1
+       #SCR04_SBL
+sü04
+.
+b\99
+.
+_SBL
+
+
+       )
+
+10312 \ 1
+       #SCR04_CL
+sü04
+.
+b\99
+.
+_CL
+
+
+       )
+
+10313 \ 1
+       #SCR04_AD
+sü04
+.
+b\99
+.
+_AD
+
+
+       )
+
+10314 \ 1
+       #SCR04_CRE
+sü04
+.
+b\99
+.
+_CRE
+
+
+       )
+
+10315 \ 1
+       #SCR04_RXE
+sü04
+.
+b\99
+.
+_RXE
+
+
+       )
+
+10316 \ 1
+       #SCR04_TXE
+sü04
+.
+b\99
+.
+_TXE
+
+
+       )
+
+10317 
+__IO_EXTERN
+__io
+SMR04STR
+       gsmr04
+;
+
+10318 \ 1
+       #SMR04
+smr04
+.
+by\8b
+
+
+       )
+
+10319 \ 1
+       #SMR04_MD1
+smr04
+.
+b\99
+.
+_MD1
+
+
+       )
+
+10320 \ 1
+       #SMR04_MD0
+smr04
+.
+b\99
+.
+_MD0
+
+
+       )
+
+10321 \ 1
+       #SMR04_OTO
+smr04
+.
+b\99
+.
+_OTO
+
+
+       )
+
+10322 \ 1
+       #SMR04_EXT
+smr04
+.
+b\99
+.
+_EXT
+
+
+       )
+
+10323 \ 1
+       #SMR04_REST
+smr04
+.
+b\99
+.
+_REST
+
+
+       )
+
+10324 \ 1
+       #SMR04_UPCL
+smr04
+.
+b\99
+.
+_UPCL
+
+
+       )
+
+10325 \ 1
+       #SMR04_SCKE
+smr04
+.
+b\99
+.
+_SCKE
+
+
+       )
+
+10326 \ 1
+       #SMR04_SOE
+smr04
+.
+b\99
+.
+_SOE
+
+
+       )
+
+10327 \ 1
+       #SMR04_MD
+smr04
+.
+b\99c
+.
+_MD
+
+
+       )
+
+10328 
+__IO_EXTERN
+__io
+SSR04STR
+       gs¤04
+;
+
+10329 \ 1
+       #SSR04
+s¤04
+.
+by\8b
+
+
+       )
+
+10330 \ 1
+       #SSR04_PE
+s¤04
+.
+b\99
+.
+_PE
+
+
+       )
+
+10331 \ 1
+       #SSR04_ORE
+s¤04
+.
+b\99
+.
+_ORE
+
+
+       )
+
+10332 \ 1
+       #SSR04_FRE
+s¤04
+.
+b\99
+.
+_FRE
+
+
+       )
+
+10333 \ 1
+       #SSR04_RDRF
+s¤04
+.
+b\99
+.
+_RDRF
+
+
+       )
+
+10334 \ 1
+       #SSR04_TDRE
+s¤04
+.
+b\99
+.
+_TDRE
+
+
+       )
+
+10335 \ 1
+       #SSR04_BDS
+s¤04
+.
+b\99
+.
+_BDS
+
+
+       )
+
+10336 \ 1
+       #SSR04_RIE
+s¤04
+.
+b\99
+.
+_RIE
+
+
+       )
+
+10337 \ 1
+       #SSR04_TIE
+s¤04
+.
+b\99
+.
+_TIE
+
+
+       )
+
+10338 
+__IO_EXTERN
+__io
+IO_BYTE
+       grdr04
+;
+
+10339 \ 1
+       #RDR04
+rdr04
+
+
+       )
+
+10340 
+__IO_EXTERN
+__io
+IO_BYTE
+       gtdr04
+;
+
+10341 \ 1
+       #TDR04
+tdr04
+
+
+       )
+
+10342 
+__IO_EXTERN
+__io
+ESCR04STR
+       gesü04
+;
+
+10343 \ 1
+       #ESCR04
+esü04
+.
+by\8b
+
+
+       )
+
+10344 \ 1
+       #ESCR04_LBIE
+esü04
+.
+b\99
+.
+_LBIE
+
+
+       )
+
+10345 \ 1
+       #ESCR04_LBD
+esü04
+.
+b\99
+.
+_LBD
+
+
+       )
+
+10346 \ 1
+       #ESCR04_LBL1
+esü04
+.
+b\99
+.
+_LBL1
+
+
+       )
+
+10347 \ 1
+       #ESCR04_LBL0
+esü04
+.
+b\99
+.
+_LBL0
+
+
+       )
+
+10348 \ 1
+       #ESCR04_SOPE
+esü04
+.
+b\99
+.
+_SOPE
+
+
+       )
+
+10349 \ 1
+       #ESCR04_SIOP
+esü04
+.
+b\99
+.
+_SIOP
+
+
+       )
+
+10350 \ 1
+       #ESCR04_CCO
+esü04
+.
+b\99
+.
+_CCO
+
+
+       )
+
+10351 \ 1
+       #ESCR04_SCES
+esü04
+.
+b\99
+.
+_SCES
+
+
+       )
+
+10352 \ 1
+       #ESCR04_LBL
+esü04
+.
+b\99c
+.
+_LBL
+
+
+       )
+
+10353 
+__IO_EXTERN
+__io
+ECCR04STR
+       gecü04
+;
+
+10354 \ 1
+       #ECCR04
+ecü04
+.
+by\8b
+
+
+       )
+
+10355 \ 1
+       #ECCR04_INV
+ecü04
+.
+b\99
+.
+_INV
+
+
+       )
+
+10356 \ 1
+       #ECCR04_LBR
+ecü04
+.
+b\99
+.
+_LBR
+
+
+       )
+
+10357 \ 1
+       #ECCR04_MS
+ecü04
+.
+b\99
+.
+_MS
+
+
+       )
+
+10358 \ 1
+       #ECCR04_SCDE
+ecü04
+.
+b\99
+.
+_SCDE
+
+
+       )
+
+10359 \ 1
+       #ECCR04_SSM
+ecü04
+.
+b\99
+.
+_SSM
+
+
+       )
+
+10360 \ 1
+       #ECCR04_BIE
+ecü04
+.
+b\99
+.
+_BIE
+
+
+       )
+
+10361 \ 1
+       #ECCR04_RBI
+ecü04
+.
+b\99
+.
+_RBI
+
+
+       )
+
+10362 \ 1
+       #ECCR04_TBI
+ecü04
+.
+b\99
+.
+_TBI
+
+
+       )
+
+10363 
+__IO_EXTERN
+__io
+IO_BYTE
+       gf¤04
+;
+
+10364 \ 1
+       #FSR04
+f¤04
+
+
+       )
+
+10365 
+__IO_EXTERN
+__io
+FCR04STR
+       gfü04
+;
+
+10366 \ 1
+       #FCR04
+fü04
+.
+by\8b
+
+
+       )
+
+10367 \ 1
+       #FCR04_RXL3
+fü04
+.
+b\99
+.
+_RXL3
+
+
+       )
+
+10368 \ 1
+       #FCR04_RXL2
+fü04
+.
+b\99
+.
+_RXL2
+
+
+       )
+
+10369 \ 1
+       #FCR04_RXL1
+fü04
+.
+b\99
+.
+_RXL1
+
+
+       )
+
+10370 \ 1
+       #FCR04_RXL0
+fü04
+.
+b\99
+.
+_RXL0
+
+
+       )
+
+10371 \ 1
+       #FCR04_ERX
+fü04
+.
+b\99
+.
+_ERX
+
+
+       )
+
+10372 \ 1
+       #FCR04_ETX
+fü04
+.
+b\99
+.
+_ETX
+
+
+       )
+
+10373 \ 1
+       #FCR04_SVD
+fü04
+.
+b\99
+.
+_SVD
+
+
+       )
+
+10374 \ 1
+       #FCR04_RXL
+fü04
+.
+b\99c
+.
+_RXL
+
+
+       )
+
+10375 
+__IO_EXTERN
+__io
+IO_WORD
+       gbgr00
+;
+
+10376 \ 1
+       #BGR00
+bgr00
+
+
+       )
+
+10377 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr100
+;
+
+10378 \ 1
+       #BGR100
+bgr100
+
+
+       )
+
+10379 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr000
+;
+
+10380 \ 1
+       #BGR000
+bgr000
+
+
+       )
+
+10381 
+__IO_EXTERN
+__io
+IO_WORD
+       gbgr01
+;
+
+10382 \ 1
+       #BGR01
+bgr01
+
+
+       )
+
+10383 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr101
+;
+
+10384 \ 1
+       #BGR101
+bgr101
+
+
+       )
+
+10385 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr001
+;
+
+10386 \ 1
+       #BGR001
+bgr001
+
+
+       )
+
+10387 
+__IO_EXTERN
+__io
+IO_WORD
+       gbgr02
+;
+
+10388 \ 1
+       #BGR02
+bgr02
+
+
+       )
+
+10389 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr102
+;
+
+10390 \ 1
+       #BGR102
+bgr102
+
+
+       )
+
+10391 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr002
+;
+
+10392 \ 1
+       #BGR002
+bgr002
+
+
+       )
+
+10393 
+__IO_EXTERN
+__io
+IO_WORD
+       gbgr03
+;
+
+10394 \ 1
+       #BGR03
+bgr03
+
+
+       )
+
+10395 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr103
+;
+
+10396 \ 1
+       #BGR103
+bgr103
+
+
+       )
+
+10397 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr003
+;
+
+10398 \ 1
+       #BGR003
+bgr003
+
+
+       )
+
+10399 
+__IO_EXTERN
+__io
+IO_WORD
+       gbgr04
+;
+
+10400 \ 1
+       #BGR04
+bgr04
+
+
+       )
+
+10401 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr104
+;
+
+10402 \ 1
+       #BGR104
+bgr104
+
+
+       )
+
+10403 
+__IO_EXTERN
+__io
+IO_BYTE
+       gbgr004
+;
+
+10404 \ 1
+       #BGR004
+bgr004
+
+
+       )
+
+10405 
+__IO_EXTERN
+__io
+IBCR0STR
+       gibü0
+;
+
+10406 \ 1
+       #IBCR0
+ibü0
+.
+by\8b
+
+
+       )
+
+10407 \ 1
+       #IBCR0_BER
+ibü0
+.
+b\99
+.
+_BER
+
+
+       )
+
+10408 \ 1
+       #IBCR0_BEIE
+ibü0
+.
+b\99
+.
+_BEIE
+
+
+       )
+
+10409 \ 1
+       #IBCR0_SCC
+ibü0
+.
+b\99
+.
+_SCC
+
+
+       )
+
+10410 \ 1
+       #IBCR0_MSS
+ibü0
+.
+b\99
+.
+_MSS
+
+
+       )
+
+10411 \ 1
+       #IBCR0_ACK
+ibü0
+.
+b\99
+.
+_ACK
+
+
+       )
+
+10412 \ 1
+       #IBCR0_GCAA
+ibü0
+.
+b\99
+.
+_GCAA
+
+
+       )
+
+10413 \ 1
+       #IBCR0_INTE
+ibü0
+.
+b\99
+.
+_INTE
+
+
+       )
+
+10414 \ 1
+       #IBCR0_INT
+ibü0
+.
+b\99
+.
+_INT
+
+
+       )
+
+10415 
+__IO_EXTERN
+__io
+IBSR0STR
+       gib¤0
+;
+
+10416 \ 1
+       #IBSR0
+ib¤0
+.
+by\8b
+
+
+       )
+
+10417 \ 1
+       #IBSR0_BB
+ib¤0
+.
+b\99
+.
+_BB
+
+
+       )
+
+10418 \ 1
+       #IBSR0_RSC
+ib¤0
+.
+b\99
+.
+_RSC
+
+
+       )
+
+10419 \ 1
+       #IBSR0_AL
+ib¤0
+.
+b\99
+.
+_AL
+
+
+       )
+
+10420 \ 1
+       #IBSR0_LRB
+ib¤0
+.
+b\99
+.
+_LRB
+
+
+       )
+
+10421 \ 1
+       #IBSR0_TRX
+ib¤0
+.
+b\99
+.
+_TRX
+
+
+       )
+
+10422 \ 1
+       #IBSR0_AAS
+ib¤0
+.
+b\99
+.
+_AAS
+
+
+       )
+
+10423 \ 1
+       #IBSR0_GCA
+ib¤0
+.
+b\99
+.
+_GCA
+
+
+       )
+
+10424 \ 1
+       #IBSR0_ADT
+ib¤0
+.
+b\99
+.
+_ADT
+
+
+       )
+
+10425 
+__IO_EXTERN
+__io
+ITBA0STR
+       g\99ba0
+;
+
+10426 \ 1
+       #ITBA0
+\99ba0
+.
+wÜd
+
+
+       )
+
+10427 \ 1
+       #ITBA0_TA9
+\99ba0
+.
+b\99
+.
+_TA9
+
+
+       )
+
+10428 \ 1
+       #ITBA0_TA8
+\99ba0
+.
+b\99
+.
+_TA8
+
+
+       )
+
+10429 \ 1
+       #ITBA0_TA7
+\99ba0
+.
+b\99
+.
+_TA7
+
+
+       )
+
+10430 \ 1
+       #ITBA0_TA6
+\99ba0
+.
+b\99
+.
+_TA6
+
+
+       )
+
+10431 \ 1
+       #ITBA0_TA5
+\99ba0
+.
+b\99
+.
+_TA5
+
+
+       )
+
+10432 \ 1
+       #ITBA0_TA4
+\99ba0
+.
+b\99
+.
+_TA4
+
+
+       )
+
+10433 \ 1
+       #ITBA0_TA3
+\99ba0
+.
+b\99
+.
+_TA3
+
+
+       )
+
+10434 \ 1
+       #ITBA0_TA2
+\99ba0
+.
+b\99
+.
+_TA2
+
+
+       )
+
+10435 \ 1
+       #ITBA0_TA1
+\99ba0
+.
+b\99
+.
+_TA1
+
+
+       )
+
+10436 \ 1
+       #ITBA0_TA0
+\99ba0
+.
+b\99
+.
+_TA0
+
+
+       )
+
+10437 
+__IO_EXTERN
+__io
+ITBAH0STR
+       g\99bah0
+;
+
+10438 \ 1
+       #ITBAH0
+\99bah0
+.
+by\8b
+
+
+       )
+
+10439 \ 1
+       #ITBAH0_TA9
+\99bah0
+.
+b\99
+.
+_TA9
+
+
+       )
+
+10440 \ 1
+       #ITBAH0_TA8
+\99bah0
+.
+b\99
+.
+_TA8
+
+
+       )
+
+10441 
+__IO_EXTERN
+__io
+ITBAL0STR
+       g\99b®0
+;
+
+10442 \ 1
+       #ITBAL0
+\99b®0
+.
+by\8b
+
+
+       )
+
+10443 \ 1
+       #ITBAL0_TA7
+\99b®0
+.
+b\99
+.
+_TA7
+
+
+       )
+
+10444 \ 1
+       #ITBAL0_TA6
+\99b®0
+.
+b\99
+.
+_TA6
+
+
+       )
+
+10445 \ 1
+       #ITBAL0_TA5
+\99b®0
+.
+b\99
+.
+_TA5
+
+
+       )
+
+10446 \ 1
+       #ITBAL0_TA4
+\99b®0
+.
+b\99
+.
+_TA4
+
+
+       )
+
+10447 \ 1
+       #ITBAL0_TA3
+\99b®0
+.
+b\99
+.
+_TA3
+
+
+       )
+
+10448 \ 1
+       #ITBAL0_TA2
+\99b®0
+.
+b\99
+.
+_TA2
+
+
+       )
+
+10449 \ 1
+       #ITBAL0_TA1
+\99b®0
+.
+b\99
+.
+_TA1
+
+
+       )
+
+10450 \ 1
+       #ITBAL0_TA0
+\99b®0
+.
+b\99
+.
+_TA0
+
+
+       )
+
+10451 
+__IO_EXTERN
+__io
+ITMK0STR
+       g\99mk0
+;
+
+10452 \ 1
+       #ITMK0
+\99mk0
+.
+wÜd
+
+
+       )
+
+10453 \ 1
+       #ITMK0_ENTB
+\99mk0
+.
+b\99
+.
+_ENTB
+
+
+       )
+
+10454 \ 1
+       #ITMK0_RAL
+\99mk0
+.
+b\99
+.
+_RAL
+
+
+       )
+
+10455 \ 1
+       #ITMK0_TM9
+\99mk0
+.
+b\99
+.
+_TM9
+
+
+       )
+
+10456 \ 1
+       #ITMK0_TM8
+\99mk0
+.
+b\99
+.
+_TM8
+
+
+       )
+
+10457 \ 1
+       #ITMK0_TM7
+\99mk0
+.
+b\99
+.
+_TM7
+
+
+       )
+
+10458 \ 1
+       #ITMK0_TM6
+\99mk0
+.
+b\99
+.
+_TM6
+
+
+       )
+
+10459 \ 1
+       #ITMK0_TM5
+\99mk0
+.
+b\99
+.
+_TM5
+
+
+       )
+
+10460 \ 1
+       #ITMK0_TM4
+\99mk0
+.
+b\99
+.
+_TM4
+
+
+       )
+
+10461 \ 1
+       #ITMK0_TM3
+\99mk0
+.
+b\99
+.
+_TM3
+
+
+       )
+
+10462 \ 1
+       #ITMK0_TM2
+\99mk0
+.
+b\99
+.
+_TM2
+
+
+       )
+
+10463 \ 1
+       #ITMK0_TM1
+\99mk0
+.
+b\99
+.
+_TM1
+
+
+       )
+
+10464 \ 1
+       #ITMK0_TM0
+\99mk0
+.
+b\99
+.
+_TM0
+
+
+       )
+
+10465 
+__IO_EXTERN
+__io
+ITMKH0STR
+       g\99mkh0
+;
+
+10466 \ 1
+       #ITMKH0
+\99mkh0
+.
+by\8b
+
+
+       )
+
+10467 \ 1
+       #ITMKH0_ENTB
+\99mkh0
+.
+b\99
+.
+_ENTB
+
+
+       )
+
+10468 \ 1
+       #ITMKH0_RAL
+\99mkh0
+.
+b\99
+.
+_RAL
+
+
+       )
+
+10469 \ 1
+       #ITMKH0_TM9
+\99mkh0
+.
+b\99
+.
+_TM9
+
+
+       )
+
+10470 \ 1
+       #ITMKH0_TM8
+\99mkh0
+.
+b\99
+.
+_TM8
+
+
+       )
+
+10471 
+__IO_EXTERN
+__io
+ITMKL0STR
+       g\99mkl0
+;
+
+10472 \ 1
+       #ITMKL0
+\99mkl0
+.
+by\8b
+
+
+       )
+
+10473 \ 1
+       #ITMKL0_TM7
+\99mkl0
+.
+b\99
+.
+_TM7
+
+
+       )
+
+10474 \ 1
+       #ITMKL0_TM6
+\99mkl0
+.
+b\99
+.
+_TM6
+
+
+       )
+
+10475 \ 1
+       #ITMKL0_TM5
+\99mkl0
+.
+b\99
+.
+_TM5
+
+
+       )
+
+10476 \ 1
+       #ITMKL0_TM4
+\99mkl0
+.
+b\99
+.
+_TM4
+
+
+       )
+
+10477 \ 1
+       #ITMKL0_TM3
+\99mkl0
+.
+b\99
+.
+_TM3
+
+
+       )
+
+10478 \ 1
+       #ITMKL0_TM2
+\99mkl0
+.
+b\99
+.
+_TM2
+
+
+       )
+
+10479 \ 1
+       #ITMKL0_TM1
+\99mkl0
+.
+b\99
+.
+_TM1
+
+
+       )
+
+10480 \ 1
+       #ITMKL0_TM0
+\99mkl0
+.
+b\99
+.
+_TM0
+
+
+       )
+
+10481 
+__IO_EXTERN
+__io
+ISMK0STR
+       gismk0
+;
+
+10482 \ 1
+       #ISMK0
+ismk0
+.
+by\8b
+
+
+       )
+
+10483 \ 1
+       #ISMK0_ENSB
+ismk0
+.
+b\99
+.
+_ENSB
+
+
+       )
+
+10484 \ 1
+       #ISMK0_SM6
+ismk0
+.
+b\99
+.
+_SM6
+
+
+       )
+
+10485 \ 1
+       #ISMK0_SM5
+ismk0
+.
+b\99
+.
+_SM5
+
+
+       )
+
+10486 \ 1
+       #ISMK0_SM4
+ismk0
+.
+b\99
+.
+_SM4
+
+
+       )
+
+10487 \ 1
+       #ISMK0_SM3
+ismk0
+.
+b\99
+.
+_SM3
+
+
+       )
+
+10488 \ 1
+       #ISMK0_SM2
+ismk0
+.
+b\99
+.
+_SM2
+
+
+       )
+
+10489 \ 1
+       #ISMK0_SM1
+ismk0
+.
+b\99
+.
+_SM1
+
+
+       )
+
+10490 \ 1
+       #ISMK0_SM0
+ismk0
+.
+b\99
+.
+_SM0
+
+
+       )
+
+10491 
+__IO_EXTERN
+__io
+ISBA0STR
+       gisba0
+;
+
+10492 \ 1
+       #ISBA0
+isba0
+.
+by\8b
+
+
+       )
+
+10493 \ 1
+       #ISBA0_SA6
+isba0
+.
+b\99
+.
+_SA6
+
+
+       )
+
+10494 \ 1
+       #ISBA0_SA5
+isba0
+.
+b\99
+.
+_SA5
+
+
+       )
+
+10495 \ 1
+       #ISBA0_SA4
+isba0
+.
+b\99
+.
+_SA4
+
+
+       )
+
+10496 \ 1
+       #ISBA0_SA3
+isba0
+.
+b\99
+.
+_SA3
+
+
+       )
+
+10497 \ 1
+       #ISBA0_SA2
+isba0
+.
+b\99
+.
+_SA2
+
+
+       )
+
+10498 \ 1
+       #ISBA0_SA1
+isba0
+.
+b\99
+.
+_SA1
+
+
+       )
+
+10499 \ 1
+       #ISBA0_SA0
+isba0
+.
+b\99
+.
+_SA0
+
+
+       )
+
+10500 
+__IO_EXTERN
+__io
+IDAR0STR
+       gid¬0
+;
+
+10501 \ 1
+       #IDAR0
+id¬0
+.
+by\8b
+
+
+       )
+
+10502 \ 1
+       #IDAR0_D7
+id¬0
+.
+b\99
+.
+_D7
+
+
+       )
+
+10503 \ 1
+       #IDAR0_D6
+id¬0
+.
+b\99
+.
+_D6
+
+
+       )
+
+10504 \ 1
+       #IDAR0_D5
+id¬0
+.
+b\99
+.
+_D5
+
+
+       )
+
+10505 \ 1
+       #IDAR0_D4
+id¬0
+.
+b\99
+.
+_D4
+
+
+       )
+
+10506 \ 1
+       #IDAR0_D3
+id¬0
+.
+b\99
+.
+_D3
+
+
+       )
+
+10507 \ 1
+       #IDAR0_D2
+id¬0
+.
+b\99
+.
+_D2
+
+
+       )
+
+10508 \ 1
+       #IDAR0_D1
+id¬0
+.
+b\99
+.
+_D1
+
+
+       )
+
+10509 \ 1
+       #IDAR0_D0
+id¬0
+.
+b\99
+.
+_D0
+
+
+       )
+
+10510 
+__IO_EXTERN
+__io
+ICCR0STR
+       gicü0
+;
+
+10511 \ 1
+       #ICCR0
+icü0
+.
+by\8b
+
+
+       )
+
+10512 \ 1
+       #ICCR0_NSF
+icü0
+.
+b\99
+.
+_NSF
+
+
+       )
+
+10513 \ 1
+       #ICCR0_EN
+icü0
+.
+b\99
+.
+_EN
+
+
+       )
+
+10514 \ 1
+       #ICCR0_CS4
+icü0
+.
+b\99
+.
+_CS4
+
+
+       )
+
+10515 \ 1
+       #ICCR0_CS3
+icü0
+.
+b\99
+.
+_CS3
+
+
+       )
+
+10516 \ 1
+       #ICCR0_CS2
+icü0
+.
+b\99
+.
+_CS2
+
+
+       )
+
+10517 \ 1
+       #ICCR0_CS1
+icü0
+.
+b\99
+.
+_CS1
+
+
+       )
+
+10518 \ 1
+       #ICCR0_CS0
+icü0
+.
+b\99
+.
+_CS0
+
+
+       )
+
+10519 \ 1
+       #ICCR0_CS
+icü0
+.
+b\99c
+.
+_CS
+
+
+       )
+
+10520 
+__IO_EXTERN
+GCN10STR
+       ggú10
+;
+
+10521 \ 1
+       #GCN10
+gú10
+.
+wÜd
+
+
+       )
+
+10522 \ 1
+       #GCN10_TSEL33
+gú10
+.
+b\99
+.
+_TSEL33
+
+
+       )
+
+10523 \ 1
+       #GCN10_TSEL32
+gú10
+.
+b\99
+.
+_TSEL32
+
+
+       )
+
+10524 \ 1
+       #GCN10_TSEL31
+gú10
+.
+b\99
+.
+_TSEL31
+
+
+       )
+
+10525 \ 1
+       #GCN10_TSEL30
+gú10
+.
+b\99
+.
+_TSEL30
+
+
+       )
+
+10526 \ 1
+       #GCN10_TSEL23
+gú10
+.
+b\99
+.
+_TSEL23
+
+
+       )
+
+10527 \ 1
+       #GCN10_TSEL22
+gú10
+.
+b\99
+.
+_TSEL22
+
+
+       )
+
+10528 \ 1
+       #GCN10_TSEL21
+gú10
+.
+b\99
+.
+_TSEL21
+
+
+       )
+
+10529 \ 1
+       #GCN10_TSEL20
+gú10
+.
+b\99
+.
+_TSEL20
+
+
+       )
+
+10530 \ 1
+       #GCN10_TSEL13
+gú10
+.
+b\99
+.
+_TSEL13
+
+
+       )
+
+10531 \ 1
+       #GCN10_TSEL12
+gú10
+.
+b\99
+.
+_TSEL12
+
+
+       )
+
+10532 \ 1
+       #GCN10_TSEL11
+gú10
+.
+b\99
+.
+_TSEL11
+
+
+       )
+
+10533 \ 1
+       #GCN10_TSEL10
+gú10
+.
+b\99
+.
+_TSEL10
+
+
+       )
+
+10534 \ 1
+       #GCN10_TSEL03
+gú10
+.
+b\99
+.
+_TSEL03
+
+
+       )
+
+10535 \ 1
+       #GCN10_TSEL02
+gú10
+.
+b\99
+.
+_TSEL02
+
+
+       )
+
+10536 \ 1
+       #GCN10_TSEL01
+gú10
+.
+b\99
+.
+_TSEL01
+
+
+       )
+
+10537 \ 1
+       #GCN10_TSEL00
+gú10
+.
+b\99
+.
+_TSEL00
+
+
+       )
+
+10538 
+__IO_EXTERN
+GCN20STR
+       ggú20
+;
+
+10539 \ 1
+       #GCN20
+gú20
+.
+by\8b
+
+
+       )
+
+10540 \ 1
+       #GCN20_EN3
+gú20
+.
+b\99
+.
+_EN3
+
+
+       )
+
+10541 \ 1
+       #GCN20_EN2
+gú20
+.
+b\99
+.
+_EN2
+
+
+       )
+
+10542 \ 1
+       #GCN20_EN1
+gú20
+.
+b\99
+.
+_EN1
+
+
+       )
+
+10543 \ 1
+       #GCN20_EN0
+gú20
+.
+b\99
+.
+_EN0
+
+
+       )
+
+10544 
+__IO_EXTERN
+GCN11STR
+       ggú11
+;
+
+10545 \ 1
+       #GCN11
+gú11
+.
+wÜd
+
+
+       )
+
+10546 \ 1
+       #GCN11_TSEL33
+gú11
+.
+b\99
+.
+_TSEL33
+
+
+       )
+
+10547 \ 1
+       #GCN11_TSEL32
+gú11
+.
+b\99
+.
+_TSEL32
+
+
+       )
+
+10548 \ 1
+       #GCN11_TSEL31
+gú11
+.
+b\99
+.
+_TSEL31
+
+
+       )
+
+10549 \ 1
+       #GCN11_TSEL30
+gú11
+.
+b\99
+.
+_TSEL30
+
+
+       )
+
+10550 \ 1
+       #GCN11_TSEL23
+gú11
+.
+b\99
+.
+_TSEL23
+
+
+       )
+
+10551 \ 1
+       #GCN11_TSEL22
+gú11
+.
+b\99
+.
+_TSEL22
+
+
+       )
+
+10552 \ 1
+       #GCN11_TSEL21
+gú11
+.
+b\99
+.
+_TSEL21
+
+
+       )
+
+10553 \ 1
+       #GCN11_TSEL20
+gú11
+.
+b\99
+.
+_TSEL20
+
+
+       )
+
+10554 \ 1
+       #GCN11_TSEL13
+gú11
+.
+b\99
+.
+_TSEL13
+
+
+       )
+
+10555 \ 1
+       #GCN11_TSEL12
+gú11
+.
+b\99
+.
+_TSEL12
+
+
+       )
+
+10556 \ 1
+       #GCN11_TSEL11
+gú11
+.
+b\99
+.
+_TSEL11
+
+
+       )
+
+10557 \ 1
+       #GCN11_TSEL10
+gú11
+.
+b\99
+.
+_TSEL10
+
+
+       )
+
+10558 \ 1
+       #GCN11_TSEL03
+gú11
+.
+b\99
+.
+_TSEL03
+
+
+       )
+
+10559 \ 1
+       #GCN11_TSEL02
+gú11
+.
+b\99
+.
+_TSEL02
+
+
+       )
+
+10560 \ 1
+       #GCN11_TSEL01
+gú11
+.
+b\99
+.
+_TSEL01
+
+
+       )
+
+10561 \ 1
+       #GCN11_TSEL00
+gú11
+.
+b\99
+.
+_TSEL00
+
+
+       )
+
+10562 
+__IO_EXTERN
+GCN21STR
+       ggú21
+;
+
+10563 \ 1
+       #GCN21
+gú21
+.
+by\8b
+
+
+       )
+
+10564 \ 1
+       #GCN21_EN3
+gú21
+.
+b\99
+.
+_EN3
+
+
+       )
+
+10565 \ 1
+       #GCN21_EN2
+gú21
+.
+b\99
+.
+_EN2
+
+
+       )
+
+10566 \ 1
+       #GCN21_EN1
+gú21
+.
+b\99
+.
+_EN1
+
+
+       )
+
+10567 \ 1
+       #GCN21_EN0
+gú21
+.
+b\99
+.
+_EN0
+
+
+       )
+
+10568 
+__IO_EXTERN
+GCN12STR
+       ggú12
+;
+
+10569 \ 1
+       #GCN12
+gú12
+.
+wÜd
+
+
+       )
+
+10570 \ 1
+       #GCN12_TSEL33
+gú12
+.
+b\99
+.
+_TSEL33
+
+
+       )
+
+10571 \ 1
+       #GCN12_TSEL32
+gú12
+.
+b\99
+.
+_TSEL32
+
+
+       )
+
+10572 \ 1
+       #GCN12_TSEL31
+gú12
+.
+b\99
+.
+_TSEL31
+
+
+       )
+
+10573 \ 1
+       #GCN12_TSEL30
+gú12
+.
+b\99
+.
+_TSEL30
+
+
+       )
+
+10574 \ 1
+       #GCN12_TSEL23
+gú12
+.
+b\99
+.
+_TSEL23
+
+
+       )
+
+10575 \ 1
+       #GCN12_TSEL22
+gú12
+.
+b\99
+.
+_TSEL22
+
+
+       )
+
+10576 \ 1
+       #GCN12_TSEL21
+gú12
+.
+b\99
+.
+_TSEL21
+
+
+       )
+
+10577 \ 1
+       #GCN12_TSEL20
+gú12
+.
+b\99
+.
+_TSEL20
+
+
+       )
+
+10578 \ 1
+       #GCN12_TSEL13
+gú12
+.
+b\99
+.
+_TSEL13
+
+
+       )
+
+10579 \ 1
+       #GCN12_TSEL12
+gú12
+.
+b\99
+.
+_TSEL12
+
+
+       )
+
+10580 \ 1
+       #GCN12_TSEL11
+gú12
+.
+b\99
+.
+_TSEL11
+
+
+       )
+
+10581 \ 1
+       #GCN12_TSEL10
+gú12
+.
+b\99
+.
+_TSEL10
+
+
+       )
+
+10582 \ 1
+       #GCN12_TSEL03
+gú12
+.
+b\99
+.
+_TSEL03
+
+
+       )
+
+10583 \ 1
+       #GCN12_TSEL02
+gú12
+.
+b\99
+.
+_TSEL02
+
+
+       )
+
+10584 \ 1
+       #GCN12_TSEL01
+gú12
+.
+b\99
+.
+_TSEL01
+
+
+       )
+
+10585 \ 1
+       #GCN12_TSEL00
+gú12
+.
+b\99
+.
+_TSEL00
+
+
+       )
+
+10586 
+__IO_EXTERN
+GCN22STR
+       ggú22
+;
+
+10587 \ 1
+       #GCN22
+gú22
+.
+by\8b
+
+
+       )
+
+10588 \ 1
+       #GCN22_EN3
+gú22
+.
+b\99
+.
+_EN3
+
+
+       )
+
+10589 \ 1
+       #GCN22_EN2
+gú22
+.
+b\99
+.
+_EN2
+
+
+       )
+
+10590 \ 1
+       #GCN22_EN1
+gú22
+.
+b\99
+.
+_EN1
+
+
+       )
+
+10591 \ 1
+       #GCN22_EN0
+gú22
+.
+b\99
+.
+_EN0
+
+
+       )
+
+10592 
+__IO_EXTERN
+IO_WORD
+       g±mr00
+;
+
+10593 \ 1
+       #PTMR00
+±mr00
+
+
+       )
+
+10594 
+__IO_EXTERN
+IO_WORD
+       gpc¤00
+;
+
+10595 \ 1
+       #PCSR00
+pc¤00
+
+
+       )
+
+10596 
+__IO_EXTERN
+IO_WORD
+       gpdut00
+;
+
+10597 \ 1
+       #PDUT00
+pdut00
+
+
+       )
+
+10598 
+__IO_EXTERN
+PCN00STR
+       gpú00
+;
+
+10599 \ 1
+       #PCN00
+pú00
+.
+wÜd
+
+
+       )
+
+10600 \ 1
+       #PCN00_CNTE
+pú00
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10601 \ 1
+       #PCN00_STGR
+pú00
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10602 \ 1
+       #PCN00_MDSE
+pú00
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10603 \ 1
+       #PCN00_RTRG
+pú00
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10604 \ 1
+       #PCN00_CKS1
+pú00
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10605 \ 1
+       #PCN00_CKS0
+pú00
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10606 \ 1
+       #PCN00_PGMS
+pú00
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10607 \ 1
+       #PCN00_EGS1
+pú00
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10608 \ 1
+       #PCN00_EGS0
+pú00
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10609 \ 1
+       #PCN00_IREN
+pú00
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10610 \ 1
+       #PCN00_IRQF
+pú00
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10611 \ 1
+       #PCN00_IRS1
+pú00
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10612 \ 1
+       #PCN00_IRS0
+pú00
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10613 \ 1
+       #PCN00_OSEL
+pú00
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10614 \ 1
+       #PCN00_CKS
+pú00
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10615 \ 1
+       #PCN00_EGS
+pú00
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10616 \ 1
+       #PCN00_IRS
+pú00
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10617 
+__IO_EXTERN
+PCNH00STR
+       gpúh00
+;
+
+10618 \ 1
+       #PCNH00
+púh00
+.
+by\8b
+
+
+       )
+
+10619 \ 1
+       #PCNH00_CNTE
+púh00
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10620 \ 1
+       #PCNH00_STGR
+púh00
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10621 \ 1
+       #PCNH00_MDSE
+púh00
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10622 \ 1
+       #PCNH00_RTRG
+púh00
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10623 \ 1
+       #PCNH00_CKS1
+púh00
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10624 \ 1
+       #PCNH00_CKS0
+púh00
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10625 \ 1
+       #PCNH00_PGMS
+púh00
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10626 \ 1
+       #PCNH00_CKS
+púh00
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10627 
+__IO_EXTERN
+PCNL00STR
+       gpúl00
+;
+
+10628 \ 1
+       #PCNL00
+púl00
+.
+by\8b
+
+
+       )
+
+10629 \ 1
+       #PCNL00_EGS1
+púl00
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10630 \ 1
+       #PCNL00_EGS0
+púl00
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10631 \ 1
+       #PCNL00_IREN
+púl00
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10632 \ 1
+       #PCNL00_IRQF
+púl00
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10633 \ 1
+       #PCNL00_IRS1
+púl00
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10634 \ 1
+       #PCNL00_IRS0
+púl00
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10635 \ 1
+       #PCNL00_OSEL
+púl00
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10636 \ 1
+       #PCNL00_EGS
+púl00
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10637 \ 1
+       #PCNL00_IRS
+púl00
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10638 
+__IO_EXTERN
+IO_WORD
+       g±mr01
+;
+
+10639 \ 1
+       #PTMR01
+±mr01
+
+
+       )
+
+10640 
+__IO_EXTERN
+IO_WORD
+       gpc¤01
+;
+
+10641 \ 1
+       #PCSR01
+pc¤01
+
+
+       )
+
+10642 
+__IO_EXTERN
+IO_WORD
+       gpdut01
+;
+
+10643 \ 1
+       #PDUT01
+pdut01
+
+
+       )
+
+10644 
+__IO_EXTERN
+PCN01STR
+       gpú01
+;
+
+10645 \ 1
+       #PCN01
+pú01
+.
+wÜd
+
+
+       )
+
+10646 \ 1
+       #PCN01_CNTE
+pú01
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10647 \ 1
+       #PCN01_STGR
+pú01
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10648 \ 1
+       #PCN01_MDSE
+pú01
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10649 \ 1
+       #PCN01_RTRG
+pú01
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10650 \ 1
+       #PCN01_CKS1
+pú01
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10651 \ 1
+       #PCN01_CKS0
+pú01
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10652 \ 1
+       #PCN01_PGMS
+pú01
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10653 \ 1
+       #PCN01_EGS1
+pú01
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10654 \ 1
+       #PCN01_EGS0
+pú01
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10655 \ 1
+       #PCN01_IREN
+pú01
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10656 \ 1
+       #PCN01_IRQF
+pú01
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10657 \ 1
+       #PCN01_IRS1
+pú01
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10658 \ 1
+       #PCN01_IRS0
+pú01
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10659 \ 1
+       #PCN01_OSEL
+pú01
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10660 \ 1
+       #PCN01_CKS
+pú01
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10661 \ 1
+       #PCN01_EGS
+pú01
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10662 \ 1
+       #PCN01_IRS
+pú01
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10663 
+__IO_EXTERN
+PCNH01STR
+       gpúh01
+;
+
+10664 \ 1
+       #PCNH01
+púh01
+.
+by\8b
+
+
+       )
+
+10665 \ 1
+       #PCNH01_CNTE
+púh01
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10666 \ 1
+       #PCNH01_STGR
+púh01
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10667 \ 1
+       #PCNH01_MDSE
+púh01
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10668 \ 1
+       #PCNH01_RTRG
+púh01
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10669 \ 1
+       #PCNH01_CKS1
+púh01
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10670 \ 1
+       #PCNH01_CKS0
+púh01
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10671 \ 1
+       #PCNH01_PGMS
+púh01
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10672 \ 1
+       #PCNH01_CKS
+púh01
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10673 
+__IO_EXTERN
+PCNL01STR
+       gpúl01
+;
+
+10674 \ 1
+       #PCNL01
+púl01
+.
+by\8b
+
+
+       )
+
+10675 \ 1
+       #PCNL01_EGS1
+púl01
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10676 \ 1
+       #PCNL01_EGS0
+púl01
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10677 \ 1
+       #PCNL01_IREN
+púl01
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10678 \ 1
+       #PCNL01_IRQF
+púl01
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10679 \ 1
+       #PCNL01_IRS1
+púl01
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10680 \ 1
+       #PCNL01_IRS0
+púl01
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10681 \ 1
+       #PCNL01_OSEL
+púl01
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10682 \ 1
+       #PCNL01_EGS
+púl01
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10683 \ 1
+       #PCNL01_IRS
+púl01
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10684 
+__IO_EXTERN
+IO_WORD
+       g±mr02
+;
+
+10685 \ 1
+       #PTMR02
+±mr02
+
+
+       )
+
+10686 
+__IO_EXTERN
+IO_WORD
+       gpc¤02
+;
+
+10687 \ 1
+       #PCSR02
+pc¤02
+
+
+       )
+
+10688 
+__IO_EXTERN
+IO_WORD
+       gpdut02
+;
+
+10689 \ 1
+       #PDUT02
+pdut02
+
+
+       )
+
+10690 
+__IO_EXTERN
+PCN02STR
+       gpú02
+;
+
+10691 \ 1
+       #PCN02
+pú02
+.
+wÜd
+
+
+       )
+
+10692 \ 1
+       #PCN02_CNTE
+pú02
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10693 \ 1
+       #PCN02_STGR
+pú02
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10694 \ 1
+       #PCN02_MDSE
+pú02
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10695 \ 1
+       #PCN02_RTRG
+pú02
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10696 \ 1
+       #PCN02_CKS1
+pú02
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10697 \ 1
+       #PCN02_CKS0
+pú02
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10698 \ 1
+       #PCN02_PGMS
+pú02
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10699 \ 1
+       #PCN02_EGS1
+pú02
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10700 \ 1
+       #PCN02_EGS0
+pú02
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10701 \ 1
+       #PCN02_IREN
+pú02
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10702 \ 1
+       #PCN02_IRQF
+pú02
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10703 \ 1
+       #PCN02_IRS1
+pú02
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10704 \ 1
+       #PCN02_IRS0
+pú02
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10705 \ 1
+       #PCN02_OSEL
+pú02
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10706 \ 1
+       #PCN02_CKS
+pú02
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10707 \ 1
+       #PCN02_EGS
+pú02
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10708 \ 1
+       #PCN02_IRS
+pú02
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10709 
+__IO_EXTERN
+PCNH02STR
+       gpúh02
+;
+
+10710 \ 1
+       #PCNH02
+púh02
+.
+by\8b
+
+
+       )
+
+10711 \ 1
+       #PCNH02_CNTE
+púh02
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10712 \ 1
+       #PCNH02_STGR
+púh02
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10713 \ 1
+       #PCNH02_MDSE
+púh02
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10714 \ 1
+       #PCNH02_RTRG
+púh02
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10715 \ 1
+       #PCNH02_CKS1
+púh02
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10716 \ 1
+       #PCNH02_CKS0
+púh02
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10717 \ 1
+       #PCNH02_PGMS
+púh02
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10718 \ 1
+       #PCNH02_CKS
+púh02
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10719 
+__IO_EXTERN
+PCNL02STR
+       gpúl02
+;
+
+10720 \ 1
+       #PCNL02
+púl02
+.
+by\8b
+
+
+       )
+
+10721 \ 1
+       #PCNL02_EGS1
+púl02
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10722 \ 1
+       #PCNL02_EGS0
+púl02
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10723 \ 1
+       #PCNL02_IREN
+púl02
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10724 \ 1
+       #PCNL02_IRQF
+púl02
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10725 \ 1
+       #PCNL02_IRS1
+púl02
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10726 \ 1
+       #PCNL02_IRS0
+púl02
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10727 \ 1
+       #PCNL02_OSEL
+púl02
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10728 \ 1
+       #PCNL02_EGS
+púl02
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10729 \ 1
+       #PCNL02_IRS
+púl02
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10730 
+__IO_EXTERN
+IO_WORD
+       g±mr03
+;
+
+10731 \ 1
+       #PTMR03
+±mr03
+
+
+       )
+
+10732 
+__IO_EXTERN
+IO_WORD
+       gpc¤03
+;
+
+10733 \ 1
+       #PCSR03
+pc¤03
+
+
+       )
+
+10734 
+__IO_EXTERN
+IO_WORD
+       gpdut03
+;
+
+10735 \ 1
+       #PDUT03
+pdut03
+
+
+       )
+
+10736 
+__IO_EXTERN
+PCN03STR
+       gpú03
+;
+
+10737 \ 1
+       #PCN03
+pú03
+.
+wÜd
+
+
+       )
+
+10738 \ 1
+       #PCN03_CNTE
+pú03
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10739 \ 1
+       #PCN03_STGR
+pú03
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10740 \ 1
+       #PCN03_MDSE
+pú03
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10741 \ 1
+       #PCN03_RTRG
+pú03
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10742 \ 1
+       #PCN03_CKS1
+pú03
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10743 \ 1
+       #PCN03_CKS0
+pú03
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10744 \ 1
+       #PCN03_PGMS
+pú03
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10745 \ 1
+       #PCN03_EGS1
+pú03
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10746 \ 1
+       #PCN03_EGS0
+pú03
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10747 \ 1
+       #PCN03_IREN
+pú03
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10748 \ 1
+       #PCN03_IRQF
+pú03
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10749 \ 1
+       #PCN03_IRS1
+pú03
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10750 \ 1
+       #PCN03_IRS0
+pú03
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10751 \ 1
+       #PCN03_OSEL
+pú03
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10752 \ 1
+       #PCN03_CKS
+pú03
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10753 \ 1
+       #PCN03_EGS
+pú03
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10754 \ 1
+       #PCN03_IRS
+pú03
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10755 
+__IO_EXTERN
+PCNH03STR
+       gpúh03
+;
+
+10756 \ 1
+       #PCNH03
+púh03
+.
+by\8b
+
+
+       )
+
+10757 \ 1
+       #PCNH03_CNTE
+púh03
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10758 \ 1
+       #PCNH03_STGR
+púh03
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10759 \ 1
+       #PCNH03_MDSE
+púh03
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10760 \ 1
+       #PCNH03_RTRG
+púh03
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10761 \ 1
+       #PCNH03_CKS1
+púh03
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10762 \ 1
+       #PCNH03_CKS0
+púh03
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10763 \ 1
+       #PCNH03_PGMS
+púh03
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10764 \ 1
+       #PCNH03_CKS
+púh03
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10765 
+__IO_EXTERN
+PCNL03STR
+       gpúl03
+;
+
+10766 \ 1
+       #PCNL03
+púl03
+.
+by\8b
+
+
+       )
+
+10767 \ 1
+       #PCNL03_EGS1
+púl03
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10768 \ 1
+       #PCNL03_EGS0
+púl03
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10769 \ 1
+       #PCNL03_IREN
+púl03
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10770 \ 1
+       #PCNL03_IRQF
+púl03
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10771 \ 1
+       #PCNL03_IRS1
+púl03
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10772 \ 1
+       #PCNL03_IRS0
+púl03
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10773 \ 1
+       #PCNL03_OSEL
+púl03
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10774 \ 1
+       #PCNL03_EGS
+púl03
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10775 \ 1
+       #PCNL03_IRS
+púl03
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10776 
+__IO_EXTERN
+IO_WORD
+       g±mr04
+;
+
+10777 \ 1
+       #PTMR04
+±mr04
+
+
+       )
+
+10778 
+__IO_EXTERN
+IO_WORD
+       gpc¤04
+;
+
+10779 \ 1
+       #PCSR04
+pc¤04
+
+
+       )
+
+10780 
+__IO_EXTERN
+IO_WORD
+       gpdut04
+;
+
+10781 \ 1
+       #PDUT04
+pdut04
+
+
+       )
+
+10782 
+__IO_EXTERN
+PCN04STR
+       gpú04
+;
+
+10783 \ 1
+       #PCN04
+pú04
+.
+wÜd
+
+
+       )
+
+10784 \ 1
+       #PCN04_CNTE
+pú04
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10785 \ 1
+       #PCN04_STGR
+pú04
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10786 \ 1
+       #PCN04_MDSE
+pú04
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10787 \ 1
+       #PCN04_RTRG
+pú04
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10788 \ 1
+       #PCN04_CKS1
+pú04
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10789 \ 1
+       #PCN04_CKS0
+pú04
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10790 \ 1
+       #PCN04_PGMS
+pú04
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10791 \ 1
+       #PCN04_EGS1
+pú04
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10792 \ 1
+       #PCN04_EGS0
+pú04
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10793 \ 1
+       #PCN04_IREN
+pú04
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10794 \ 1
+       #PCN04_IRQF
+pú04
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10795 \ 1
+       #PCN04_IRS1
+pú04
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10796 \ 1
+       #PCN04_IRS0
+pú04
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10797 \ 1
+       #PCN04_OSEL
+pú04
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10798 \ 1
+       #PCN04_CKS
+pú04
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10799 \ 1
+       #PCN04_EGS
+pú04
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10800 \ 1
+       #PCN04_IRS
+pú04
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10801 
+__IO_EXTERN
+PCNH04STR
+       gpúh04
+;
+
+10802 \ 1
+       #PCNH04
+púh04
+.
+by\8b
+
+
+       )
+
+10803 \ 1
+       #PCNH04_CNTE
+púh04
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10804 \ 1
+       #PCNH04_STGR
+púh04
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10805 \ 1
+       #PCNH04_MDSE
+púh04
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10806 \ 1
+       #PCNH04_RTRG
+púh04
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10807 \ 1
+       #PCNH04_CKS1
+púh04
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10808 \ 1
+       #PCNH04_CKS0
+púh04
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10809 \ 1
+       #PCNH04_PGMS
+púh04
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10810 \ 1
+       #PCNH04_CKS
+púh04
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10811 
+__IO_EXTERN
+PCNL04STR
+       gpúl04
+;
+
+10812 \ 1
+       #PCNL04
+púl04
+.
+by\8b
+
+
+       )
+
+10813 \ 1
+       #PCNL04_EGS1
+púl04
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10814 \ 1
+       #PCNL04_EGS0
+púl04
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10815 \ 1
+       #PCNL04_IREN
+púl04
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10816 \ 1
+       #PCNL04_IRQF
+púl04
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10817 \ 1
+       #PCNL04_IRS1
+púl04
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10818 \ 1
+       #PCNL04_IRS0
+púl04
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10819 \ 1
+       #PCNL04_OSEL
+púl04
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10820 \ 1
+       #PCNL04_EGS
+púl04
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10821 \ 1
+       #PCNL04_IRS
+púl04
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10822 
+__IO_EXTERN
+IO_WORD
+       g±mr05
+;
+
+10823 \ 1
+       #PTMR05
+±mr05
+
+
+       )
+
+10824 
+__IO_EXTERN
+IO_WORD
+       gpc¤05
+;
+
+10825 \ 1
+       #PCSR05
+pc¤05
+
+
+       )
+
+10826 
+__IO_EXTERN
+IO_WORD
+       gpdut05
+;
+
+10827 \ 1
+       #PDUT05
+pdut05
+
+
+       )
+
+10828 
+__IO_EXTERN
+PCN05STR
+       gpú05
+;
+
+10829 \ 1
+       #PCN05
+pú05
+.
+wÜd
+
+
+       )
+
+10830 \ 1
+       #PCN05_CNTE
+pú05
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10831 \ 1
+       #PCN05_STGR
+pú05
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10832 \ 1
+       #PCN05_MDSE
+pú05
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10833 \ 1
+       #PCN05_RTRG
+pú05
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10834 \ 1
+       #PCN05_CKS1
+pú05
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10835 \ 1
+       #PCN05_CKS0
+pú05
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10836 \ 1
+       #PCN05_PGMS
+pú05
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10837 \ 1
+       #PCN05_EGS1
+pú05
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10838 \ 1
+       #PCN05_EGS0
+pú05
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10839 \ 1
+       #PCN05_IREN
+pú05
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10840 \ 1
+       #PCN05_IRQF
+pú05
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10841 \ 1
+       #PCN05_IRS1
+pú05
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10842 \ 1
+       #PCN05_IRS0
+pú05
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10843 \ 1
+       #PCN05_OSEL
+pú05
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10844 \ 1
+       #PCN05_CKS
+pú05
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10845 \ 1
+       #PCN05_EGS
+pú05
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10846 \ 1
+       #PCN05_IRS
+pú05
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10847 
+__IO_EXTERN
+PCNH05STR
+       gpúh05
+;
+
+10848 \ 1
+       #PCNH05
+púh05
+.
+by\8b
+
+
+       )
+
+10849 \ 1
+       #PCNH05_CNTE
+púh05
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10850 \ 1
+       #PCNH05_STGR
+púh05
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10851 \ 1
+       #PCNH05_MDSE
+púh05
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10852 \ 1
+       #PCNH05_RTRG
+púh05
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10853 \ 1
+       #PCNH05_CKS1
+púh05
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10854 \ 1
+       #PCNH05_CKS0
+púh05
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10855 \ 1
+       #PCNH05_PGMS
+púh05
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10856 \ 1
+       #PCNH05_CKS
+púh05
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10857 
+__IO_EXTERN
+PCNL05STR
+       gpúl05
+;
+
+10858 \ 1
+       #PCNL05
+púl05
+.
+by\8b
+
+
+       )
+
+10859 \ 1
+       #PCNL05_EGS1
+púl05
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10860 \ 1
+       #PCNL05_EGS0
+púl05
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10861 \ 1
+       #PCNL05_IREN
+púl05
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10862 \ 1
+       #PCNL05_IRQF
+púl05
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10863 \ 1
+       #PCNL05_IRS1
+púl05
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10864 \ 1
+       #PCNL05_IRS0
+púl05
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10865 \ 1
+       #PCNL05_OSEL
+púl05
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10866 \ 1
+       #PCNL05_EGS
+púl05
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10867 \ 1
+       #PCNL05_IRS
+púl05
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10868 
+__IO_EXTERN
+IO_WORD
+       g±mr06
+;
+
+10869 \ 1
+       #PTMR06
+±mr06
+
+
+       )
+
+10870 
+__IO_EXTERN
+IO_WORD
+       gpc¤06
+;
+
+10871 \ 1
+       #PCSR06
+pc¤06
+
+
+       )
+
+10872 
+__IO_EXTERN
+IO_WORD
+       gpdut06
+;
+
+10873 \ 1
+       #PDUT06
+pdut06
+
+
+       )
+
+10874 
+__IO_EXTERN
+PCN06STR
+       gpú06
+;
+
+10875 \ 1
+       #PCN06
+pú06
+.
+wÜd
+
+
+       )
+
+10876 \ 1
+       #PCN06_CNTE
+pú06
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10877 \ 1
+       #PCN06_STGR
+pú06
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10878 \ 1
+       #PCN06_MDSE
+pú06
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10879 \ 1
+       #PCN06_RTRG
+pú06
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10880 \ 1
+       #PCN06_CKS1
+pú06
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10881 \ 1
+       #PCN06_CKS0
+pú06
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10882 \ 1
+       #PCN06_PGMS
+pú06
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10883 \ 1
+       #PCN06_EGS1
+pú06
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10884 \ 1
+       #PCN06_EGS0
+pú06
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10885 \ 1
+       #PCN06_IREN
+pú06
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10886 \ 1
+       #PCN06_IRQF
+pú06
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10887 \ 1
+       #PCN06_IRS1
+pú06
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10888 \ 1
+       #PCN06_IRS0
+pú06
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10889 \ 1
+       #PCN06_OSEL
+pú06
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10890 \ 1
+       #PCN06_CKS
+pú06
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10891 \ 1
+       #PCN06_EGS
+pú06
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10892 \ 1
+       #PCN06_IRS
+pú06
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10893 
+__IO_EXTERN
+PCNH06STR
+       gpúh06
+;
+
+10894 \ 1
+       #PCNH06
+púh06
+.
+by\8b
+
+
+       )
+
+10895 \ 1
+       #PCNH06_CNTE
+púh06
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10896 \ 1
+       #PCNH06_STGR
+púh06
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10897 \ 1
+       #PCNH06_MDSE
+púh06
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10898 \ 1
+       #PCNH06_RTRG
+púh06
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10899 \ 1
+       #PCNH06_CKS1
+púh06
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10900 \ 1
+       #PCNH06_CKS0
+púh06
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10901 \ 1
+       #PCNH06_PGMS
+púh06
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10902 \ 1
+       #PCNH06_CKS
+púh06
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10903 
+__IO_EXTERN
+PCNL06STR
+       gpúl06
+;
+
+10904 \ 1
+       #PCNL06
+púl06
+.
+by\8b
+
+
+       )
+
+10905 \ 1
+       #PCNL06_EGS1
+púl06
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10906 \ 1
+       #PCNL06_EGS0
+púl06
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10907 \ 1
+       #PCNL06_IREN
+púl06
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10908 \ 1
+       #PCNL06_IRQF
+púl06
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10909 \ 1
+       #PCNL06_IRS1
+púl06
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10910 \ 1
+       #PCNL06_IRS0
+púl06
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10911 \ 1
+       #PCNL06_OSEL
+púl06
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10912 \ 1
+       #PCNL06_EGS
+púl06
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10913 \ 1
+       #PCNL06_IRS
+púl06
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10914 
+__IO_EXTERN
+IO_WORD
+       g±mr07
+;
+
+10915 \ 1
+       #PTMR07
+±mr07
+
+
+       )
+
+10916 
+__IO_EXTERN
+IO_WORD
+       gpc¤07
+;
+
+10917 \ 1
+       #PCSR07
+pc¤07
+
+
+       )
+
+10918 
+__IO_EXTERN
+IO_WORD
+       gpdut07
+;
+
+10919 \ 1
+       #PDUT07
+pdut07
+
+
+       )
+
+10920 
+__IO_EXTERN
+PCN07STR
+       gpú07
+;
+
+10921 \ 1
+       #PCN07
+pú07
+.
+wÜd
+
+
+       )
+
+10922 \ 1
+       #PCN07_CNTE
+pú07
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10923 \ 1
+       #PCN07_STGR
+pú07
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10924 \ 1
+       #PCN07_MDSE
+pú07
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10925 \ 1
+       #PCN07_RTRG
+pú07
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10926 \ 1
+       #PCN07_CKS1
+pú07
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10927 \ 1
+       #PCN07_CKS0
+pú07
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10928 \ 1
+       #PCN07_PGMS
+pú07
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10929 \ 1
+       #PCN07_EGS1
+pú07
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10930 \ 1
+       #PCN07_EGS0
+pú07
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10931 \ 1
+       #PCN07_IREN
+pú07
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10932 \ 1
+       #PCN07_IRQF
+pú07
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10933 \ 1
+       #PCN07_IRS1
+pú07
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10934 \ 1
+       #PCN07_IRS0
+pú07
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10935 \ 1
+       #PCN07_OSEL
+pú07
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10936 \ 1
+       #PCN07_CKS
+pú07
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10937 \ 1
+       #PCN07_EGS
+pú07
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10938 \ 1
+       #PCN07_IRS
+pú07
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10939 
+__IO_EXTERN
+PCNH07STR
+       gpúh07
+;
+
+10940 \ 1
+       #PCNH07
+púh07
+.
+by\8b
+
+
+       )
+
+10941 \ 1
+       #PCNH07_CNTE
+púh07
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10942 \ 1
+       #PCNH07_STGR
+púh07
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10943 \ 1
+       #PCNH07_MDSE
+púh07
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10944 \ 1
+       #PCNH07_RTRG
+púh07
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10945 \ 1
+       #PCNH07_CKS1
+púh07
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10946 \ 1
+       #PCNH07_CKS0
+púh07
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10947 \ 1
+       #PCNH07_PGMS
+púh07
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10948 \ 1
+       #PCNH07_CKS
+púh07
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10949 
+__IO_EXTERN
+PCNL07STR
+       gpúl07
+;
+
+10950 \ 1
+       #PCNL07
+púl07
+.
+by\8b
+
+
+       )
+
+10951 \ 1
+       #PCNL07_EGS1
+púl07
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10952 \ 1
+       #PCNL07_EGS0
+púl07
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10953 \ 1
+       #PCNL07_IREN
+púl07
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10954 \ 1
+       #PCNL07_IRQF
+púl07
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10955 \ 1
+       #PCNL07_IRS1
+púl07
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10956 \ 1
+       #PCNL07_IRS0
+púl07
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10957 \ 1
+       #PCNL07_OSEL
+púl07
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10958 \ 1
+       #PCNL07_EGS
+púl07
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10959 \ 1
+       #PCNL07_IRS
+púl07
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10960 
+__IO_EXTERN
+IO_WORD
+       g±mr08
+;
+
+10961 \ 1
+       #PTMR08
+±mr08
+
+
+       )
+
+10962 
+__IO_EXTERN
+IO_WORD
+       gpc¤08
+;
+
+10963 \ 1
+       #PCSR08
+pc¤08
+
+
+       )
+
+10964 
+__IO_EXTERN
+IO_WORD
+       gpdut08
+;
+
+10965 \ 1
+       #PDUT08
+pdut08
+
+
+       )
+
+10966 
+__IO_EXTERN
+PCN08STR
+       gpú08
+;
+
+10967 \ 1
+       #PCN08
+pú08
+.
+wÜd
+
+
+       )
+
+10968 \ 1
+       #PCN08_CNTE
+pú08
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10969 \ 1
+       #PCN08_STGR
+pú08
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10970 \ 1
+       #PCN08_MDSE
+pú08
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10971 \ 1
+       #PCN08_RTRG
+pú08
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10972 \ 1
+       #PCN08_CKS1
+pú08
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10973 \ 1
+       #PCN08_CKS0
+pú08
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10974 \ 1
+       #PCN08_PGMS
+pú08
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10975 \ 1
+       #PCN08_EGS1
+pú08
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10976 \ 1
+       #PCN08_EGS0
+pú08
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10977 \ 1
+       #PCN08_IREN
+pú08
+.
+b\99
+.
+_IREN
+
+
+       )
+
+10978 \ 1
+       #PCN08_IRQF
+pú08
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+10979 \ 1
+       #PCN08_IRS1
+pú08
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+10980 \ 1
+       #PCN08_IRS0
+pú08
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+10981 \ 1
+       #PCN08_OSEL
+pú08
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+10982 \ 1
+       #PCN08_CKS
+pú08
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10983 \ 1
+       #PCN08_EGS
+pú08
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+10984 \ 1
+       #PCN08_IRS
+pú08
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+10985 
+__IO_EXTERN
+PCNH08STR
+       gpúh08
+;
+
+10986 \ 1
+       #PCNH08
+púh08
+.
+by\8b
+
+
+       )
+
+10987 \ 1
+       #PCNH08_CNTE
+púh08
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+10988 \ 1
+       #PCNH08_STGR
+púh08
+.
+b\99
+.
+_STGR
+
+
+       )
+
+10989 \ 1
+       #PCNH08_MDSE
+púh08
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+10990 \ 1
+       #PCNH08_RTRG
+púh08
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+10991 \ 1
+       #PCNH08_CKS1
+púh08
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+10992 \ 1
+       #PCNH08_CKS0
+púh08
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+10993 \ 1
+       #PCNH08_PGMS
+púh08
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+10994 \ 1
+       #PCNH08_CKS
+púh08
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+10995 
+__IO_EXTERN
+PCNL08STR
+       gpúl08
+;
+
+10996 \ 1
+       #PCNL08
+púl08
+.
+by\8b
+
+
+       )
+
+10997 \ 1
+       #PCNL08_EGS1
+púl08
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+10998 \ 1
+       #PCNL08_EGS0
+púl08
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+10999 \ 1
+       #PCNL08_IREN
+púl08
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11000 \ 1
+       #PCNL08_IRQF
+púl08
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11001 \ 1
+       #PCNL08_IRS1
+púl08
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11002 \ 1
+       #PCNL08_IRS0
+púl08
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11003 \ 1
+       #PCNL08_OSEL
+púl08
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11004 \ 1
+       #PCNL08_EGS
+púl08
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11005 \ 1
+       #PCNL08_IRS
+púl08
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11006 
+__IO_EXTERN
+IO_WORD
+       g±mr09
+;
+
+11007 \ 1
+       #PTMR09
+±mr09
+
+
+       )
+
+11008 
+__IO_EXTERN
+IO_WORD
+       gpc¤09
+;
+
+11009 \ 1
+       #PCSR09
+pc¤09
+
+
+       )
+
+11010 
+__IO_EXTERN
+IO_WORD
+       gpdut09
+;
+
+11011 \ 1
+       #PDUT09
+pdut09
+
+
+       )
+
+11012 
+__IO_EXTERN
+PCN09STR
+       gpú09
+;
+
+11013 \ 1
+       #PCN09
+pú09
+.
+wÜd
+
+
+       )
+
+11014 \ 1
+       #PCN09_CNTE
+pú09
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11015 \ 1
+       #PCN09_STGR
+pú09
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11016 \ 1
+       #PCN09_MDSE
+pú09
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11017 \ 1
+       #PCN09_RTRG
+pú09
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11018 \ 1
+       #PCN09_CKS1
+pú09
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11019 \ 1
+       #PCN09_CKS0
+pú09
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11020 \ 1
+       #PCN09_PGMS
+pú09
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11021 \ 1
+       #PCN09_EGS1
+pú09
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11022 \ 1
+       #PCN09_EGS0
+pú09
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11023 \ 1
+       #PCN09_IREN
+pú09
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11024 \ 1
+       #PCN09_IRQF
+pú09
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11025 \ 1
+       #PCN09_IRS1
+pú09
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11026 \ 1
+       #PCN09_IRS0
+pú09
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11027 \ 1
+       #PCN09_OSEL
+pú09
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11028 \ 1
+       #PCN09_CKS
+pú09
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11029 \ 1
+       #PCN09_EGS
+pú09
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11030 \ 1
+       #PCN09_IRS
+pú09
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11031 
+__IO_EXTERN
+PCNH09STR
+       gpúh09
+;
+
+11032 \ 1
+       #PCNH09
+púh09
+.
+by\8b
+
+
+       )
+
+11033 \ 1
+       #PCNH09_CNTE
+púh09
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11034 \ 1
+       #PCNH09_STGR
+púh09
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11035 \ 1
+       #PCNH09_MDSE
+púh09
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11036 \ 1
+       #PCNH09_RTRG
+púh09
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11037 \ 1
+       #PCNH09_CKS1
+púh09
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11038 \ 1
+       #PCNH09_CKS0
+púh09
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11039 \ 1
+       #PCNH09_PGMS
+púh09
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11040 \ 1
+       #PCNH09_CKS
+púh09
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11041 
+__IO_EXTERN
+PCNL09STR
+       gpúl09
+;
+
+11042 \ 1
+       #PCNL09
+púl09
+.
+by\8b
+
+
+       )
+
+11043 \ 1
+       #PCNL09_EGS1
+púl09
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11044 \ 1
+       #PCNL09_EGS0
+púl09
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11045 \ 1
+       #PCNL09_IREN
+púl09
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11046 \ 1
+       #PCNL09_IRQF
+púl09
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11047 \ 1
+       #PCNL09_IRS1
+púl09
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11048 \ 1
+       #PCNL09_IRS0
+púl09
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11049 \ 1
+       #PCNL09_OSEL
+púl09
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11050 \ 1
+       #PCNL09_EGS
+púl09
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11051 \ 1
+       #PCNL09_IRS
+púl09
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11052 
+__IO_EXTERN
+IO_WORD
+       g±mr10
+;
+
+11053 \ 1
+       #PTMR10
+±mr10
+
+
+       )
+
+11054 
+__IO_EXTERN
+IO_WORD
+       gpc¤10
+;
+
+11055 \ 1
+       #PCSR10
+pc¤10
+
+
+       )
+
+11056 
+__IO_EXTERN
+IO_WORD
+       gpdut10
+;
+
+11057 \ 1
+       #PDUT10
+pdut10
+
+
+       )
+
+11058 
+__IO_EXTERN
+PCN10STR
+       gpú10
+;
+
+11059 \ 1
+       #PCN10
+pú10
+.
+wÜd
+
+
+       )
+
+11060 \ 1
+       #PCN10_CNTE
+pú10
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11061 \ 1
+       #PCN10_STGR
+pú10
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11062 \ 1
+       #PCN10_MDSE
+pú10
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11063 \ 1
+       #PCN10_RTRG
+pú10
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11064 \ 1
+       #PCN10_CKS1
+pú10
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11065 \ 1
+       #PCN10_CKS0
+pú10
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11066 \ 1
+       #PCN10_PGMS
+pú10
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11067 \ 1
+       #PCN10_EGS1
+pú10
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11068 \ 1
+       #PCN10_EGS0
+pú10
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11069 \ 1
+       #PCN10_IREN
+pú10
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11070 \ 1
+       #PCN10_IRQF
+pú10
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11071 \ 1
+       #PCN10_IRS1
+pú10
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11072 \ 1
+       #PCN10_IRS0
+pú10
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11073 \ 1
+       #PCN10_OSEL
+pú10
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11074 \ 1
+       #PCN10_CKS
+pú10
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11075 \ 1
+       #PCN10_EGS
+pú10
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11076 \ 1
+       #PCN10_IRS
+pú10
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11077 
+__IO_EXTERN
+PCNH10STR
+       gpúh10
+;
+
+11078 \ 1
+       #PCNH10
+púh10
+.
+by\8b
+
+
+       )
+
+11079 \ 1
+       #PCNH10_CNTE
+púh10
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11080 \ 1
+       #PCNH10_STGR
+púh10
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11081 \ 1
+       #PCNH10_MDSE
+púh10
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11082 \ 1
+       #PCNH10_RTRG
+púh10
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11083 \ 1
+       #PCNH10_CKS1
+púh10
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11084 \ 1
+       #PCNH10_CKS0
+púh10
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11085 \ 1
+       #PCNH10_PGMS
+púh10
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11086 \ 1
+       #PCNH10_CKS
+púh10
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11087 
+__IO_EXTERN
+PCNL10STR
+       gpúl10
+;
+
+11088 \ 1
+       #PCNL10
+púl10
+.
+by\8b
+
+
+       )
+
+11089 \ 1
+       #PCNL10_EGS1
+púl10
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11090 \ 1
+       #PCNL10_EGS0
+púl10
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11091 \ 1
+       #PCNL10_IREN
+púl10
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11092 \ 1
+       #PCNL10_IRQF
+púl10
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11093 \ 1
+       #PCNL10_IRS1
+púl10
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11094 \ 1
+       #PCNL10_IRS0
+púl10
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11095 \ 1
+       #PCNL10_OSEL
+púl10
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11096 \ 1
+       #PCNL10_EGS
+púl10
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11097 \ 1
+       #PCNL10_IRS
+púl10
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11098 
+__IO_EXTERN
+IO_WORD
+       g±mr11
+;
+
+11099 \ 1
+       #PTMR11
+±mr11
+
+
+       )
+
+11100 
+__IO_EXTERN
+IO_WORD
+       gpc¤11
+;
+
+11101 \ 1
+       #PCSR11
+pc¤11
+
+
+       )
+
+11102 
+__IO_EXTERN
+IO_WORD
+       gpdut11
+;
+
+11103 \ 1
+       #PDUT11
+pdut11
+
+
+       )
+
+11104 
+__IO_EXTERN
+PCN11STR
+       gpú11
+;
+
+11105 \ 1
+       #PCN11
+pú11
+.
+wÜd
+
+
+       )
+
+11106 \ 1
+       #PCN11_CNTE
+pú11
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11107 \ 1
+       #PCN11_STGR
+pú11
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11108 \ 1
+       #PCN11_MDSE
+pú11
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11109 \ 1
+       #PCN11_RTRG
+pú11
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11110 \ 1
+       #PCN11_CKS1
+pú11
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11111 \ 1
+       #PCN11_CKS0
+pú11
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11112 \ 1
+       #PCN11_PGMS
+pú11
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11113 \ 1
+       #PCN11_EGS1
+pú11
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11114 \ 1
+       #PCN11_EGS0
+pú11
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11115 \ 1
+       #PCN11_IREN
+pú11
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11116 \ 1
+       #PCN11_IRQF
+pú11
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11117 \ 1
+       #PCN11_IRS1
+pú11
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11118 \ 1
+       #PCN11_IRS0
+pú11
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11119 \ 1
+       #PCN11_OSEL
+pú11
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11120 \ 1
+       #PCN11_CKS
+pú11
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11121 \ 1
+       #PCN11_EGS
+pú11
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11122 \ 1
+       #PCN11_IRS
+pú11
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11123 
+__IO_EXTERN
+PCNH11STR
+       gpúh11
+;
+
+11124 \ 1
+       #PCNH11
+púh11
+.
+by\8b
+
+
+       )
+
+11125 \ 1
+       #PCNH11_CNTE
+púh11
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11126 \ 1
+       #PCNH11_STGR
+púh11
+.
+b\99
+.
+_STGR
+
+
+       )
+
+11127 \ 1
+       #PCNH11_MDSE
+púh11
+.
+b\99
+.
+_MDSE
+
+
+       )
+
+11128 \ 1
+       #PCNH11_RTRG
+púh11
+.
+b\99
+.
+_RTRG
+
+
+       )
+
+11129 \ 1
+       #PCNH11_CKS1
+púh11
+.
+b\99
+.
+_CKS1
+
+
+       )
+
+11130 \ 1
+       #PCNH11_CKS0
+púh11
+.
+b\99
+.
+_CKS0
+
+
+       )
+
+11131 \ 1
+       #PCNH11_PGMS
+púh11
+.
+b\99
+.
+_PGMS
+
+
+       )
+
+11132 \ 1
+       #PCNH11_CKS
+púh11
+.
+b\99c
+.
+_CKS
+
+
+       )
+
+11133 
+__IO_EXTERN
+PCNL11STR
+       gpúl11
+;
+
+11134 \ 1
+       #PCNL11
+púl11
+.
+by\8b
+
+
+       )
+
+11135 \ 1
+       #PCNL11_EGS1
+púl11
+.
+b\99
+.
+_EGS1
+
+
+       )
+
+11136 \ 1
+       #PCNL11_EGS0
+púl11
+.
+b\99
+.
+_EGS0
+
+
+       )
+
+11137 \ 1
+       #PCNL11_IREN
+púl11
+.
+b\99
+.
+_IREN
+
+
+       )
+
+11138 \ 1
+       #PCNL11_IRQF
+púl11
+.
+b\99
+.
+_IRQF
+
+
+       )
+
+11139 \ 1
+       #PCNL11_IRS1
+púl11
+.
+b\99
+.
+_IRS1
+
+
+       )
+
+11140 \ 1
+       #PCNL11_IRS0
+púl11
+.
+b\99
+.
+_IRS0
+
+
+       )
+
+11141 \ 1
+       #PCNL11_OSEL
+púl11
+.
+b\99
+.
+_OSEL
+
+
+       )
+
+11142 \ 1
+       #PCNL11_EGS
+púl11
+.
+b\99c
+.
+_EGS
+
+
+       )
+
+11143 \ 1
+       #PCNL11_IRS
+púl11
+.
+b\99c
+.
+_IRS
+
+
+       )
+
+11144 
+__IO_EXTERN
+ICS01STR
+       gics01
+;
+
+11145 \ 1
+       #ICS01
+ics01
+.
+by\8b
+
+
+       )
+
+11146 \ 1
+       #ICS01_ICP1
+ics01
+.
+b\99
+.
+_ICP1
+
+
+       )
+
+11147 \ 1
+       #ICS01_ICP0
+ics01
+.
+b\99
+.
+_ICP0
+
+
+       )
+
+11148 \ 1
+       #ICS01_ICE1
+ics01
+.
+b\99
+.
+_ICE1
+
+
+       )
+
+11149 \ 1
+       #ICS01_ICE0
+ics01
+.
+b\99
+.
+_ICE0
+
+
+       )
+
+11150 \ 1
+       #ICS01_EG11
+ics01
+.
+b\99
+.
+_EG11
+
+
+       )
+
+11151 \ 1
+       #ICS01_EG10
+ics01
+.
+b\99
+.
+_EG10
+
+
+       )
+
+11152 \ 1
+       #ICS01_EG01
+ics01
+.
+b\99
+.
+_EG01
+
+
+       )
+
+11153 \ 1
+       #ICS01_EG00
+ics01
+.
+b\99
+.
+_EG00
+
+
+       )
+
+11154 \ 1
+       #ICS01_EG1
+ics01
+.
+b\99c
+.
+_EG1
+
+
+       )
+
+11155 \ 1
+       #ICS01_EG0
+ics01
+.
+b\99c
+.
+_EG0
+
+
+       )
+
+11156 
+__IO_EXTERN
+ICS23STR
+       gics23
+;
+
+11157 \ 1
+       #ICS23
+ics23
+.
+by\8b
+
+
+       )
+
+11158 \ 1
+       #ICS23_ICP3
+ics23
+.
+b\99
+.
+_ICP3
+
+
+       )
+
+11159 \ 1
+       #ICS23_ICP2
+ics23
+.
+b\99
+.
+_ICP2
+
+
+       )
+
+11160 \ 1
+       #ICS23_ICE3
+ics23
+.
+b\99
+.
+_ICE3
+
+
+       )
+
+11161 \ 1
+       #ICS23_ICE2
+ics23
+.
+b\99
+.
+_ICE2
+
+
+       )
+
+11162 \ 1
+       #ICS23_EG31
+ics23
+.
+b\99
+.
+_EG31
+
+
+       )
+
+11163 \ 1
+       #ICS23_EG30
+ics23
+.
+b\99
+.
+_EG30
+
+
+       )
+
+11164 \ 1
+       #ICS23_EG21
+ics23
+.
+b\99
+.
+_EG21
+
+
+       )
+
+11165 \ 1
+       #ICS23_EG20
+ics23
+.
+b\99
+.
+_EG20
+
+
+       )
+
+11166 \ 1
+       #ICS23_EG3
+ics23
+.
+b\99c
+.
+_EG3
+
+
+       )
+
+11167 \ 1
+       #ICS23_EG2
+ics23
+.
+b\99c
+.
+_EG2
+
+
+       )
+
+11168 
+__IO_EXTERN
+IPCP0STR
+       g\9dý0
+;
+
+11169 \ 1
+       #IPCP0
+\9dý0
+.
+wÜd
+
+
+       )
+
+11170 \ 1
+       #IPCP0_CP15
+\9dý0
+.
+b\99
+.
+_CP15
+
+
+       )
+
+11171 \ 1
+       #IPCP0_CP14
+\9dý0
+.
+b\99
+.
+_CP14
+
+
+       )
+
+11172 \ 1
+       #IPCP0_CP13
+\9dý0
+.
+b\99
+.
+_CP13
+
+
+       )
+
+11173 \ 1
+       #IPCP0_CP12
+\9dý0
+.
+b\99
+.
+_CP12
+
+
+       )
+
+11174 \ 1
+       #IPCP0_CP11
+\9dý0
+.
+b\99
+.
+_CP11
+
+
+       )
+
+11175 \ 1
+       #IPCP0_CP10
+\9dý0
+.
+b\99
+.
+_CP10
+
+
+       )
+
+11176 \ 1
+       #IPCP0_CP9
+\9dý0
+.
+b\99
+.
+_CP9
+
+
+       )
+
+11177 \ 1
+       #IPCP0_CP8
+\9dý0
+.
+b\99
+.
+_CP8
+
+
+       )
+
+11178 \ 1
+       #IPCP0_CP7
+\9dý0
+.
+b\99
+.
+_CP7
+
+
+       )
+
+11179 \ 1
+       #IPCP0_CP6
+\9dý0
+.
+b\99
+.
+_CP6
+
+
+       )
+
+11180 \ 1
+       #IPCP0_CP5
+\9dý0
+.
+b\99
+.
+_CP5
+
+
+       )
+
+11181 \ 1
+       #IPCP0_CP4
+\9dý0
+.
+b\99
+.
+_CP4
+
+
+       )
+
+11182 \ 1
+       #IPCP0_CP3
+\9dý0
+.
+b\99
+.
+_CP3
+
+
+       )
+
+11183 \ 1
+       #IPCP0_CP2
+\9dý0
+.
+b\99
+.
+_CP2
+
+
+       )
+
+11184 \ 1
+       #IPCP0_CP1
+\9dý0
+.
+b\99
+.
+_CP1
+
+
+       )
+
+11185 \ 1
+       #IPCP0_CP0
+\9dý0
+.
+b\99
+.
+_CP0
+
+
+       )
+
+11186 
+__IO_EXTERN
+IPCP1STR
+       g\9dý1
+;
+
+11187 \ 1
+       #IPCP1
+\9dý1
+.
+wÜd
+
+
+       )
+
+11188 \ 1
+       #IPCP1_CP15
+\9dý1
+.
+b\99
+.
+_CP15
+
+
+       )
+
+11189 \ 1
+       #IPCP1_CP14
+\9dý1
+.
+b\99
+.
+_CP14
+
+
+       )
+
+11190 \ 1
+       #IPCP1_CP13
+\9dý1
+.
+b\99
+.
+_CP13
+
+
+       )
+
+11191 \ 1
+       #IPCP1_CP12
+\9dý1
+.
+b\99
+.
+_CP12
+
+
+       )
+
+11192 \ 1
+       #IPCP1_CP11
+\9dý1
+.
+b\99
+.
+_CP11
+
+
+       )
+
+11193 \ 1
+       #IPCP1_CP10
+\9dý1
+.
+b\99
+.
+_CP10
+
+
+       )
+
+11194 \ 1
+       #IPCP1_CP9
+\9dý1
+.
+b\99
+.
+_CP9
+
+
+       )
+
+11195 \ 1
+       #IPCP1_CP8
+\9dý1
+.
+b\99
+.
+_CP8
+
+
+       )
+
+11196 \ 1
+       #IPCP1_CP7
+\9dý1
+.
+b\99
+.
+_CP7
+
+
+       )
+
+11197 \ 1
+       #IPCP1_CP6
+\9dý1
+.
+b\99
+.
+_CP6
+
+
+       )
+
+11198 \ 1
+       #IPCP1_CP5
+\9dý1
+.
+b\99
+.
+_CP5
+
+
+       )
+
+11199 \ 1
+       #IPCP1_CP4
+\9dý1
+.
+b\99
+.
+_CP4
+
+
+       )
+
+11200 \ 1
+       #IPCP1_CP3
+\9dý1
+.
+b\99
+.
+_CP3
+
+
+       )
+
+11201 \ 1
+       #IPCP1_CP2
+\9dý1
+.
+b\99
+.
+_CP2
+
+
+       )
+
+11202 \ 1
+       #IPCP1_CP1
+\9dý1
+.
+b\99
+.
+_CP1
+
+
+       )
+
+11203 \ 1
+       #IPCP1_CP0
+\9dý1
+.
+b\99
+.
+_CP0
+
+
+       )
+
+11204 
+__IO_EXTERN
+IPCP2STR
+       g\9dý2
+;
+
+11205 \ 1
+       #IPCP2
+\9dý2
+.
+wÜd
+
+
+       )
+
+11206 \ 1
+       #IPCP2_CP15
+\9dý2
+.
+b\99
+.
+_CP15
+
+
+       )
+
+11207 \ 1
+       #IPCP2_CP14
+\9dý2
+.
+b\99
+.
+_CP14
+
+
+       )
+
+11208 \ 1
+       #IPCP2_CP13
+\9dý2
+.
+b\99
+.
+_CP13
+
+
+       )
+
+11209 \ 1
+       #IPCP2_CP12
+\9dý2
+.
+b\99
+.
+_CP12
+
+
+       )
+
+11210 \ 1
+       #IPCP2_CP11
+\9dý2
+.
+b\99
+.
+_CP11
+
+
+       )
+
+11211 \ 1
+       #IPCP2_CP10
+\9dý2
+.
+b\99
+.
+_CP10
+
+
+       )
+
+11212 \ 1
+       #IPCP2_CP9
+\9dý2
+.
+b\99
+.
+_CP9
+
+
+       )
+
+11213 \ 1
+       #IPCP2_CP8
+\9dý2
+.
+b\99
+.
+_CP8
+
+
+       )
+
+11214 \ 1
+       #IPCP2_CP7
+\9dý2
+.
+b\99
+.
+_CP7
+
+
+       )
+
+11215 \ 1
+       #IPCP2_CP6
+\9dý2
+.
+b\99
+.
+_CP6
+
+
+       )
+
+11216 \ 1
+       #IPCP2_CP5
+\9dý2
+.
+b\99
+.
+_CP5
+
+
+       )
+
+11217 \ 1
+       #IPCP2_CP4
+\9dý2
+.
+b\99
+.
+_CP4
+
+
+       )
+
+11218 \ 1
+       #IPCP2_CP3
+\9dý2
+.
+b\99
+.
+_CP3
+
+
+       )
+
+11219 \ 1
+       #IPCP2_CP2
+\9dý2
+.
+b\99
+.
+_CP2
+
+
+       )
+
+11220 \ 1
+       #IPCP2_CP1
+\9dý2
+.
+b\99
+.
+_CP1
+
+
+       )
+
+11221 \ 1
+       #IPCP2_CP0
+\9dý2
+.
+b\99
+.
+_CP0
+
+
+       )
+
+11222 
+__IO_EXTERN
+IPCP3STR
+       g\9dý3
+;
+
+11223 \ 1
+       #IPCP3
+\9dý3
+.
+wÜd
+
+
+       )
+
+11224 \ 1
+       #IPCP3_CP15
+\9dý3
+.
+b\99
+.
+_CP15
+
+
+       )
+
+11225 \ 1
+       #IPCP3_CP14
+\9dý3
+.
+b\99
+.
+_CP14
+
+
+       )
+
+11226 \ 1
+       #IPCP3_CP13
+\9dý3
+.
+b\99
+.
+_CP13
+
+
+       )
+
+11227 \ 1
+       #IPCP3_CP12
+\9dý3
+.
+b\99
+.
+_CP12
+
+
+       )
+
+11228 \ 1
+       #IPCP3_CP11
+\9dý3
+.
+b\99
+.
+_CP11
+
+
+       )
+
+11229 \ 1
+       #IPCP3_CP10
+\9dý3
+.
+b\99
+.
+_CP10
+
+
+       )
+
+11230 \ 1
+       #IPCP3_CP9
+\9dý3
+.
+b\99
+.
+_CP9
+
+
+       )
+
+11231 \ 1
+       #IPCP3_CP8
+\9dý3
+.
+b\99
+.
+_CP8
+
+
+       )
+
+11232 \ 1
+       #IPCP3_CP7
+\9dý3
+.
+b\99
+.
+_CP7
+
+
+       )
+
+11233 \ 1
+       #IPCP3_CP6
+\9dý3
+.
+b\99
+.
+_CP6
+
+
+       )
+
+11234 \ 1
+       #IPCP3_CP5
+\9dý3
+.
+b\99
+.
+_CP5
+
+
+       )
+
+11235 \ 1
+       #IPCP3_CP4
+\9dý3
+.
+b\99
+.
+_CP4
+
+
+       )
+
+11236 \ 1
+       #IPCP3_CP3
+\9dý3
+.
+b\99
+.
+_CP3
+
+
+       )
+
+11237 \ 1
+       #IPCP3_CP2
+\9dý3
+.
+b\99
+.
+_CP2
+
+
+       )
+
+11238 \ 1
+       #IPCP3_CP1
+\9dý3
+.
+b\99
+.
+_CP1
+
+
+       )
+
+11239 \ 1
+       #IPCP3_CP0
+\9dý3
+.
+b\99
+.
+_CP0
+
+
+       )
+
+11240 
+__IO_EXTERN
+OCS01STR
+       gocs01
+;
+
+11241 \ 1
+       #OCS01
+ocs01
+.
+wÜd
+
+
+       )
+
+11242 \ 1
+       #OCS01_CMOD
+ocs01
+.
+b\99
+.
+_CMOD
+
+
+       )
+
+11243 \ 1
+       #OCS01_OTD1
+ocs01
+.
+b\99
+.
+_OTD1
+
+
+       )
+
+11244 \ 1
+       #OCS01_OTD0
+ocs01
+.
+b\99
+.
+_OTD0
+
+
+       )
+
+11245 \ 1
+       #OCS01_ICP1
+ocs01
+.
+b\99
+.
+_ICP1
+
+
+       )
+
+11246 \ 1
+       #OCS01_ICP0
+ocs01
+.
+b\99
+.
+_ICP0
+
+
+       )
+
+11247 \ 1
+       #OCS01_ICE1
+ocs01
+.
+b\99
+.
+_ICE1
+
+
+       )
+
+11248 \ 1
+       #OCS01_ICE0
+ocs01
+.
+b\99
+.
+_ICE0
+
+
+       )
+
+11249 \ 1
+       #OCS01_CST1
+ocs01
+.
+b\99
+.
+_CST1
+
+
+       )
+
+11250 \ 1
+       #OCS01_CST0
+ocs01
+.
+b\99
+.
+_CST0
+
+
+       )
+
+11251 
+__IO_EXTERN
+OCS23STR
+       gocs23
+;
+
+11252 \ 1
+       #OCS23
+ocs23
+.
+wÜd
+
+
+       )
+
+11253 \ 1
+       #OCS23_CMOD
+ocs23
+.
+b\99
+.
+_CMOD
+
+
+       )
+
+11254 \ 1
+       #OCS23_OTD3
+ocs23
+.
+b\99
+.
+_OTD3
+
+
+       )
+
+11255 \ 1
+       #OCS23_OTD2
+ocs23
+.
+b\99
+.
+_OTD2
+
+
+       )
+
+11256 \ 1
+       #OCS23_ICP3
+ocs23
+.
+b\99
+.
+_ICP3
+
+
+       )
+
+11257 \ 1
+       #OCS23_ICP2
+ocs23
+.
+b\99
+.
+_ICP2
+
+
+       )
+
+11258 \ 1
+       #OCS23_ICE3
+ocs23
+.
+b\99
+.
+_ICE3
+
+
+       )
+
+11259 \ 1
+       #OCS23_ICE2
+ocs23
+.
+b\99
+.
+_ICE2
+
+
+       )
+
+11260 \ 1
+       #OCS23_CST3
+ocs23
+.
+b\99
+.
+_CST3
+
+
+       )
+
+11261 \ 1
+       #OCS23_CST2
+ocs23
+.
+b\99
+.
+_CST2
+
+
+       )
+
+11262 
+__IO_EXTERN
+OCCP0STR
+       gocý0
+;
+
+11263 \ 1
+       #OCCP0
+ocý0
+.
+wÜd
+
+
+       )
+
+11264 \ 1
+       #OCCP0_C15
+ocý0
+.
+b\99
+.
+_C15
+
+
+       )
+
+11265 \ 1
+       #OCCP0_C14
+ocý0
+.
+b\99
+.
+_C14
+
+
+       )
+
+11266 \ 1
+       #OCCP0_C13
+ocý0
+.
+b\99
+.
+_C13
+
+
+       )
+
+11267 \ 1
+       #OCCP0_C12
+ocý0
+.
+b\99
+.
+_C12
+
+
+       )
+
+11268 \ 1
+       #OCCP0_C11
+ocý0
+.
+b\99
+.
+_C11
+
+
+       )
+
+11269 \ 1
+       #OCCP0_C10
+ocý0
+.
+b\99
+.
+_C10
+
+
+       )
+
+11270 \ 1
+       #OCCP0_C9
+ocý0
+.
+b\99
+.
+_C9
+
+
+       )
+
+11271 \ 1
+       #OCCP0_C8
+ocý0
+.
+b\99
+.
+_C8
+
+
+       )
+
+11272 \ 1
+       #OCCP0_C7
+ocý0
+.
+b\99
+.
+_C7
+
+
+       )
+
+11273 \ 1
+       #OCCP0_C6
+ocý0
+.
+b\99
+.
+_C6
+
+
+       )
+
+11274 \ 1
+       #OCCP0_C5
+ocý0
+.
+b\99
+.
+_C5
+
+
+       )
+
+11275 \ 1
+       #OCCP0_C4
+ocý0
+.
+b\99
+.
+_C4
+
+
+       )
+
+11276 \ 1
+       #OCCP0_C3
+ocý0
+.
+b\99
+.
+_C3
+
+
+       )
+
+11277 \ 1
+       #OCCP0_C2
+ocý0
+.
+b\99
+.
+_C2
+
+
+       )
+
+11278 \ 1
+       #OCCP0_C1
+ocý0
+.
+b\99
+.
+_C1
+
+
+       )
+
+11279 \ 1
+       #OCCP0_C0
+ocý0
+.
+b\99
+.
+_C0
+
+
+       )
+
+11280 
+__IO_EXTERN
+OCCP1STR
+       gocý1
+;
+
+11281 \ 1
+       #OCCP1
+ocý1
+.
+wÜd
+
+
+       )
+
+11282 \ 1
+       #OCCP1_C15
+ocý1
+.
+b\99
+.
+_C15
+
+
+       )
+
+11283 \ 1
+       #OCCP1_C14
+ocý1
+.
+b\99
+.
+_C14
+
+
+       )
+
+11284 \ 1
+       #OCCP1_C13
+ocý1
+.
+b\99
+.
+_C13
+
+
+       )
+
+11285 \ 1
+       #OCCP1_C12
+ocý1
+.
+b\99
+.
+_C12
+
+
+       )
+
+11286 \ 1
+       #OCCP1_C11
+ocý1
+.
+b\99
+.
+_C11
+
+
+       )
+
+11287 \ 1
+       #OCCP1_C10
+ocý1
+.
+b\99
+.
+_C10
+
+
+       )
+
+11288 \ 1
+       #OCCP1_C9
+ocý1
+.
+b\99
+.
+_C9
+
+
+       )
+
+11289 \ 1
+       #OCCP1_C8
+ocý1
+.
+b\99
+.
+_C8
+
+
+       )
+
+11290 \ 1
+       #OCCP1_C7
+ocý1
+.
+b\99
+.
+_C7
+
+
+       )
+
+11291 \ 1
+       #OCCP1_C6
+ocý1
+.
+b\99
+.
+_C6
+
+
+       )
+
+11292 \ 1
+       #OCCP1_C5
+ocý1
+.
+b\99
+.
+_C5
+
+
+       )
+
+11293 \ 1
+       #OCCP1_C4
+ocý1
+.
+b\99
+.
+_C4
+
+
+       )
+
+11294 \ 1
+       #OCCP1_C3
+ocý1
+.
+b\99
+.
+_C3
+
+
+       )
+
+11295 \ 1
+       #OCCP1_C2
+ocý1
+.
+b\99
+.
+_C2
+
+
+       )
+
+11296 \ 1
+       #OCCP1_C1
+ocý1
+.
+b\99
+.
+_C1
+
+
+       )
+
+11297 \ 1
+       #OCCP1_C0
+ocý1
+.
+b\99
+.
+_C0
+
+
+       )
+
+11298 
+__IO_EXTERN
+OCCP2STR
+       gocý2
+;
+
+11299 \ 1
+       #OCCP2
+ocý2
+.
+wÜd
+
+
+       )
+
+11300 \ 1
+       #OCCP2_C15
+ocý2
+.
+b\99
+.
+_C15
+
+
+       )
+
+11301 \ 1
+       #OCCP2_C14
+ocý2
+.
+b\99
+.
+_C14
+
+
+       )
+
+11302 \ 1
+       #OCCP2_C13
+ocý2
+.
+b\99
+.
+_C13
+
+
+       )
+
+11303 \ 1
+       #OCCP2_C12
+ocý2
+.
+b\99
+.
+_C12
+
+
+       )
+
+11304 \ 1
+       #OCCP2_C11
+ocý2
+.
+b\99
+.
+_C11
+
+
+       )
+
+11305 \ 1
+       #OCCP2_C10
+ocý2
+.
+b\99
+.
+_C10
+
+
+       )
+
+11306 \ 1
+       #OCCP2_C9
+ocý2
+.
+b\99
+.
+_C9
+
+
+       )
+
+11307 \ 1
+       #OCCP2_C8
+ocý2
+.
+b\99
+.
+_C8
+
+
+       )
+
+11308 \ 1
+       #OCCP2_C7
+ocý2
+.
+b\99
+.
+_C7
+
+
+       )
+
+11309 \ 1
+       #OCCP2_C6
+ocý2
+.
+b\99
+.
+_C6
+
+
+       )
+
+11310 \ 1
+       #OCCP2_C5
+ocý2
+.
+b\99
+.
+_C5
+
+
+       )
+
+11311 \ 1
+       #OCCP2_C4
+ocý2
+.
+b\99
+.
+_C4
+
+
+       )
+
+11312 \ 1
+       #OCCP2_C3
+ocý2
+.
+b\99
+.
+_C3
+
+
+       )
+
+11313 \ 1
+       #OCCP2_C2
+ocý2
+.
+b\99
+.
+_C2
+
+
+       )
+
+11314 \ 1
+       #OCCP2_C1
+ocý2
+.
+b\99
+.
+_C1
+
+
+       )
+
+11315 \ 1
+       #OCCP2_C0
+ocý2
+.
+b\99
+.
+_C0
+
+
+       )
+
+11316 
+__IO_EXTERN
+OCCP3STR
+       gocý3
+;
+
+11317 \ 1
+       #OCCP3
+ocý3
+.
+wÜd
+
+
+       )
+
+11318 \ 1
+       #OCCP3_C15
+ocý3
+.
+b\99
+.
+_C15
+
+
+       )
+
+11319 \ 1
+       #OCCP3_C14
+ocý3
+.
+b\99
+.
+_C14
+
+
+       )
+
+11320 \ 1
+       #OCCP3_C13
+ocý3
+.
+b\99
+.
+_C13
+
+
+       )
+
+11321 \ 1
+       #OCCP3_C12
+ocý3
+.
+b\99
+.
+_C12
+
+
+       )
+
+11322 \ 1
+       #OCCP3_C11
+ocý3
+.
+b\99
+.
+_C11
+
+
+       )
+
+11323 \ 1
+       #OCCP3_C10
+ocý3
+.
+b\99
+.
+_C10
+
+
+       )
+
+11324 \ 1
+       #OCCP3_C9
+ocý3
+.
+b\99
+.
+_C9
+
+
+       )
+
+11325 \ 1
+       #OCCP3_C8
+ocý3
+.
+b\99
+.
+_C8
+
+
+       )
+
+11326 \ 1
+       #OCCP3_C7
+ocý3
+.
+b\99
+.
+_C7
+
+
+       )
+
+11327 \ 1
+       #OCCP3_C6
+ocý3
+.
+b\99
+.
+_C6
+
+
+       )
+
+11328 \ 1
+       #OCCP3_C5
+ocý3
+.
+b\99
+.
+_C5
+
+
+       )
+
+11329 \ 1
+       #OCCP3_C4
+ocý3
+.
+b\99
+.
+_C4
+
+
+       )
+
+11330 \ 1
+       #OCCP3_C3
+ocý3
+.
+b\99
+.
+_C3
+
+
+       )
+
+11331 \ 1
+       #OCCP3_C2
+ocý3
+.
+b\99
+.
+_C2
+
+
+       )
+
+11332 \ 1
+       #OCCP3_C1
+ocý3
+.
+b\99
+.
+_C1
+
+
+       )
+
+11333 \ 1
+       #OCCP3_C0
+ocý3
+.
+b\99
+.
+_C0
+
+
+       )
+
+11334 
+__IO_EXTERN
+ADERHSTR
+       gad\94h
+;
+
+11335 \ 1
+       #ADERH
+ad\94h
+.
+wÜd
+
+
+       )
+
+11336 \ 1
+       #ADERH_ADE31
+ad\94h
+.
+b\99
+.
+_ADE31
+
+
+       )
+
+11337 \ 1
+       #ADERH_ADE30
+ad\94h
+.
+b\99
+.
+_ADE30
+
+
+       )
+
+11338 \ 1
+       #ADERH_ADE29
+ad\94h
+.
+b\99
+.
+_ADE29
+
+
+       )
+
+11339 \ 1
+       #ADERH_ADE28
+ad\94h
+.
+b\99
+.
+_ADE28
+
+
+       )
+
+11340 \ 1
+       #ADERH_ADE27
+ad\94h
+.
+b\99
+.
+_ADE27
+
+
+       )
+
+11341 \ 1
+       #ADERH_ADE26
+ad\94h
+.
+b\99
+.
+_ADE26
+
+
+       )
+
+11342 \ 1
+       #ADERH_ADE25
+ad\94h
+.
+b\99
+.
+_ADE25
+
+
+       )
+
+11343 \ 1
+       #ADERH_ADE24
+ad\94h
+.
+b\99
+.
+_ADE24
+
+
+       )
+
+11344 \ 1
+       #ADERH_ADE23
+ad\94h
+.
+b\99
+.
+_ADE23
+
+
+       )
+
+11345 \ 1
+       #ADERH_ADE22
+ad\94h
+.
+b\99
+.
+_ADE22
+
+
+       )
+
+11346 \ 1
+       #ADERH_ADE21
+ad\94h
+.
+b\99
+.
+_ADE21
+
+
+       )
+
+11347 \ 1
+       #ADERH_ADE20
+ad\94h
+.
+b\99
+.
+_ADE20
+
+
+       )
+
+11348 \ 1
+       #ADERH_ADE19
+ad\94h
+.
+b\99
+.
+_ADE19
+
+
+       )
+
+11349 \ 1
+       #ADERH_ADE18
+ad\94h
+.
+b\99
+.
+_ADE18
+
+
+       )
+
+11350 \ 1
+       #ADERH_ADE17
+ad\94h
+.
+b\99
+.
+_ADE17
+
+
+       )
+
+11351 \ 1
+       #ADERH_ADE16
+ad\94h
+.
+b\99
+.
+_ADE16
+
+
+       )
+
+11352 
+__IO_EXTERN
+ADERLSTR
+       gad\94l
+;
+
+11353 \ 1
+       #ADERL
+ad\94l
+.
+wÜd
+
+
+       )
+
+11354 \ 1
+       #ADERL_ADE15
+ad\94l
+.
+b\99
+.
+_ADE15
+
+
+       )
+
+11355 \ 1
+       #ADERL_ADE14
+ad\94l
+.
+b\99
+.
+_ADE14
+
+
+       )
+
+11356 \ 1
+       #ADERL_ADE13
+ad\94l
+.
+b\99
+.
+_ADE13
+
+
+       )
+
+11357 \ 1
+       #ADERL_ADE12
+ad\94l
+.
+b\99
+.
+_ADE12
+
+
+       )
+
+11358 \ 1
+       #ADERL_ADE11
+ad\94l
+.
+b\99
+.
+_ADE11
+
+
+       )
+
+11359 \ 1
+       #ADERL_ADE10
+ad\94l
+.
+b\99
+.
+_ADE10
+
+
+       )
+
+11360 \ 1
+       #ADERL_ADE9
+ad\94l
+.
+b\99
+.
+_ADE9
+
+
+       )
+
+11361 \ 1
+       #ADERL_ADE8
+ad\94l
+.
+b\99
+.
+_ADE8
+
+
+       )
+
+11362 \ 1
+       #ADERL_ADE7
+ad\94l
+.
+b\99
+.
+_ADE7
+
+
+       )
+
+11363 \ 1
+       #ADERL_ADE6
+ad\94l
+.
+b\99
+.
+_ADE6
+
+
+       )
+
+11364 \ 1
+       #ADERL_ADE5
+ad\94l
+.
+b\99
+.
+_ADE5
+
+
+       )
+
+11365 \ 1
+       #ADERL_ADE4
+ad\94l
+.
+b\99
+.
+_ADE4
+
+
+       )
+
+11366 \ 1
+       #ADERL_ADE3
+ad\94l
+.
+b\99
+.
+_ADE3
+
+
+       )
+
+11367 \ 1
+       #ADERL_ADE2
+ad\94l
+.
+b\99
+.
+_ADE2
+
+
+       )
+
+11368 \ 1
+       #ADERL_ADE1
+ad\94l
+.
+b\99
+.
+_ADE1
+
+
+       )
+
+11369 \ 1
+       #ADERL_ADE0
+ad\94l
+.
+b\99
+.
+_ADE0
+
+
+       )
+
+11370 
+__IO_EXTERN
+IO_LWORD
+       gad\94
+;
+
+11371 \ 1
+       #ADER
+ad\94
+
+
+       )
+
+11372 
+__IO_EXTERN
+ADCS1STR
+       gadcs1
+;
+
+11373 \ 1
+       #ADCS1
+adcs1
+.
+by\8b
+
+
+       )
+
+11374 \ 1
+       #ADCS1_BUSY
+adcs1
+.
+b\99
+.
+_BUSY
+
+
+       )
+
+11375 \ 1
+       #ADCS1_INT
+adcs1
+.
+b\99
+.
+_INT
+
+
+       )
+
+11376 \ 1
+       #ADCS1_INTE
+adcs1
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11377 \ 1
+       #ADCS1_PAUS
+adcs1
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+11378 \ 1
+       #ADCS1_STS1
+adcs1
+.
+b\99
+.
+_STS1
+
+
+       )
+
+11379 \ 1
+       #ADCS1_STS0
+adcs1
+.
+b\99
+.
+_STS0
+
+
+       )
+
+11380 \ 1
+       #ADCS1_STRT
+adcs1
+.
+b\99
+.
+_STRT
+
+
+       )
+
+11381 \ 1
+       #ADCS1_STS
+adcs1
+.
+b\99c
+.
+_STS
+
+
+       )
+
+11382 
+__IO_EXTERN
+ADCS0STR
+       gadcs0
+;
+
+11383 \ 1
+       #ADCS0
+adcs0
+.
+by\8b
+
+
+       )
+
+11384 \ 1
+       #ADCS0_MD1
+adcs0
+.
+b\99
+.
+_MD1
+
+
+       )
+
+11385 \ 1
+       #ADCS0_MD0
+adcs0
+.
+b\99
+.
+_MD0
+
+
+       )
+
+11386 \ 1
+       #ADCS0_S10
+adcs0
+.
+b\99
+.
+_S10
+
+
+       )
+
+11387 \ 1
+       #ADCS0_ACH4
+adcs0
+.
+b\99
+.
+_ACH4
+
+
+       )
+
+11388 \ 1
+       #ADCS0_ACH3
+adcs0
+.
+b\99
+.
+_ACH3
+
+
+       )
+
+11389 \ 1
+       #ADCS0_ACH2
+adcs0
+.
+b\99
+.
+_ACH2
+
+
+       )
+
+11390 \ 1
+       #ADCS0_ACH1
+adcs0
+.
+b\99
+.
+_ACH1
+
+
+       )
+
+11391 \ 1
+       #ADCS0_ACH0
+adcs0
+.
+b\99
+.
+_ACH0
+
+
+       )
+
+11392 \ 1
+       #ADCS0_MD
+adcs0
+.
+b\99c
+.
+_MD
+
+
+       )
+
+11393 \ 1
+       #ADCS0_ACH
+adcs0
+.
+b\99c
+.
+_ACH
+
+
+       )
+
+11394 
+__IO_EXTERN
+IO_WORD
+       gadcs
+;
+
+11395 \ 1
+       #ADCS
+adcs
+
+
+       )
+
+11396 
+__IO_EXTERN
+ADCR1STR
+       gadü1
+;
+
+11397 \ 1
+       #ADCR1
+adü1
+.
+by\8b
+
+
+       )
+
+11398 \ 1
+       #ADCR1_D9
+adü1
+.
+b\99
+.
+_D9
+
+
+       )
+
+11399 \ 1
+       #ADCR1_D8
+adü1
+.
+b\99
+.
+_D8
+
+
+       )
+
+11400 
+__IO_EXTERN
+ADCR0STR
+       gadü0
+;
+
+11401 \ 1
+       #ADCR0
+adü0
+.
+by\8b
+
+
+       )
+
+11402 \ 1
+       #ADCR0_D7
+adü0
+.
+b\99
+.
+_D7
+
+
+       )
+
+11403 \ 1
+       #ADCR0_D6
+adü0
+.
+b\99
+.
+_D6
+
+
+       )
+
+11404 \ 1
+       #ADCR0_D5
+adü0
+.
+b\99
+.
+_D5
+
+
+       )
+
+11405 \ 1
+       #ADCR0_D4
+adü0
+.
+b\99
+.
+_D4
+
+
+       )
+
+11406 \ 1
+       #ADCR0_D3
+adü0
+.
+b\99
+.
+_D3
+
+
+       )
+
+11407 \ 1
+       #ADCR0_D2
+adü0
+.
+b\99
+.
+_D2
+
+
+       )
+
+11408 \ 1
+       #ADCR0_D1
+adü0
+.
+b\99
+.
+_D1
+
+
+       )
+
+11409 \ 1
+       #ADCR0_D0
+adü0
+.
+b\99
+.
+_D0
+
+
+       )
+
+11410 
+__IO_EXTERN
+IO_WORD
+       gadü
+;
+
+11411 \ 1
+       #ADCR
+adü
+
+
+       )
+
+11412 
+__IO_EXTERN
+ADCT1STR
+       gadù1
+;
+
+11413 \ 1
+       #ADCT1
+adù1
+.
+by\8b
+
+
+       )
+
+11414 \ 1
+       #ADCT1_CT5
+adù1
+.
+b\99
+.
+_CT5
+
+
+       )
+
+11415 \ 1
+       #ADCT1_CT4
+adù1
+.
+b\99
+.
+_CT4
+
+
+       )
+
+11416 \ 1
+       #ADCT1_CT3
+adù1
+.
+b\99
+.
+_CT3
+
+
+       )
+
+11417 \ 1
+       #ADCT1_CT2
+adù1
+.
+b\99
+.
+_CT2
+
+
+       )
+
+11418 \ 1
+       #ADCT1_CT1
+adù1
+.
+b\99
+.
+_CT1
+
+
+       )
+
+11419 \ 1
+       #ADCT1_CT0
+adù1
+.
+b\99
+.
+_CT0
+
+
+       )
+
+11420 \ 1
+       #ADCT1_ST9
+adù1
+.
+b\99
+.
+_ST9
+
+
+       )
+
+11421 \ 1
+       #ADCT1_ST8
+adù1
+.
+b\99
+.
+_ST8
+
+
+       )
+
+11422 
+__IO_EXTERN
+ADCT0STR
+       gadù0
+;
+
+11423 \ 1
+       #ADCT0
+adù0
+.
+by\8b
+
+
+       )
+
+11424 \ 1
+       #ADCT0_ST7
+adù0
+.
+b\99
+.
+_ST7
+
+
+       )
+
+11425 \ 1
+       #ADCT0_ST6
+adù0
+.
+b\99
+.
+_ST6
+
+
+       )
+
+11426 \ 1
+       #ADCT0_ST5
+adù0
+.
+b\99
+.
+_ST5
+
+
+       )
+
+11427 \ 1
+       #ADCT0_ST4
+adù0
+.
+b\99
+.
+_ST4
+
+
+       )
+
+11428 \ 1
+       #ADCT0_ST3
+adù0
+.
+b\99
+.
+_ST3
+
+
+       )
+
+11429 \ 1
+       #ADCT0_ST2
+adù0
+.
+b\99
+.
+_ST2
+
+
+       )
+
+11430 \ 1
+       #ADCT0_ST1
+adù0
+.
+b\99
+.
+_ST1
+
+
+       )
+
+11431 \ 1
+       #ADCT0_ST0
+adù0
+.
+b\99
+.
+_ST0
+
+
+       )
+
+11432 
+__IO_EXTERN
+IO_WORD
+       gadù
+;
+
+11433 \ 1
+       #ADCT
+adù
+
+
+       )
+
+11434 
+__IO_EXTERN
+ADSCHSTR
+       gadsch
+;
+
+11435 \ 1
+       #ADSCH
+adsch
+.
+by\8b
+
+
+       )
+
+11436 \ 1
+       #ADSCH_ANS4
+adsch
+.
+b\99
+.
+_ANS4
+
+
+       )
+
+11437 \ 1
+       #ADSCH_ANS3
+adsch
+.
+b\99
+.
+_ANS3
+
+
+       )
+
+11438 \ 1
+       #ADSCH_ANS2
+adsch
+.
+b\99
+.
+_ANS2
+
+
+       )
+
+11439 \ 1
+       #ADSCH_ANS1
+adsch
+.
+b\99
+.
+_ANS1
+
+
+       )
+
+11440 \ 1
+       #ADSCH_ASN0
+adsch
+.
+b\99
+.
+_ASN0
+
+
+       )
+
+11441 \ 1
+       #ADSCH_ANS
+adsch
+.
+b\99c
+.
+_ANS
+
+
+       )
+
+11442 
+__IO_EXTERN
+ADECHSTR
+       gadech
+;
+
+11443 \ 1
+       #ADECH
+adech
+.
+by\8b
+
+
+       )
+
+11444 \ 1
+       #ADECH_ANE4
+adech
+.
+b\99
+.
+_ANE4
+
+
+       )
+
+11445 \ 1
+       #ADECH_ANE3
+adech
+.
+b\99
+.
+_ANE3
+
+
+       )
+
+11446 \ 1
+       #ADECH_ANE2
+adech
+.
+b\99
+.
+_ANE2
+
+
+       )
+
+11447 \ 1
+       #ADECH_ANE1
+adech
+.
+b\99
+.
+_ANE1
+
+
+       )
+
+11448 \ 1
+       #ADECH_ANE0
+adech
+.
+b\99
+.
+_ANE0
+
+
+       )
+
+11449 \ 1
+       #ADECH_ANE
+adech
+.
+b\99c
+.
+_ANE
+
+
+       )
+
+11450 
+__IO_EXTERN
+TMRLR0STR
+       gtm¾r0
+;
+
+11451 \ 1
+       #TMRLR0
+tm¾r0
+.
+wÜd
+
+
+       )
+
+11452 \ 1
+       #TMRLR0_D15
+tm¾r0
+.
+b\99
+.
+_D15
+
+
+       )
+
+11453 \ 1
+       #TMRLR0_D14
+tm¾r0
+.
+b\99
+.
+_D14
+
+
+       )
+
+11454 \ 1
+       #TMRLR0_D13
+tm¾r0
+.
+b\99
+.
+_D13
+
+
+       )
+
+11455 \ 1
+       #TMRLR0_D12
+tm¾r0
+.
+b\99
+.
+_D12
+
+
+       )
+
+11456 \ 1
+       #TMRLR0_D11
+tm¾r0
+.
+b\99
+.
+_D11
+
+
+       )
+
+11457 \ 1
+       #TMRLR0_D10
+tm¾r0
+.
+b\99
+.
+_D10
+
+
+       )
+
+11458 \ 1
+       #TMRLR0_D9
+tm¾r0
+.
+b\99
+.
+_D9
+
+
+       )
+
+11459 \ 1
+       #TMRLR0_D8
+tm¾r0
+.
+b\99
+.
+_D8
+
+
+       )
+
+11460 \ 1
+       #TMRLR0_D7
+tm¾r0
+.
+b\99
+.
+_D7
+
+
+       )
+
+11461 \ 1
+       #TMRLR0_D6
+tm¾r0
+.
+b\99
+.
+_D6
+
+
+       )
+
+11462 \ 1
+       #TMRLR0_D5
+tm¾r0
+.
+b\99
+.
+_D5
+
+
+       )
+
+11463 \ 1
+       #TMRLR0_D4
+tm¾r0
+.
+b\99
+.
+_D4
+
+
+       )
+
+11464 \ 1
+       #TMRLR0_D3
+tm¾r0
+.
+b\99
+.
+_D3
+
+
+       )
+
+11465 \ 1
+       #TMRLR0_D2
+tm¾r0
+.
+b\99
+.
+_D2
+
+
+       )
+
+11466 \ 1
+       #TMRLR0_D1
+tm¾r0
+.
+b\99
+.
+_D1
+
+
+       )
+
+11467 \ 1
+       #TMRLR0_D0
+tm¾r0
+.
+b\99
+.
+_D0
+
+
+       )
+
+11468 
+__IO_EXTERN
+TMR0STR
+       gtmr0
+;
+
+11469 \ 1
+       #TMR0
+tmr0
+.
+wÜd
+
+
+       )
+
+11470 \ 1
+       #TMR0_D15
+tmr0
+.
+b\99
+.
+_D15
+
+
+       )
+
+11471 \ 1
+       #TMR0_D14
+tmr0
+.
+b\99
+.
+_D14
+
+
+       )
+
+11472 \ 1
+       #TMR0_D13
+tmr0
+.
+b\99
+.
+_D13
+
+
+       )
+
+11473 \ 1
+       #TMR0_D12
+tmr0
+.
+b\99
+.
+_D12
+
+
+       )
+
+11474 \ 1
+       #TMR0_D11
+tmr0
+.
+b\99
+.
+_D11
+
+
+       )
+
+11475 \ 1
+       #TMR0_D10
+tmr0
+.
+b\99
+.
+_D10
+
+
+       )
+
+11476 \ 1
+       #TMR0_D9
+tmr0
+.
+b\99
+.
+_D9
+
+
+       )
+
+11477 \ 1
+       #TMR0_D8
+tmr0
+.
+b\99
+.
+_D8
+
+
+       )
+
+11478 \ 1
+       #TMR0_D7
+tmr0
+.
+b\99
+.
+_D7
+
+
+       )
+
+11479 \ 1
+       #TMR0_D6
+tmr0
+.
+b\99
+.
+_D6
+
+
+       )
+
+11480 \ 1
+       #TMR0_D5
+tmr0
+.
+b\99
+.
+_D5
+
+
+       )
+
+11481 \ 1
+       #TMR0_D4
+tmr0
+.
+b\99
+.
+_D4
+
+
+       )
+
+11482 \ 1
+       #TMR0_D3
+tmr0
+.
+b\99
+.
+_D3
+
+
+       )
+
+11483 \ 1
+       #TMR0_D2
+tmr0
+.
+b\99
+.
+_D2
+
+
+       )
+
+11484 \ 1
+       #TMR0_D1
+tmr0
+.
+b\99
+.
+_D1
+
+
+       )
+
+11485 \ 1
+       #TMR0_D0
+tmr0
+.
+b\99
+.
+_D0
+
+
+       )
+
+11486 
+__IO_EXTERN
+TMCSR0STR
+       gtmc¤0
+;
+
+11487 \ 1
+       #TMCSR0
+tmc¤0
+.
+wÜd
+
+
+       )
+
+11488 \ 1
+       #TMCSR0_CSL2
+tmc¤0
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11489 \ 1
+       #TMCSR0_CSL1
+tmc¤0
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11490 \ 1
+       #TMCSR0_CSL0
+tmc¤0
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11491 \ 1
+       #TMCSR0_MOD2
+tmc¤0
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11492 \ 1
+       #TMCSR0_MOD1
+tmc¤0
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11493 \ 1
+       #TMCSR0_MOD0
+tmc¤0
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11494 \ 1
+       #TMCSR0_OULT
+tmc¤0
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11495 \ 1
+       #TMCSR0_RELD
+tmc¤0
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11496 \ 1
+       #TMCSR0_INTE
+tmc¤0
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11497 \ 1
+       #TMCSR0_UF
+tmc¤0
+.
+b\99
+.
+_UF
+
+
+       )
+
+11498 \ 1
+       #TMCSR0_CNTE
+tmc¤0
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11499 \ 1
+       #TMCSR0_TRG
+tmc¤0
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11500 \ 1
+       #TMCSR0_CSL
+tmc¤0
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11501 \ 1
+       #TMCSR0_MOD
+tmc¤0
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11502 
+__IO_EXTERN
+TMCSRH0STR
+       gtmc¤h0
+;
+
+11503 \ 1
+       #TMCSRH0
+tmc¤h0
+.
+by\8b
+
+
+       )
+
+11504 \ 1
+       #TMCSRH0_CSL2
+tmc¤h0
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11505 \ 1
+       #TMCSRH0_CSL1
+tmc¤h0
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11506 \ 1
+       #TMCSRH0_CSL0
+tmc¤h0
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11507 \ 1
+       #TMCSRH0_MOD2
+tmc¤h0
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11508 \ 1
+       #TMCSRH0_MOD1
+tmc¤h0
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11509 \ 1
+       #TMCSRH0_CSL
+tmc¤h0
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11510 
+__IO_EXTERN
+TMCSRL0STR
+       gtmc¤l0
+;
+
+11511 \ 1
+       #TMCSRL0
+tmc¤l0
+.
+by\8b
+
+
+       )
+
+11512 \ 1
+       #TMCSRL0_MOD0
+tmc¤l0
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11513 \ 1
+       #TMCSRL0_OULT
+tmc¤l0
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11514 \ 1
+       #TMCSRL0_RELD
+tmc¤l0
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11515 \ 1
+       #TMCSRL0_INTE
+tmc¤l0
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11516 \ 1
+       #TMCSRL0_UF
+tmc¤l0
+.
+b\99
+.
+_UF
+
+
+       )
+
+11517 \ 1
+       #TMCSRL0_CNTE
+tmc¤l0
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11518 \ 1
+       #TMCSRL0_TRG
+tmc¤l0
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11519 
+__IO_EXTERN
+TMRLR1STR
+       gtm¾r1
+;
+
+11520 \ 1
+       #TMRLR1
+tm¾r1
+.
+wÜd
+
+
+       )
+
+11521 \ 1
+       #TMRLR1_D15
+tm¾r1
+.
+b\99
+.
+_D15
+
+
+       )
+
+11522 \ 1
+       #TMRLR1_D14
+tm¾r1
+.
+b\99
+.
+_D14
+
+
+       )
+
+11523 \ 1
+       #TMRLR1_D13
+tm¾r1
+.
+b\99
+.
+_D13
+
+
+       )
+
+11524 \ 1
+       #TMRLR1_D12
+tm¾r1
+.
+b\99
+.
+_D12
+
+
+       )
+
+11525 \ 1
+       #TMRLR1_D11
+tm¾r1
+.
+b\99
+.
+_D11
+
+
+       )
+
+11526 \ 1
+       #TMRLR1_D10
+tm¾r1
+.
+b\99
+.
+_D10
+
+
+       )
+
+11527 \ 1
+       #TMRLR1_D9
+tm¾r1
+.
+b\99
+.
+_D9
+
+
+       )
+
+11528 \ 1
+       #TMRLR1_D8
+tm¾r1
+.
+b\99
+.
+_D8
+
+
+       )
+
+11529 \ 1
+       #TMRLR1_D7
+tm¾r1
+.
+b\99
+.
+_D7
+
+
+       )
+
+11530 \ 1
+       #TMRLR1_D6
+tm¾r1
+.
+b\99
+.
+_D6
+
+
+       )
+
+11531 \ 1
+       #TMRLR1_D5
+tm¾r1
+.
+b\99
+.
+_D5
+
+
+       )
+
+11532 \ 1
+       #TMRLR1_D4
+tm¾r1
+.
+b\99
+.
+_D4
+
+
+       )
+
+11533 \ 1
+       #TMRLR1_D3
+tm¾r1
+.
+b\99
+.
+_D3
+
+
+       )
+
+11534 \ 1
+       #TMRLR1_D2
+tm¾r1
+.
+b\99
+.
+_D2
+
+
+       )
+
+11535 \ 1
+       #TMRLR1_D1
+tm¾r1
+.
+b\99
+.
+_D1
+
+
+       )
+
+11536 \ 1
+       #TMRLR1_D0
+tm¾r1
+.
+b\99
+.
+_D0
+
+
+       )
+
+11537 
+__IO_EXTERN
+TMR1STR
+       gtmr1
+;
+
+11538 \ 1
+       #TMR1
+tmr1
+.
+wÜd
+
+
+       )
+
+11539 \ 1
+       #TMR1_D15
+tmr1
+.
+b\99
+.
+_D15
+
+
+       )
+
+11540 \ 1
+       #TMR1_D14
+tmr1
+.
+b\99
+.
+_D14
+
+
+       )
+
+11541 \ 1
+       #TMR1_D13
+tmr1
+.
+b\99
+.
+_D13
+
+
+       )
+
+11542 \ 1
+       #TMR1_D12
+tmr1
+.
+b\99
+.
+_D12
+
+
+       )
+
+11543 \ 1
+       #TMR1_D11
+tmr1
+.
+b\99
+.
+_D11
+
+
+       )
+
+11544 \ 1
+       #TMR1_D10
+tmr1
+.
+b\99
+.
+_D10
+
+
+       )
+
+11545 \ 1
+       #TMR1_D9
+tmr1
+.
+b\99
+.
+_D9
+
+
+       )
+
+11546 \ 1
+       #TMR1_D8
+tmr1
+.
+b\99
+.
+_D8
+
+
+       )
+
+11547 \ 1
+       #TMR1_D7
+tmr1
+.
+b\99
+.
+_D7
+
+
+       )
+
+11548 \ 1
+       #TMR1_D6
+tmr1
+.
+b\99
+.
+_D6
+
+
+       )
+
+11549 \ 1
+       #TMR1_D5
+tmr1
+.
+b\99
+.
+_D5
+
+
+       )
+
+11550 \ 1
+       #TMR1_D4
+tmr1
+.
+b\99
+.
+_D4
+
+
+       )
+
+11551 \ 1
+       #TMR1_D3
+tmr1
+.
+b\99
+.
+_D3
+
+
+       )
+
+11552 \ 1
+       #TMR1_D2
+tmr1
+.
+b\99
+.
+_D2
+
+
+       )
+
+11553 \ 1
+       #TMR1_D1
+tmr1
+.
+b\99
+.
+_D1
+
+
+       )
+
+11554 \ 1
+       #TMR1_D0
+tmr1
+.
+b\99
+.
+_D0
+
+
+       )
+
+11555 
+__IO_EXTERN
+TMCSR1STR
+       gtmc¤1
+;
+
+11556 \ 1
+       #TMCSR1
+tmc¤1
+.
+wÜd
+
+
+       )
+
+11557 \ 1
+       #TMCSR1_CSL2
+tmc¤1
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11558 \ 1
+       #TMCSR1_CSL1
+tmc¤1
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11559 \ 1
+       #TMCSR1_CSL0
+tmc¤1
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11560 \ 1
+       #TMCSR1_MOD2
+tmc¤1
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11561 \ 1
+       #TMCSR1_MOD1
+tmc¤1
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11562 \ 1
+       #TMCSR1_MOD0
+tmc¤1
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11563 \ 1
+       #TMCSR1_OULT
+tmc¤1
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11564 \ 1
+       #TMCSR1_RELD
+tmc¤1
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11565 \ 1
+       #TMCSR1_INTE
+tmc¤1
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11566 \ 1
+       #TMCSR1_UF
+tmc¤1
+.
+b\99
+.
+_UF
+
+
+       )
+
+11567 \ 1
+       #TMCSR1_CNTE
+tmc¤1
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11568 \ 1
+       #TMCSR1_TRG
+tmc¤1
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11569 \ 1
+       #TMCSR1_CSL
+tmc¤1
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11570 \ 1
+       #TMCSR1_MOD
+tmc¤1
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11571 
+__IO_EXTERN
+TMCSRH1STR
+       gtmc¤h1
+;
+
+11572 \ 1
+       #TMCSRH1
+tmc¤h1
+.
+by\8b
+
+
+       )
+
+11573 \ 1
+       #TMCSRH1_CSL2
+tmc¤h1
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11574 \ 1
+       #TMCSRH1_CSL1
+tmc¤h1
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11575 \ 1
+       #TMCSRH1_CSL0
+tmc¤h1
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11576 \ 1
+       #TMCSRH1_MOD2
+tmc¤h1
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11577 \ 1
+       #TMCSRH1_MOD1
+tmc¤h1
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11578 \ 1
+       #TMCSRH1_CSL
+tmc¤h1
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11579 
+__IO_EXTERN
+TMCSRL1STR
+       gtmc¤l1
+;
+
+11580 \ 1
+       #TMCSRL1
+tmc¤l1
+.
+by\8b
+
+
+       )
+
+11581 \ 1
+       #TMCSRL1_MOD0
+tmc¤l1
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11582 \ 1
+       #TMCSRL1_OULT
+tmc¤l1
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11583 \ 1
+       #TMCSRL1_RELD
+tmc¤l1
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11584 \ 1
+       #TMCSRL1_INTE
+tmc¤l1
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11585 \ 1
+       #TMCSRL1_UF
+tmc¤l1
+.
+b\99
+.
+_UF
+
+
+       )
+
+11586 \ 1
+       #TMCSRL1_CNTE
+tmc¤l1
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11587 \ 1
+       #TMCSRL1_TRG
+tmc¤l1
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11588 
+__IO_EXTERN
+TMRLR2STR
+       gtm¾r2
+;
+
+11589 \ 1
+       #TMRLR2
+tm¾r2
+.
+wÜd
+
+
+       )
+
+11590 \ 1
+       #TMRLR2_D15
+tm¾r2
+.
+b\99
+.
+_D15
+
+
+       )
+
+11591 \ 1
+       #TMRLR2_D14
+tm¾r2
+.
+b\99
+.
+_D14
+
+
+       )
+
+11592 \ 1
+       #TMRLR2_D13
+tm¾r2
+.
+b\99
+.
+_D13
+
+
+       )
+
+11593 \ 1
+       #TMRLR2_D12
+tm¾r2
+.
+b\99
+.
+_D12
+
+
+       )
+
+11594 \ 1
+       #TMRLR2_D11
+tm¾r2
+.
+b\99
+.
+_D11
+
+
+       )
+
+11595 \ 1
+       #TMRLR2_D10
+tm¾r2
+.
+b\99
+.
+_D10
+
+
+       )
+
+11596 \ 1
+       #TMRLR2_D9
+tm¾r2
+.
+b\99
+.
+_D9
+
+
+       )
+
+11597 \ 1
+       #TMRLR2_D8
+tm¾r2
+.
+b\99
+.
+_D8
+
+
+       )
+
+11598 \ 1
+       #TMRLR2_D7
+tm¾r2
+.
+b\99
+.
+_D7
+
+
+       )
+
+11599 \ 1
+       #TMRLR2_D6
+tm¾r2
+.
+b\99
+.
+_D6
+
+
+       )
+
+11600 \ 1
+       #TMRLR2_D5
+tm¾r2
+.
+b\99
+.
+_D5
+
+
+       )
+
+11601 \ 1
+       #TMRLR2_D4
+tm¾r2
+.
+b\99
+.
+_D4
+
+
+       )
+
+11602 \ 1
+       #TMRLR2_D3
+tm¾r2
+.
+b\99
+.
+_D3
+
+
+       )
+
+11603 \ 1
+       #TMRLR2_D2
+tm¾r2
+.
+b\99
+.
+_D2
+
+
+       )
+
+11604 \ 1
+       #TMRLR2_D1
+tm¾r2
+.
+b\99
+.
+_D1
+
+
+       )
+
+11605 \ 1
+       #TMRLR2_D0
+tm¾r2
+.
+b\99
+.
+_D0
+
+
+       )
+
+11606 
+__IO_EXTERN
+TMR2STR
+       gtmr2
+;
+
+11607 \ 1
+       #TMR2
+tmr2
+.
+wÜd
+
+
+       )
+
+11608 \ 1
+       #TMR2_D15
+tmr2
+.
+b\99
+.
+_D15
+
+
+       )
+
+11609 \ 1
+       #TMR2_D14
+tmr2
+.
+b\99
+.
+_D14
+
+
+       )
+
+11610 \ 1
+       #TMR2_D13
+tmr2
+.
+b\99
+.
+_D13
+
+
+       )
+
+11611 \ 1
+       #TMR2_D12
+tmr2
+.
+b\99
+.
+_D12
+
+
+       )
+
+11612 \ 1
+       #TMR2_D11
+tmr2
+.
+b\99
+.
+_D11
+
+
+       )
+
+11613 \ 1
+       #TMR2_D10
+tmr2
+.
+b\99
+.
+_D10
+
+
+       )
+
+11614 \ 1
+       #TMR2_D9
+tmr2
+.
+b\99
+.
+_D9
+
+
+       )
+
+11615 \ 1
+       #TMR2_D8
+tmr2
+.
+b\99
+.
+_D8
+
+
+       )
+
+11616 \ 1
+       #TMR2_D7
+tmr2
+.
+b\99
+.
+_D7
+
+
+       )
+
+11617 \ 1
+       #TMR2_D6
+tmr2
+.
+b\99
+.
+_D6
+
+
+       )
+
+11618 \ 1
+       #TMR2_D5
+tmr2
+.
+b\99
+.
+_D5
+
+
+       )
+
+11619 \ 1
+       #TMR2_D4
+tmr2
+.
+b\99
+.
+_D4
+
+
+       )
+
+11620 \ 1
+       #TMR2_D3
+tmr2
+.
+b\99
+.
+_D3
+
+
+       )
+
+11621 \ 1
+       #TMR2_D2
+tmr2
+.
+b\99
+.
+_D2
+
+
+       )
+
+11622 \ 1
+       #TMR2_D1
+tmr2
+.
+b\99
+.
+_D1
+
+
+       )
+
+11623 \ 1
+       #TMR2_D0
+tmr2
+.
+b\99
+.
+_D0
+
+
+       )
+
+11624 
+__IO_EXTERN
+TMCSR2STR
+       gtmc¤2
+;
+
+11625 \ 1
+       #TMCSR2
+tmc¤2
+.
+wÜd
+
+
+       )
+
+11626 \ 1
+       #TMCSR2_CSL2
+tmc¤2
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11627 \ 1
+       #TMCSR2_CSL1
+tmc¤2
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11628 \ 1
+       #TMCSR2_CSL0
+tmc¤2
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11629 \ 1
+       #TMCSR2_MOD2
+tmc¤2
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11630 \ 1
+       #TMCSR2_MOD1
+tmc¤2
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11631 \ 1
+       #TMCSR2_MOD0
+tmc¤2
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11632 \ 1
+       #TMCSR2_OULT
+tmc¤2
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11633 \ 1
+       #TMCSR2_RELD
+tmc¤2
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11634 \ 1
+       #TMCSR2_INTE
+tmc¤2
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11635 \ 1
+       #TMCSR2_UF
+tmc¤2
+.
+b\99
+.
+_UF
+
+
+       )
+
+11636 \ 1
+       #TMCSR2_CNTE
+tmc¤2
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11637 \ 1
+       #TMCSR2_TRG
+tmc¤2
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11638 \ 1
+       #TMCSR2_CSL
+tmc¤2
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11639 \ 1
+       #TMCSR2_MOD
+tmc¤2
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11640 
+__IO_EXTERN
+TMCSRH2STR
+       gtmc¤h2
+;
+
+11641 \ 1
+       #TMCSRH2
+tmc¤h2
+.
+by\8b
+
+
+       )
+
+11642 \ 1
+       #TMCSRH2_CSL2
+tmc¤h2
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11643 \ 1
+       #TMCSRH2_CSL1
+tmc¤h2
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11644 \ 1
+       #TMCSRH2_CSL0
+tmc¤h2
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11645 \ 1
+       #TMCSRH2_MOD2
+tmc¤h2
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11646 \ 1
+       #TMCSRH2_MOD1
+tmc¤h2
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11647 \ 1
+       #TMCSRH2_CSL
+tmc¤h2
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11648 
+__IO_EXTERN
+TMCSRL2STR
+       gtmc¤l2
+;
+
+11649 \ 1
+       #TMCSRL2
+tmc¤l2
+.
+by\8b
+
+
+       )
+
+11650 \ 1
+       #TMCSRL2_MOD0
+tmc¤l2
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11651 \ 1
+       #TMCSRL2_OULT
+tmc¤l2
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11652 \ 1
+       #TMCSRL2_RELD
+tmc¤l2
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11653 \ 1
+       #TMCSRL2_INTE
+tmc¤l2
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11654 \ 1
+       #TMCSRL2_UF
+tmc¤l2
+.
+b\99
+.
+_UF
+
+
+       )
+
+11655 \ 1
+       #TMCSRL2_CNTE
+tmc¤l2
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11656 \ 1
+       #TMCSRL2_TRG
+tmc¤l2
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11657 
+__IO_EXTERN
+TMRLR3STR
+       gtm¾r3
+;
+
+11658 \ 1
+       #TMRLR3
+tm¾r3
+.
+wÜd
+
+
+       )
+
+11659 \ 1
+       #TMRLR3_D15
+tm¾r3
+.
+b\99
+.
+_D15
+
+
+       )
+
+11660 \ 1
+       #TMRLR3_D14
+tm¾r3
+.
+b\99
+.
+_D14
+
+
+       )
+
+11661 \ 1
+       #TMRLR3_D13
+tm¾r3
+.
+b\99
+.
+_D13
+
+
+       )
+
+11662 \ 1
+       #TMRLR3_D12
+tm¾r3
+.
+b\99
+.
+_D12
+
+
+       )
+
+11663 \ 1
+       #TMRLR3_D11
+tm¾r3
+.
+b\99
+.
+_D11
+
+
+       )
+
+11664 \ 1
+       #TMRLR3_D10
+tm¾r3
+.
+b\99
+.
+_D10
+
+
+       )
+
+11665 \ 1
+       #TMRLR3_D9
+tm¾r3
+.
+b\99
+.
+_D9
+
+
+       )
+
+11666 \ 1
+       #TMRLR3_D8
+tm¾r3
+.
+b\99
+.
+_D8
+
+
+       )
+
+11667 \ 1
+       #TMRLR3_D7
+tm¾r3
+.
+b\99
+.
+_D7
+
+
+       )
+
+11668 \ 1
+       #TMRLR3_D6
+tm¾r3
+.
+b\99
+.
+_D6
+
+
+       )
+
+11669 \ 1
+       #TMRLR3_D5
+tm¾r3
+.
+b\99
+.
+_D5
+
+
+       )
+
+11670 \ 1
+       #TMRLR3_D4
+tm¾r3
+.
+b\99
+.
+_D4
+
+
+       )
+
+11671 \ 1
+       #TMRLR3_D3
+tm¾r3
+.
+b\99
+.
+_D3
+
+
+       )
+
+11672 \ 1
+       #TMRLR3_D2
+tm¾r3
+.
+b\99
+.
+_D2
+
+
+       )
+
+11673 \ 1
+       #TMRLR3_D1
+tm¾r3
+.
+b\99
+.
+_D1
+
+
+       )
+
+11674 \ 1
+       #TMRLR3_D0
+tm¾r3
+.
+b\99
+.
+_D0
+
+
+       )
+
+11675 
+__IO_EXTERN
+TMR3STR
+       gtmr3
+;
+
+11676 \ 1
+       #TMR3
+tmr3
+.
+wÜd
+
+
+       )
+
+11677 \ 1
+       #TMR3_D15
+tmr3
+.
+b\99
+.
+_D15
+
+
+       )
+
+11678 \ 1
+       #TMR3_D14
+tmr3
+.
+b\99
+.
+_D14
+
+
+       )
+
+11679 \ 1
+       #TMR3_D13
+tmr3
+.
+b\99
+.
+_D13
+
+
+       )
+
+11680 \ 1
+       #TMR3_D12
+tmr3
+.
+b\99
+.
+_D12
+
+
+       )
+
+11681 \ 1
+       #TMR3_D11
+tmr3
+.
+b\99
+.
+_D11
+
+
+       )
+
+11682 \ 1
+       #TMR3_D10
+tmr3
+.
+b\99
+.
+_D10
+
+
+       )
+
+11683 \ 1
+       #TMR3_D9
+tmr3
+.
+b\99
+.
+_D9
+
+
+       )
+
+11684 \ 1
+       #TMR3_D8
+tmr3
+.
+b\99
+.
+_D8
+
+
+       )
+
+11685 \ 1
+       #TMR3_D7
+tmr3
+.
+b\99
+.
+_D7
+
+
+       )
+
+11686 \ 1
+       #TMR3_D6
+tmr3
+.
+b\99
+.
+_D6
+
+
+       )
+
+11687 \ 1
+       #TMR3_D5
+tmr3
+.
+b\99
+.
+_D5
+
+
+       )
+
+11688 \ 1
+       #TMR3_D4
+tmr3
+.
+b\99
+.
+_D4
+
+
+       )
+
+11689 \ 1
+       #TMR3_D3
+tmr3
+.
+b\99
+.
+_D3
+
+
+       )
+
+11690 \ 1
+       #TMR3_D2
+tmr3
+.
+b\99
+.
+_D2
+
+
+       )
+
+11691 \ 1
+       #TMR3_D1
+tmr3
+.
+b\99
+.
+_D1
+
+
+       )
+
+11692 \ 1
+       #TMR3_D0
+tmr3
+.
+b\99
+.
+_D0
+
+
+       )
+
+11693 
+__IO_EXTERN
+TMCSR3STR
+       gtmc¤3
+;
+
+11694 \ 1
+       #TMCSR3
+tmc¤3
+.
+wÜd
+
+
+       )
+
+11695 \ 1
+       #TMCSR3_CSL2
+tmc¤3
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11696 \ 1
+       #TMCSR3_CSL1
+tmc¤3
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11697 \ 1
+       #TMCSR3_CSL0
+tmc¤3
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11698 \ 1
+       #TMCSR3_MOD2
+tmc¤3
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11699 \ 1
+       #TMCSR3_MOD1
+tmc¤3
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11700 \ 1
+       #TMCSR3_MOD0
+tmc¤3
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11701 \ 1
+       #TMCSR3_OULT
+tmc¤3
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11702 \ 1
+       #TMCSR3_RELD
+tmc¤3
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11703 \ 1
+       #TMCSR3_INTE
+tmc¤3
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11704 \ 1
+       #TMCSR3_UF
+tmc¤3
+.
+b\99
+.
+_UF
+
+
+       )
+
+11705 \ 1
+       #TMCSR3_CNTE
+tmc¤3
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11706 \ 1
+       #TMCSR3_TRG
+tmc¤3
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11707 \ 1
+       #TMCSR3_CSL
+tmc¤3
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11708 \ 1
+       #TMCSR3_MOD
+tmc¤3
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11709 
+__IO_EXTERN
+TMCSRH3STR
+       gtmc¤h3
+;
+
+11710 \ 1
+       #TMCSRH3
+tmc¤h3
+.
+by\8b
+
+
+       )
+
+11711 \ 1
+       #TMCSRH3_CSL2
+tmc¤h3
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11712 \ 1
+       #TMCSRH3_CSL1
+tmc¤h3
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11713 \ 1
+       #TMCSRH3_CSL0
+tmc¤h3
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11714 \ 1
+       #TMCSRH3_MOD2
+tmc¤h3
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11715 \ 1
+       #TMCSRH3_MOD1
+tmc¤h3
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11716 \ 1
+       #TMCSRH3_CSL
+tmc¤h3
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11717 
+__IO_EXTERN
+TMCSRL3STR
+       gtmc¤l3
+;
+
+11718 \ 1
+       #TMCSRL3
+tmc¤l3
+.
+by\8b
+
+
+       )
+
+11719 \ 1
+       #TMCSRL3_MOD0
+tmc¤l3
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11720 \ 1
+       #TMCSRL3_OULT
+tmc¤l3
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11721 \ 1
+       #TMCSRL3_RELD
+tmc¤l3
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11722 \ 1
+       #TMCSRL3_INTE
+tmc¤l3
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11723 \ 1
+       #TMCSRL3_UF
+tmc¤l3
+.
+b\99
+.
+_UF
+
+
+       )
+
+11724 \ 1
+       #TMCSRL3_CNTE
+tmc¤l3
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11725 \ 1
+       #TMCSRL3_TRG
+tmc¤l3
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11726 
+__IO_EXTERN
+TMRLR4STR
+       gtm¾r4
+;
+
+11727 \ 1
+       #TMRLR4
+tm¾r4
+.
+wÜd
+
+
+       )
+
+11728 \ 1
+       #TMRLR4_D15
+tm¾r4
+.
+b\99
+.
+_D15
+
+
+       )
+
+11729 \ 1
+       #TMRLR4_D14
+tm¾r4
+.
+b\99
+.
+_D14
+
+
+       )
+
+11730 \ 1
+       #TMRLR4_D13
+tm¾r4
+.
+b\99
+.
+_D13
+
+
+       )
+
+11731 \ 1
+       #TMRLR4_D12
+tm¾r4
+.
+b\99
+.
+_D12
+
+
+       )
+
+11732 \ 1
+       #TMRLR4_D11
+tm¾r4
+.
+b\99
+.
+_D11
+
+
+       )
+
+11733 \ 1
+       #TMRLR4_D10
+tm¾r4
+.
+b\99
+.
+_D10
+
+
+       )
+
+11734 \ 1
+       #TMRLR4_D9
+tm¾r4
+.
+b\99
+.
+_D9
+
+
+       )
+
+11735 \ 1
+       #TMRLR4_D8
+tm¾r4
+.
+b\99
+.
+_D8
+
+
+       )
+
+11736 \ 1
+       #TMRLR4_D7
+tm¾r4
+.
+b\99
+.
+_D7
+
+
+       )
+
+11737 \ 1
+       #TMRLR4_D6
+tm¾r4
+.
+b\99
+.
+_D6
+
+
+       )
+
+11738 \ 1
+       #TMRLR4_D5
+tm¾r4
+.
+b\99
+.
+_D5
+
+
+       )
+
+11739 \ 1
+       #TMRLR4_D4
+tm¾r4
+.
+b\99
+.
+_D4
+
+
+       )
+
+11740 \ 1
+       #TMRLR4_D3
+tm¾r4
+.
+b\99
+.
+_D3
+
+
+       )
+
+11741 \ 1
+       #TMRLR4_D2
+tm¾r4
+.
+b\99
+.
+_D2
+
+
+       )
+
+11742 \ 1
+       #TMRLR4_D1
+tm¾r4
+.
+b\99
+.
+_D1
+
+
+       )
+
+11743 \ 1
+       #TMRLR4_D0
+tm¾r4
+.
+b\99
+.
+_D0
+
+
+       )
+
+11744 
+__IO_EXTERN
+TMR4STR
+       gtmr4
+;
+
+11745 \ 1
+       #TMR4
+tmr4
+.
+wÜd
+
+
+       )
+
+11746 \ 1
+       #TMR4_D15
+tmr4
+.
+b\99
+.
+_D15
+
+
+       )
+
+11747 \ 1
+       #TMR4_D14
+tmr4
+.
+b\99
+.
+_D14
+
+
+       )
+
+11748 \ 1
+       #TMR4_D13
+tmr4
+.
+b\99
+.
+_D13
+
+
+       )
+
+11749 \ 1
+       #TMR4_D12
+tmr4
+.
+b\99
+.
+_D12
+
+
+       )
+
+11750 \ 1
+       #TMR4_D11
+tmr4
+.
+b\99
+.
+_D11
+
+
+       )
+
+11751 \ 1
+       #TMR4_D10
+tmr4
+.
+b\99
+.
+_D10
+
+
+       )
+
+11752 \ 1
+       #TMR4_D9
+tmr4
+.
+b\99
+.
+_D9
+
+
+       )
+
+11753 \ 1
+       #TMR4_D8
+tmr4
+.
+b\99
+.
+_D8
+
+
+       )
+
+11754 \ 1
+       #TMR4_D7
+tmr4
+.
+b\99
+.
+_D7
+
+
+       )
+
+11755 \ 1
+       #TMR4_D6
+tmr4
+.
+b\99
+.
+_D6
+
+
+       )
+
+11756 \ 1
+       #TMR4_D5
+tmr4
+.
+b\99
+.
+_D5
+
+
+       )
+
+11757 \ 1
+       #TMR4_D4
+tmr4
+.
+b\99
+.
+_D4
+
+
+       )
+
+11758 \ 1
+       #TMR4_D3
+tmr4
+.
+b\99
+.
+_D3
+
+
+       )
+
+11759 \ 1
+       #TMR4_D2
+tmr4
+.
+b\99
+.
+_D2
+
+
+       )
+
+11760 \ 1
+       #TMR4_D1
+tmr4
+.
+b\99
+.
+_D1
+
+
+       )
+
+11761 \ 1
+       #TMR4_D0
+tmr4
+.
+b\99
+.
+_D0
+
+
+       )
+
+11762 
+__IO_EXTERN
+TMCSR4STR
+       gtmc¤4
+;
+
+11763 \ 1
+       #TMCSR4
+tmc¤4
+.
+wÜd
+
+
+       )
+
+11764 \ 1
+       #TMCSR4_CSL2
+tmc¤4
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11765 \ 1
+       #TMCSR4_CSL1
+tmc¤4
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11766 \ 1
+       #TMCSR4_CSL0
+tmc¤4
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11767 \ 1
+       #TMCSR4_MOD2
+tmc¤4
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11768 \ 1
+       #TMCSR4_MOD1
+tmc¤4
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11769 \ 1
+       #TMCSR4_MOD0
+tmc¤4
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11770 \ 1
+       #TMCSR4_OULT
+tmc¤4
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11771 \ 1
+       #TMCSR4_RELD
+tmc¤4
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11772 \ 1
+       #TMCSR4_INTE
+tmc¤4
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11773 \ 1
+       #TMCSR4_UF
+tmc¤4
+.
+b\99
+.
+_UF
+
+
+       )
+
+11774 \ 1
+       #TMCSR4_CNTE
+tmc¤4
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11775 \ 1
+       #TMCSR4_TRG
+tmc¤4
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11776 \ 1
+       #TMCSR4_CSL
+tmc¤4
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11777 \ 1
+       #TMCSR4_MOD
+tmc¤4
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11778 
+__IO_EXTERN
+TMCSRH4STR
+       gtmc¤h4
+;
+
+11779 \ 1
+       #TMCSRH4
+tmc¤h4
+.
+by\8b
+
+
+       )
+
+11780 \ 1
+       #TMCSRH4_CSL2
+tmc¤h4
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11781 \ 1
+       #TMCSRH4_CSL1
+tmc¤h4
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11782 \ 1
+       #TMCSRH4_CSL0
+tmc¤h4
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11783 \ 1
+       #TMCSRH4_MOD2
+tmc¤h4
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11784 \ 1
+       #TMCSRH4_MOD1
+tmc¤h4
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11785 \ 1
+       #TMCSRH4_CSL
+tmc¤h4
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11786 
+__IO_EXTERN
+TMCSRL4STR
+       gtmc¤l4
+;
+
+11787 \ 1
+       #TMCSRL4
+tmc¤l4
+.
+by\8b
+
+
+       )
+
+11788 \ 1
+       #TMCSRL4_MOD0
+tmc¤l4
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11789 \ 1
+       #TMCSRL4_OULT
+tmc¤l4
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11790 \ 1
+       #TMCSRL4_RELD
+tmc¤l4
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11791 \ 1
+       #TMCSRL4_INTE
+tmc¤l4
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11792 \ 1
+       #TMCSRL4_UF
+tmc¤l4
+.
+b\99
+.
+_UF
+
+
+       )
+
+11793 \ 1
+       #TMCSRL4_CNTE
+tmc¤l4
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11794 \ 1
+       #TMCSRL4_TRG
+tmc¤l4
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11795 
+__IO_EXTERN
+TMRLR5STR
+       gtm¾r5
+;
+
+11796 \ 1
+       #TMRLR5
+tm¾r5
+.
+wÜd
+
+
+       )
+
+11797 \ 1
+       #TMRLR5_D15
+tm¾r5
+.
+b\99
+.
+_D15
+
+
+       )
+
+11798 \ 1
+       #TMRLR5_D14
+tm¾r5
+.
+b\99
+.
+_D14
+
+
+       )
+
+11799 \ 1
+       #TMRLR5_D13
+tm¾r5
+.
+b\99
+.
+_D13
+
+
+       )
+
+11800 \ 1
+       #TMRLR5_D12
+tm¾r5
+.
+b\99
+.
+_D12
+
+
+       )
+
+11801 \ 1
+       #TMRLR5_D11
+tm¾r5
+.
+b\99
+.
+_D11
+
+
+       )
+
+11802 \ 1
+       #TMRLR5_D10
+tm¾r5
+.
+b\99
+.
+_D10
+
+
+       )
+
+11803 \ 1
+       #TMRLR5_D9
+tm¾r5
+.
+b\99
+.
+_D9
+
+
+       )
+
+11804 \ 1
+       #TMRLR5_D8
+tm¾r5
+.
+b\99
+.
+_D8
+
+
+       )
+
+11805 \ 1
+       #TMRLR5_D7
+tm¾r5
+.
+b\99
+.
+_D7
+
+
+       )
+
+11806 \ 1
+       #TMRLR5_D6
+tm¾r5
+.
+b\99
+.
+_D6
+
+
+       )
+
+11807 \ 1
+       #TMRLR5_D5
+tm¾r5
+.
+b\99
+.
+_D5
+
+
+       )
+
+11808 \ 1
+       #TMRLR5_D4
+tm¾r5
+.
+b\99
+.
+_D4
+
+
+       )
+
+11809 \ 1
+       #TMRLR5_D3
+tm¾r5
+.
+b\99
+.
+_D3
+
+
+       )
+
+11810 \ 1
+       #TMRLR5_D2
+tm¾r5
+.
+b\99
+.
+_D2
+
+
+       )
+
+11811 \ 1
+       #TMRLR5_D1
+tm¾r5
+.
+b\99
+.
+_D1
+
+
+       )
+
+11812 \ 1
+       #TMRLR5_D0
+tm¾r5
+.
+b\99
+.
+_D0
+
+
+       )
+
+11813 
+__IO_EXTERN
+TMR5STR
+       gtmr5
+;
+
+11814 \ 1
+       #TMR5
+tmr5
+.
+wÜd
+
+
+       )
+
+11815 \ 1
+       #TMR5_D15
+tmr5
+.
+b\99
+.
+_D15
+
+
+       )
+
+11816 \ 1
+       #TMR5_D14
+tmr5
+.
+b\99
+.
+_D14
+
+
+       )
+
+11817 \ 1
+       #TMR5_D13
+tmr5
+.
+b\99
+.
+_D13
+
+
+       )
+
+11818 \ 1
+       #TMR5_D12
+tmr5
+.
+b\99
+.
+_D12
+
+
+       )
+
+11819 \ 1
+       #TMR5_D11
+tmr5
+.
+b\99
+.
+_D11
+
+
+       )
+
+11820 \ 1
+       #TMR5_D10
+tmr5
+.
+b\99
+.
+_D10
+
+
+       )
+
+11821 \ 1
+       #TMR5_D9
+tmr5
+.
+b\99
+.
+_D9
+
+
+       )
+
+11822 \ 1
+       #TMR5_D8
+tmr5
+.
+b\99
+.
+_D8
+
+
+       )
+
+11823 \ 1
+       #TMR5_D7
+tmr5
+.
+b\99
+.
+_D7
+
+
+       )
+
+11824 \ 1
+       #TMR5_D6
+tmr5
+.
+b\99
+.
+_D6
+
+
+       )
+
+11825 \ 1
+       #TMR5_D5
+tmr5
+.
+b\99
+.
+_D5
+
+
+       )
+
+11826 \ 1
+       #TMR5_D4
+tmr5
+.
+b\99
+.
+_D4
+
+
+       )
+
+11827 \ 1
+       #TMR5_D3
+tmr5
+.
+b\99
+.
+_D3
+
+
+       )
+
+11828 \ 1
+       #TMR5_D2
+tmr5
+.
+b\99
+.
+_D2
+
+
+       )
+
+11829 \ 1
+       #TMR5_D1
+tmr5
+.
+b\99
+.
+_D1
+
+
+       )
+
+11830 \ 1
+       #TMR5_D0
+tmr5
+.
+b\99
+.
+_D0
+
+
+       )
+
+11831 
+__IO_EXTERN
+TMCSR5STR
+       gtmc¤5
+;
+
+11832 \ 1
+       #TMCSR5
+tmc¤5
+.
+wÜd
+
+
+       )
+
+11833 \ 1
+       #TMCSR5_CSL2
+tmc¤5
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11834 \ 1
+       #TMCSR5_CSL1
+tmc¤5
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11835 \ 1
+       #TMCSR5_CSL0
+tmc¤5
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11836 \ 1
+       #TMCSR5_MOD2
+tmc¤5
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11837 \ 1
+       #TMCSR5_MOD1
+tmc¤5
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11838 \ 1
+       #TMCSR5_MOD0
+tmc¤5
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11839 \ 1
+       #TMCSR5_OULT
+tmc¤5
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11840 \ 1
+       #TMCSR5_RELD
+tmc¤5
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11841 \ 1
+       #TMCSR5_INTE
+tmc¤5
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11842 \ 1
+       #TMCSR5_UF
+tmc¤5
+.
+b\99
+.
+_UF
+
+
+       )
+
+11843 \ 1
+       #TMCSR5_CNTE
+tmc¤5
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11844 \ 1
+       #TMCSR5_TRG
+tmc¤5
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11845 \ 1
+       #TMCSR5_CSL
+tmc¤5
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11846 \ 1
+       #TMCSR5_MOD
+tmc¤5
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11847 
+__IO_EXTERN
+TMCSRH5STR
+       gtmc¤h5
+;
+
+11848 \ 1
+       #TMCSRH5
+tmc¤h5
+.
+by\8b
+
+
+       )
+
+11849 \ 1
+       #TMCSRH5_CSL2
+tmc¤h5
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11850 \ 1
+       #TMCSRH5_CSL1
+tmc¤h5
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11851 \ 1
+       #TMCSRH5_CSL0
+tmc¤h5
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11852 \ 1
+       #TMCSRH5_MOD2
+tmc¤h5
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11853 \ 1
+       #TMCSRH5_MOD1
+tmc¤h5
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11854 \ 1
+       #TMCSRH5_CSL
+tmc¤h5
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11855 
+__IO_EXTERN
+TMCSRL5STR
+       gtmc¤l5
+;
+
+11856 \ 1
+       #TMCSRL5
+tmc¤l5
+.
+by\8b
+
+
+       )
+
+11857 \ 1
+       #TMCSRL5_MOD0
+tmc¤l5
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11858 \ 1
+       #TMCSRL5_OULT
+tmc¤l5
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11859 \ 1
+       #TMCSRL5_RELD
+tmc¤l5
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11860 \ 1
+       #TMCSRL5_INTE
+tmc¤l5
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11861 \ 1
+       #TMCSRL5_UF
+tmc¤l5
+.
+b\99
+.
+_UF
+
+
+       )
+
+11862 \ 1
+       #TMCSRL5_CNTE
+tmc¤l5
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11863 \ 1
+       #TMCSRL5_TRG
+tmc¤l5
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11864 
+__IO_EXTERN
+TMRLR6STR
+       gtm¾r6
+;
+
+11865 \ 1
+       #TMRLR6
+tm¾r6
+.
+wÜd
+
+
+       )
+
+11866 \ 1
+       #TMRLR6_D15
+tm¾r6
+.
+b\99
+.
+_D15
+
+
+       )
+
+11867 \ 1
+       #TMRLR6_D14
+tm¾r6
+.
+b\99
+.
+_D14
+
+
+       )
+
+11868 \ 1
+       #TMRLR6_D13
+tm¾r6
+.
+b\99
+.
+_D13
+
+
+       )
+
+11869 \ 1
+       #TMRLR6_D12
+tm¾r6
+.
+b\99
+.
+_D12
+
+
+       )
+
+11870 \ 1
+       #TMRLR6_D11
+tm¾r6
+.
+b\99
+.
+_D11
+
+
+       )
+
+11871 \ 1
+       #TMRLR6_D10
+tm¾r6
+.
+b\99
+.
+_D10
+
+
+       )
+
+11872 \ 1
+       #TMRLR6_D9
+tm¾r6
+.
+b\99
+.
+_D9
+
+
+       )
+
+11873 \ 1
+       #TMRLR6_D8
+tm¾r6
+.
+b\99
+.
+_D8
+
+
+       )
+
+11874 \ 1
+       #TMRLR6_D7
+tm¾r6
+.
+b\99
+.
+_D7
+
+
+       )
+
+11875 \ 1
+       #TMRLR6_D6
+tm¾r6
+.
+b\99
+.
+_D6
+
+
+       )
+
+11876 \ 1
+       #TMRLR6_D5
+tm¾r6
+.
+b\99
+.
+_D5
+
+
+       )
+
+11877 \ 1
+       #TMRLR6_D4
+tm¾r6
+.
+b\99
+.
+_D4
+
+
+       )
+
+11878 \ 1
+       #TMRLR6_D3
+tm¾r6
+.
+b\99
+.
+_D3
+
+
+       )
+
+11879 \ 1
+       #TMRLR6_D2
+tm¾r6
+.
+b\99
+.
+_D2
+
+
+       )
+
+11880 \ 1
+       #TMRLR6_D1
+tm¾r6
+.
+b\99
+.
+_D1
+
+
+       )
+
+11881 \ 1
+       #TMRLR6_D0
+tm¾r6
+.
+b\99
+.
+_D0
+
+
+       )
+
+11882 
+__IO_EXTERN
+TMR6STR
+       gtmr6
+;
+
+11883 \ 1
+       #TMR6
+tmr6
+.
+wÜd
+
+
+       )
+
+11884 \ 1
+       #TMR6_D15
+tmr6
+.
+b\99
+.
+_D15
+
+
+       )
+
+11885 \ 1
+       #TMR6_D14
+tmr6
+.
+b\99
+.
+_D14
+
+
+       )
+
+11886 \ 1
+       #TMR6_D13
+tmr6
+.
+b\99
+.
+_D13
+
+
+       )
+
+11887 \ 1
+       #TMR6_D12
+tmr6
+.
+b\99
+.
+_D12
+
+
+       )
+
+11888 \ 1
+       #TMR6_D11
+tmr6
+.
+b\99
+.
+_D11
+
+
+       )
+
+11889 \ 1
+       #TMR6_D10
+tmr6
+.
+b\99
+.
+_D10
+
+
+       )
+
+11890 \ 1
+       #TMR6_D9
+tmr6
+.
+b\99
+.
+_D9
+
+
+       )
+
+11891 \ 1
+       #TMR6_D8
+tmr6
+.
+b\99
+.
+_D8
+
+
+       )
+
+11892 \ 1
+       #TMR6_D7
+tmr6
+.
+b\99
+.
+_D7
+
+
+       )
+
+11893 \ 1
+       #TMR6_D6
+tmr6
+.
+b\99
+.
+_D6
+
+
+       )
+
+11894 \ 1
+       #TMR6_D5
+tmr6
+.
+b\99
+.
+_D5
+
+
+       )
+
+11895 \ 1
+       #TMR6_D4
+tmr6
+.
+b\99
+.
+_D4
+
+
+       )
+
+11896 \ 1
+       #TMR6_D3
+tmr6
+.
+b\99
+.
+_D3
+
+
+       )
+
+11897 \ 1
+       #TMR6_D2
+tmr6
+.
+b\99
+.
+_D2
+
+
+       )
+
+11898 \ 1
+       #TMR6_D1
+tmr6
+.
+b\99
+.
+_D1
+
+
+       )
+
+11899 \ 1
+       #TMR6_D0
+tmr6
+.
+b\99
+.
+_D0
+
+
+       )
+
+11900 
+__IO_EXTERN
+TMCSR6STR
+       gtmc¤6
+;
+
+11901 \ 1
+       #TMCSR6
+tmc¤6
+.
+wÜd
+
+
+       )
+
+11902 \ 1
+       #TMCSR6_CSL2
+tmc¤6
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11903 \ 1
+       #TMCSR6_CSL1
+tmc¤6
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11904 \ 1
+       #TMCSR6_CSL0
+tmc¤6
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11905 \ 1
+       #TMCSR6_MOD2
+tmc¤6
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11906 \ 1
+       #TMCSR6_MOD1
+tmc¤6
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11907 \ 1
+       #TMCSR6_MOD0
+tmc¤6
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11908 \ 1
+       #TMCSR6_OULT
+tmc¤6
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11909 \ 1
+       #TMCSR6_RELD
+tmc¤6
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11910 \ 1
+       #TMCSR6_INTE
+tmc¤6
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11911 \ 1
+       #TMCSR6_UF
+tmc¤6
+.
+b\99
+.
+_UF
+
+
+       )
+
+11912 \ 1
+       #TMCSR6_CNTE
+tmc¤6
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11913 \ 1
+       #TMCSR6_TRG
+tmc¤6
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11914 \ 1
+       #TMCSR6_CSL
+tmc¤6
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11915 \ 1
+       #TMCSR6_MOD
+tmc¤6
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11916 
+__IO_EXTERN
+TMCSRH6STR
+       gtmc¤h6
+;
+
+11917 \ 1
+       #TMCSRH6
+tmc¤h6
+.
+by\8b
+
+
+       )
+
+11918 \ 1
+       #TMCSRH6_CSL2
+tmc¤h6
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11919 \ 1
+       #TMCSRH6_CSL1
+tmc¤h6
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11920 \ 1
+       #TMCSRH6_CSL0
+tmc¤h6
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11921 \ 1
+       #TMCSRH6_MOD2
+tmc¤h6
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11922 \ 1
+       #TMCSRH6_MOD1
+tmc¤h6
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11923 \ 1
+       #TMCSRH6_CSL
+tmc¤h6
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11924 
+__IO_EXTERN
+TMCSRL6STR
+       gtmc¤l6
+;
+
+11925 \ 1
+       #TMCSRL6
+tmc¤l6
+.
+by\8b
+
+
+       )
+
+11926 \ 1
+       #TMCSRL6_MOD0
+tmc¤l6
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11927 \ 1
+       #TMCSRL6_OULT
+tmc¤l6
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11928 \ 1
+       #TMCSRL6_RELD
+tmc¤l6
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11929 \ 1
+       #TMCSRL6_INTE
+tmc¤l6
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11930 \ 1
+       #TMCSRL6_UF
+tmc¤l6
+.
+b\99
+.
+_UF
+
+
+       )
+
+11931 \ 1
+       #TMCSRL6_CNTE
+tmc¤l6
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11932 \ 1
+       #TMCSRL6_TRG
+tmc¤l6
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11933 
+__IO_EXTERN
+TMRLR7STR
+       gtm¾r7
+;
+
+11934 \ 1
+       #TMRLR7
+tm¾r7
+.
+wÜd
+
+
+       )
+
+11935 \ 1
+       #TMRLR7_D15
+tm¾r7
+.
+b\99
+.
+_D15
+
+
+       )
+
+11936 \ 1
+       #TMRLR7_D14
+tm¾r7
+.
+b\99
+.
+_D14
+
+
+       )
+
+11937 \ 1
+       #TMRLR7_D13
+tm¾r7
+.
+b\99
+.
+_D13
+
+
+       )
+
+11938 \ 1
+       #TMRLR7_D12
+tm¾r7
+.
+b\99
+.
+_D12
+
+
+       )
+
+11939 \ 1
+       #TMRLR7_D11
+tm¾r7
+.
+b\99
+.
+_D11
+
+
+       )
+
+11940 \ 1
+       #TMRLR7_D10
+tm¾r7
+.
+b\99
+.
+_D10
+
+
+       )
+
+11941 \ 1
+       #TMRLR7_D9
+tm¾r7
+.
+b\99
+.
+_D9
+
+
+       )
+
+11942 \ 1
+       #TMRLR7_D8
+tm¾r7
+.
+b\99
+.
+_D8
+
+
+       )
+
+11943 \ 1
+       #TMRLR7_D7
+tm¾r7
+.
+b\99
+.
+_D7
+
+
+       )
+
+11944 \ 1
+       #TMRLR7_D6
+tm¾r7
+.
+b\99
+.
+_D6
+
+
+       )
+
+11945 \ 1
+       #TMRLR7_D5
+tm¾r7
+.
+b\99
+.
+_D5
+
+
+       )
+
+11946 \ 1
+       #TMRLR7_D4
+tm¾r7
+.
+b\99
+.
+_D4
+
+
+       )
+
+11947 \ 1
+       #TMRLR7_D3
+tm¾r7
+.
+b\99
+.
+_D3
+
+
+       )
+
+11948 \ 1
+       #TMRLR7_D2
+tm¾r7
+.
+b\99
+.
+_D2
+
+
+       )
+
+11949 \ 1
+       #TMRLR7_D1
+tm¾r7
+.
+b\99
+.
+_D1
+
+
+       )
+
+11950 \ 1
+       #TMRLR7_D0
+tm¾r7
+.
+b\99
+.
+_D0
+
+
+       )
+
+11951 
+__IO_EXTERN
+TMR7STR
+       gtmr7
+;
+
+11952 \ 1
+       #TMR7
+tmr7
+.
+wÜd
+
+
+       )
+
+11953 \ 1
+       #TMR7_D15
+tmr7
+.
+b\99
+.
+_D15
+
+
+       )
+
+11954 \ 1
+       #TMR7_D14
+tmr7
+.
+b\99
+.
+_D14
+
+
+       )
+
+11955 \ 1
+       #TMR7_D13
+tmr7
+.
+b\99
+.
+_D13
+
+
+       )
+
+11956 \ 1
+       #TMR7_D12
+tmr7
+.
+b\99
+.
+_D12
+
+
+       )
+
+11957 \ 1
+       #TMR7_D11
+tmr7
+.
+b\99
+.
+_D11
+
+
+       )
+
+11958 \ 1
+       #TMR7_D10
+tmr7
+.
+b\99
+.
+_D10
+
+
+       )
+
+11959 \ 1
+       #TMR7_D9
+tmr7
+.
+b\99
+.
+_D9
+
+
+       )
+
+11960 \ 1
+       #TMR7_D8
+tmr7
+.
+b\99
+.
+_D8
+
+
+       )
+
+11961 \ 1
+       #TMR7_D7
+tmr7
+.
+b\99
+.
+_D7
+
+
+       )
+
+11962 \ 1
+       #TMR7_D6
+tmr7
+.
+b\99
+.
+_D6
+
+
+       )
+
+11963 \ 1
+       #TMR7_D5
+tmr7
+.
+b\99
+.
+_D5
+
+
+       )
+
+11964 \ 1
+       #TMR7_D4
+tmr7
+.
+b\99
+.
+_D4
+
+
+       )
+
+11965 \ 1
+       #TMR7_D3
+tmr7
+.
+b\99
+.
+_D3
+
+
+       )
+
+11966 \ 1
+       #TMR7_D2
+tmr7
+.
+b\99
+.
+_D2
+
+
+       )
+
+11967 \ 1
+       #TMR7_D1
+tmr7
+.
+b\99
+.
+_D1
+
+
+       )
+
+11968 \ 1
+       #TMR7_D0
+tmr7
+.
+b\99
+.
+_D0
+
+
+       )
+
+11969 
+__IO_EXTERN
+TMCSR7STR
+       gtmc¤7
+;
+
+11970 \ 1
+       #TMCSR7
+tmc¤7
+.
+wÜd
+
+
+       )
+
+11971 \ 1
+       #TMCSR7_CSL2
+tmc¤7
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11972 \ 1
+       #TMCSR7_CSL1
+tmc¤7
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11973 \ 1
+       #TMCSR7_CSL0
+tmc¤7
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11974 \ 1
+       #TMCSR7_MOD2
+tmc¤7
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11975 \ 1
+       #TMCSR7_MOD1
+tmc¤7
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11976 \ 1
+       #TMCSR7_MOD0
+tmc¤7
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11977 \ 1
+       #TMCSR7_OULT
+tmc¤7
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11978 \ 1
+       #TMCSR7_RELD
+tmc¤7
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11979 \ 1
+       #TMCSR7_INTE
+tmc¤7
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11980 \ 1
+       #TMCSR7_UF
+tmc¤7
+.
+b\99
+.
+_UF
+
+
+       )
+
+11981 \ 1
+       #TMCSR7_CNTE
+tmc¤7
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+11982 \ 1
+       #TMCSR7_TRG
+tmc¤7
+.
+b\99
+.
+_TRG
+
+
+       )
+
+11983 \ 1
+       #TMCSR7_CSL
+tmc¤7
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11984 \ 1
+       #TMCSR7_MOD
+tmc¤7
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+11985 
+__IO_EXTERN
+TMCSRH7STR
+       gtmc¤h7
+;
+
+11986 \ 1
+       #TMCSRH7
+tmc¤h7
+.
+by\8b
+
+
+       )
+
+11987 \ 1
+       #TMCSRH7_CSL2
+tmc¤h7
+.
+b\99
+.
+_CSL2
+
+
+       )
+
+11988 \ 1
+       #TMCSRH7_CSL1
+tmc¤h7
+.
+b\99
+.
+_CSL1
+
+
+       )
+
+11989 \ 1
+       #TMCSRH7_CSL0
+tmc¤h7
+.
+b\99
+.
+_CSL0
+
+
+       )
+
+11990 \ 1
+       #TMCSRH7_MOD2
+tmc¤h7
+.
+b\99
+.
+_MOD2
+
+
+       )
+
+11991 \ 1
+       #TMCSRH7_MOD1
+tmc¤h7
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+11992 \ 1
+       #TMCSRH7_CSL
+tmc¤h7
+.
+b\99c
+.
+_CSL
+
+
+       )
+
+11993 
+__IO_EXTERN
+TMCSRL7STR
+       gtmc¤l7
+;
+
+11994 \ 1
+       #TMCSRL7
+tmc¤l7
+.
+by\8b
+
+
+       )
+
+11995 \ 1
+       #TMCSRL7_MOD0
+tmc¤l7
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+11996 \ 1
+       #TMCSRL7_OULT
+tmc¤l7
+.
+b\99
+.
+_OULT
+
+
+       )
+
+11997 \ 1
+       #TMCSRL7_RELD
+tmc¤l7
+.
+b\99
+.
+_RELD
+
+
+       )
+
+11998 \ 1
+       #TMCSRL7_INTE
+tmc¤l7
+.
+b\99
+.
+_INTE
+
+
+       )
+
+11999 \ 1
+       #TMCSRL7_UF
+tmc¤l7
+.
+b\99
+.
+_UF
+
+
+       )
+
+12000 \ 1
+       #TMCSRL7_CNTE
+tmc¤l7
+.
+b\99
+.
+_CNTE
+
+
+       )
+
+12001 \ 1
+       #TMCSRL7_TRG
+tmc¤l7
+.
+b\99
+.
+_TRG
+
+
+       )
+
+12002 
+__IO_EXTERN
+TCDT0STR
+       gtcdt0
+;
+
+12003 \ 1
+       #TCDT0
+tcdt0
+.
+wÜd
+
+
+       )
+
+12004 \ 1
+       #TCDT0_T15
+tcdt0
+.
+b\99
+.
+_T15
+
+
+       )
+
+12005 \ 1
+       #TCDT0_T14
+tcdt0
+.
+b\99
+.
+_T14
+
+
+       )
+
+12006 \ 1
+       #TCDT0_T13
+tcdt0
+.
+b\99
+.
+_T13
+
+
+       )
+
+12007 \ 1
+       #TCDT0_T12
+tcdt0
+.
+b\99
+.
+_T12
+
+
+       )
+
+12008 \ 1
+       #TCDT0_T11
+tcdt0
+.
+b\99
+.
+_T11
+
+
+       )
+
+12009 \ 1
+       #TCDT0_T10
+tcdt0
+.
+b\99
+.
+_T10
+
+
+       )
+
+12010 \ 1
+       #TCDT0_T9
+tcdt0
+.
+b\99
+.
+_T9
+
+
+       )
+
+12011 \ 1
+       #TCDT0_T8
+tcdt0
+.
+b\99
+.
+_T8
+
+
+       )
+
+12012 \ 1
+       #TCDT0_T7
+tcdt0
+.
+b\99
+.
+_T7
+
+
+       )
+
+12013 \ 1
+       #TCDT0_T6
+tcdt0
+.
+b\99
+.
+_T6
+
+
+       )
+
+12014 \ 1
+       #TCDT0_T5
+tcdt0
+.
+b\99
+.
+_T5
+
+
+       )
+
+12015 \ 1
+       #TCDT0_T4
+tcdt0
+.
+b\99
+.
+_T4
+
+
+       )
+
+12016 \ 1
+       #TCDT0_T3
+tcdt0
+.
+b\99
+.
+_T3
+
+
+       )
+
+12017 \ 1
+       #TCDT0_T2
+tcdt0
+.
+b\99
+.
+_T2
+
+
+       )
+
+12018 \ 1
+       #TCDT0_T1
+tcdt0
+.
+b\99
+.
+_T1
+
+
+       )
+
+12019 \ 1
+       #TCDT0_T0
+tcdt0
+.
+b\99
+.
+_T0
+
+
+       )
+
+12020 
+__IO_EXTERN
+TCCS0STR
+       gtccs0
+;
+
+12021 \ 1
+       #TCCS0
+tccs0
+.
+by\8b
+
+
+       )
+
+12022 \ 1
+       #TCCS0_ECLK
+tccs0
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12023 \ 1
+       #TCCS0_IVF
+tccs0
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12024 \ 1
+       #TCCS0_IVFE
+tccs0
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12025 \ 1
+       #TCCS0_STOP
+tccs0
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12026 \ 1
+       #TCCS0_MODE
+tccs0
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12027 \ 1
+       #TCCS0_CLR
+tccs0
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12028 \ 1
+       #TCCS0_CLK1
+tccs0
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12029 \ 1
+       #TCCS0_CLK0
+tccs0
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12030 \ 1
+       #TCCS0_CLK
+tccs0
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12031 
+__IO_EXTERN
+TCDT1STR
+       gtcdt1
+;
+
+12032 \ 1
+       #TCDT1
+tcdt1
+.
+wÜd
+
+
+       )
+
+12033 \ 1
+       #TCDT1_T15
+tcdt1
+.
+b\99
+.
+_T15
+
+
+       )
+
+12034 \ 1
+       #TCDT1_T14
+tcdt1
+.
+b\99
+.
+_T14
+
+
+       )
+
+12035 \ 1
+       #TCDT1_T13
+tcdt1
+.
+b\99
+.
+_T13
+
+
+       )
+
+12036 \ 1
+       #TCDT1_T12
+tcdt1
+.
+b\99
+.
+_T12
+
+
+       )
+
+12037 \ 1
+       #TCDT1_T11
+tcdt1
+.
+b\99
+.
+_T11
+
+
+       )
+
+12038 \ 1
+       #TCDT1_T10
+tcdt1
+.
+b\99
+.
+_T10
+
+
+       )
+
+12039 \ 1
+       #TCDT1_T9
+tcdt1
+.
+b\99
+.
+_T9
+
+
+       )
+
+12040 \ 1
+       #TCDT1_T8
+tcdt1
+.
+b\99
+.
+_T8
+
+
+       )
+
+12041 \ 1
+       #TCDT1_T7
+tcdt1
+.
+b\99
+.
+_T7
+
+
+       )
+
+12042 \ 1
+       #TCDT1_T6
+tcdt1
+.
+b\99
+.
+_T6
+
+
+       )
+
+12043 \ 1
+       #TCDT1_T5
+tcdt1
+.
+b\99
+.
+_T5
+
+
+       )
+
+12044 \ 1
+       #TCDT1_T4
+tcdt1
+.
+b\99
+.
+_T4
+
+
+       )
+
+12045 \ 1
+       #TCDT1_T3
+tcdt1
+.
+b\99
+.
+_T3
+
+
+       )
+
+12046 \ 1
+       #TCDT1_T2
+tcdt1
+.
+b\99
+.
+_T2
+
+
+       )
+
+12047 \ 1
+       #TCDT1_T1
+tcdt1
+.
+b\99
+.
+_T1
+
+
+       )
+
+12048 \ 1
+       #TCDT1_T0
+tcdt1
+.
+b\99
+.
+_T0
+
+
+       )
+
+12049 
+__IO_EXTERN
+TCCS1STR
+       gtccs1
+;
+
+12050 \ 1
+       #TCCS1
+tccs1
+.
+by\8b
+
+
+       )
+
+12051 \ 1
+       #TCCS1_ECLK
+tccs1
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12052 \ 1
+       #TCCS1_IVF
+tccs1
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12053 \ 1
+       #TCCS1_IVFE
+tccs1
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12054 \ 1
+       #TCCS1_STOP
+tccs1
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12055 \ 1
+       #TCCS1_MODE
+tccs1
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12056 \ 1
+       #TCCS1_CLR
+tccs1
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12057 \ 1
+       #TCCS1_CLK1
+tccs1
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12058 \ 1
+       #TCCS1_CLK0
+tccs1
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12059 \ 1
+       #TCCS1_CLK
+tccs1
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12060 
+__IO_EXTERN
+TCDT2STR
+       gtcdt2
+;
+
+12061 \ 1
+       #TCDT2
+tcdt2
+.
+wÜd
+
+
+       )
+
+12062 \ 1
+       #TCDT2_T15
+tcdt2
+.
+b\99
+.
+_T15
+
+
+       )
+
+12063 \ 1
+       #TCDT2_T14
+tcdt2
+.
+b\99
+.
+_T14
+
+
+       )
+
+12064 \ 1
+       #TCDT2_T13
+tcdt2
+.
+b\99
+.
+_T13
+
+
+       )
+
+12065 \ 1
+       #TCDT2_T12
+tcdt2
+.
+b\99
+.
+_T12
+
+
+       )
+
+12066 \ 1
+       #TCDT2_T11
+tcdt2
+.
+b\99
+.
+_T11
+
+
+       )
+
+12067 \ 1
+       #TCDT2_T10
+tcdt2
+.
+b\99
+.
+_T10
+
+
+       )
+
+12068 \ 1
+       #TCDT2_T9
+tcdt2
+.
+b\99
+.
+_T9
+
+
+       )
+
+12069 \ 1
+       #TCDT2_T8
+tcdt2
+.
+b\99
+.
+_T8
+
+
+       )
+
+12070 \ 1
+       #TCDT2_T7
+tcdt2
+.
+b\99
+.
+_T7
+
+
+       )
+
+12071 \ 1
+       #TCDT2_T6
+tcdt2
+.
+b\99
+.
+_T6
+
+
+       )
+
+12072 \ 1
+       #TCDT2_T5
+tcdt2
+.
+b\99
+.
+_T5
+
+
+       )
+
+12073 \ 1
+       #TCDT2_T4
+tcdt2
+.
+b\99
+.
+_T4
+
+
+       )
+
+12074 \ 1
+       #TCDT2_T3
+tcdt2
+.
+b\99
+.
+_T3
+
+
+       )
+
+12075 \ 1
+       #TCDT2_T2
+tcdt2
+.
+b\99
+.
+_T2
+
+
+       )
+
+12076 \ 1
+       #TCDT2_T1
+tcdt2
+.
+b\99
+.
+_T1
+
+
+       )
+
+12077 \ 1
+       #TCDT2_T0
+tcdt2
+.
+b\99
+.
+_T0
+
+
+       )
+
+12078 
+__IO_EXTERN
+TCCS2STR
+       gtccs2
+;
+
+12079 \ 1
+       #TCCS2
+tccs2
+.
+by\8b
+
+
+       )
+
+12080 \ 1
+       #TCCS2_ECLK
+tccs2
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12081 \ 1
+       #TCCS2_IVF
+tccs2
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12082 \ 1
+       #TCCS2_IVFE
+tccs2
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12083 \ 1
+       #TCCS2_STOP
+tccs2
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12084 \ 1
+       #TCCS2_MODE
+tccs2
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12085 \ 1
+       #TCCS2_CLR
+tccs2
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12086 \ 1
+       #TCCS2_CLK1
+tccs2
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12087 \ 1
+       #TCCS2_CLK0
+tccs2
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12088 \ 1
+       #TCCS2_CLK
+tccs2
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12089 
+__IO_EXTERN
+TCDT3STR
+       gtcdt3
+;
+
+12090 \ 1
+       #TCDT3
+tcdt3
+.
+wÜd
+
+
+       )
+
+12091 \ 1
+       #TCDT3_T15
+tcdt3
+.
+b\99
+.
+_T15
+
+
+       )
+
+12092 \ 1
+       #TCDT3_T14
+tcdt3
+.
+b\99
+.
+_T14
+
+
+       )
+
+12093 \ 1
+       #TCDT3_T13
+tcdt3
+.
+b\99
+.
+_T13
+
+
+       )
+
+12094 \ 1
+       #TCDT3_T12
+tcdt3
+.
+b\99
+.
+_T12
+
+
+       )
+
+12095 \ 1
+       #TCDT3_T11
+tcdt3
+.
+b\99
+.
+_T11
+
+
+       )
+
+12096 \ 1
+       #TCDT3_T10
+tcdt3
+.
+b\99
+.
+_T10
+
+
+       )
+
+12097 \ 1
+       #TCDT3_T9
+tcdt3
+.
+b\99
+.
+_T9
+
+
+       )
+
+12098 \ 1
+       #TCDT3_T8
+tcdt3
+.
+b\99
+.
+_T8
+
+
+       )
+
+12099 \ 1
+       #TCDT3_T7
+tcdt3
+.
+b\99
+.
+_T7
+
+
+       )
+
+12100 \ 1
+       #TCDT3_T6
+tcdt3
+.
+b\99
+.
+_T6
+
+
+       )
+
+12101 \ 1
+       #TCDT3_T5
+tcdt3
+.
+b\99
+.
+_T5
+
+
+       )
+
+12102 \ 1
+       #TCDT3_T4
+tcdt3
+.
+b\99
+.
+_T4
+
+
+       )
+
+12103 \ 1
+       #TCDT3_T3
+tcdt3
+.
+b\99
+.
+_T3
+
+
+       )
+
+12104 \ 1
+       #TCDT3_T2
+tcdt3
+.
+b\99
+.
+_T2
+
+
+       )
+
+12105 \ 1
+       #TCDT3_T1
+tcdt3
+.
+b\99
+.
+_T1
+
+
+       )
+
+12106 \ 1
+       #TCDT3_T0
+tcdt3
+.
+b\99
+.
+_T0
+
+
+       )
+
+12107 
+__IO_EXTERN
+TCCS3STR
+       gtccs3
+;
+
+12108 \ 1
+       #TCCS3
+tccs3
+.
+by\8b
+
+
+       )
+
+12109 \ 1
+       #TCCS3_ECLK
+tccs3
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12110 \ 1
+       #TCCS3_IVF
+tccs3
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12111 \ 1
+       #TCCS3_IVFE
+tccs3
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12112 \ 1
+       #TCCS3_STOP
+tccs3
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12113 \ 1
+       #TCCS3_MODE
+tccs3
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12114 \ 1
+       #TCCS3_CLR
+tccs3
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12115 \ 1
+       #TCCS3_CLK1
+tccs3
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12116 \ 1
+       #TCCS3_CLK0
+tccs3
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12117 \ 1
+       #TCCS3_CLK
+tccs3
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12118 
+__IO_EXTERN
+DMACA0STR
+       gdmaÿ0
+;
+
+12119 \ 1
+       #DMACA0
+dmaÿ0
+.
+lwÜd
+
+
+       )
+
+12120 \ 1
+       #DMACA0_DENB
+dmaÿ0
+.
+b\99
+.
+_DENB
+
+
+       )
+
+12121 \ 1
+       #DMACA0_PAUS
+dmaÿ0
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+12122 \ 1
+       #DMACA0_STRG
+dmaÿ0
+.
+b\99
+.
+_STRG
+
+
+       )
+
+12123 \ 1
+       #DMACA0_IS4
+dmaÿ0
+.
+b\99
+.
+_IS4
+
+
+       )
+
+12124 \ 1
+       #DMACA0_IS3
+dmaÿ0
+.
+b\99
+.
+_IS3
+
+
+       )
+
+12125 \ 1
+       #DMACA0_IS2
+dmaÿ0
+.
+b\99
+.
+_IS2
+
+
+       )
+
+12126 \ 1
+       #DMACA0_IS1
+dmaÿ0
+.
+b\99
+.
+_IS1
+
+
+       )
+
+12127 \ 1
+       #DMACA0_IS0
+dmaÿ0
+.
+b\99
+.
+_IS0
+
+
+       )
+
+12128 \ 1
+       #DMACA0_EIS3
+dmaÿ0
+.
+b\99
+.
+_EIS3
+
+
+       )
+
+12129 \ 1
+       #DMACA0_EIS2
+dmaÿ0
+.
+b\99
+.
+_EIS2
+
+
+       )
+
+12130 \ 1
+       #DMACA0_EIS1
+dmaÿ0
+.
+b\99
+.
+_EIS1
+
+
+       )
+
+12131 \ 1
+       #DMACA0_EIS0
+dmaÿ0
+.
+b\99
+.
+_EIS0
+
+
+       )
+
+12132 \ 1
+       #DMACA0_BLK3
+dmaÿ0
+.
+b\99
+.
+_BLK3
+
+
+       )
+
+12133 \ 1
+       #DMACA0_BLK2
+dmaÿ0
+.
+b\99
+.
+_BLK2
+
+
+       )
+
+12134 \ 1
+       #DMACA0_BLK1
+dmaÿ0
+.
+b\99
+.
+_BLK1
+
+
+       )
+
+12135 \ 1
+       #DMACA0_BLK0
+dmaÿ0
+.
+b\99
+.
+_BLK0
+
+
+       )
+
+12136 \ 1
+       #DMACA0_DTCF
+dmaÿ0
+.
+b\99
+.
+_DTCF
+
+
+       )
+
+12137 \ 1
+       #DMACA0_DTCE
+dmaÿ0
+.
+b\99
+.
+_DTCE
+
+
+       )
+
+12138 \ 1
+       #DMACA0_DTCD
+dmaÿ0
+.
+b\99
+.
+_DTCD
+
+
+       )
+
+12139 \ 1
+       #DMACA0_DTCC
+dmaÿ0
+.
+b\99
+.
+_DTCC
+
+
+       )
+
+12140 \ 1
+       #DMACA0_DTCB
+dmaÿ0
+.
+b\99
+.
+_DTCB
+
+
+       )
+
+12141 \ 1
+       #DMACA0_DTCA
+dmaÿ0
+.
+b\99
+.
+_DTCA
+
+
+       )
+
+12142 \ 1
+       #DMACA0_DTC9
+dmaÿ0
+.
+b\99
+.
+_DTC9
+
+
+       )
+
+12143 \ 1
+       #DMACA0_DTC8
+dmaÿ0
+.
+b\99
+.
+_DTC8
+
+
+       )
+
+12144 \ 1
+       #DMACA0_DTC7
+dmaÿ0
+.
+b\99
+.
+_DTC7
+
+
+       )
+
+12145 \ 1
+       #DMACA0_DTC6
+dmaÿ0
+.
+b\99
+.
+_DTC6
+
+
+       )
+
+12146 \ 1
+       #DMACA0_DTC5
+dmaÿ0
+.
+b\99
+.
+_DTC5
+
+
+       )
+
+12147 \ 1
+       #DMACA0_DTC4
+dmaÿ0
+.
+b\99
+.
+_DTC4
+
+
+       )
+
+12148 \ 1
+       #DMACA0_DTC3
+dmaÿ0
+.
+b\99
+.
+_DTC3
+
+
+       )
+
+12149 \ 1
+       #DMACA0_DTC2
+dmaÿ0
+.
+b\99
+.
+_DTC2
+
+
+       )
+
+12150 \ 1
+       #DMACA0_DTC1
+dmaÿ0
+.
+b\99
+.
+_DTC1
+
+
+       )
+
+12151 \ 1
+       #DMACA0_DTC0
+dmaÿ0
+.
+b\99
+.
+_DTC0
+
+
+       )
+
+12152 \ 1
+       #DMACA0_IS
+dmaÿ0
+.
+b\99c
+.
+_IS
+
+
+       )
+
+12153 \ 1
+       #DMACA0_EIS
+dmaÿ0
+.
+b\99c
+.
+_EIS
+
+
+       )
+
+12154 \ 1
+       #DMACA0_BLK
+dmaÿ0
+.
+b\99c
+.
+_BLK
+
+
+       )
+
+12155 \ 1
+       #DMACA0_DTC
+dmaÿ0
+.
+b\99c
+.
+_DTC
+
+
+       )
+
+12156 
+__IO_EXTERN
+DMACB0STR
+       gdmacb0
+;
+
+12157 \ 1
+       #DMACB0
+dmacb0
+.
+lwÜd
+
+
+       )
+
+12158 \ 1
+       #DMACB0_TYPE1
+dmacb0
+.
+b\99
+.
+_TYPE1
+
+
+       )
+
+12159 \ 1
+       #DMACB0_TYPE0
+dmacb0
+.
+b\99
+.
+_TYPE0
+
+
+       )
+
+12160 \ 1
+       #DMACB0_MOD1
+dmacb0
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+12161 \ 1
+       #DMACB0_MOD0
+dmacb0
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+12162 \ 1
+       #DMACB0_WS1
+dmacb0
+.
+b\99
+.
+_WS1
+
+
+       )
+
+12163 \ 1
+       #DMACB0_WS0
+dmacb0
+.
+b\99
+.
+_WS0
+
+
+       )
+
+12164 \ 1
+       #DMACB0_SADM
+dmacb0
+.
+b\99
+.
+_SADM
+
+
+       )
+
+12165 \ 1
+       #DMACB0_DADM
+dmacb0
+.
+b\99
+.
+_DADM
+
+
+       )
+
+12166 \ 1
+       #DMACB0_DTCR
+dmacb0
+.
+b\99
+.
+_DTCR
+
+
+       )
+
+12167 \ 1
+       #DMACB0_SADR
+dmacb0
+.
+b\99
+.
+_SADR
+
+
+       )
+
+12168 \ 1
+       #DMACB0_DADR
+dmacb0
+.
+b\99
+.
+_DADR
+
+
+       )
+
+12169 \ 1
+       #DMACB0_ERIE
+dmacb0
+.
+b\99
+.
+_ERIE
+
+
+       )
+
+12170 \ 1
+       #DMACB0_EDIE
+dmacb0
+.
+b\99
+.
+_EDIE
+
+
+       )
+
+12171 \ 1
+       #DMACB0_DSS2
+dmacb0
+.
+b\99
+.
+_DSS2
+
+
+       )
+
+12172 \ 1
+       #DMACB0_DSS1
+dmacb0
+.
+b\99
+.
+_DSS1
+
+
+       )
+
+12173 \ 1
+       #DMACB0_DSS0
+dmacb0
+.
+b\99
+.
+_DSS0
+
+
+       )
+
+12174 \ 1
+       #DMACB0_SASZ7
+dmacb0
+.
+b\99
+.
+_SASZ7
+
+
+       )
+
+12175 \ 1
+       #DMACB0_SASZ6
+dmacb0
+.
+b\99
+.
+_SASZ6
+
+
+       )
+
+12176 \ 1
+       #DMACB0_SASZ5
+dmacb0
+.
+b\99
+.
+_SASZ5
+
+
+       )
+
+12177 \ 1
+       #DMACB0_SASZ4
+dmacb0
+.
+b\99
+.
+_SASZ4
+
+
+       )
+
+12178 \ 1
+       #DMACB0_SASZ3
+dmacb0
+.
+b\99
+.
+_SASZ3
+
+
+       )
+
+12179 \ 1
+       #DMACB0_SASZ2
+dmacb0
+.
+b\99
+.
+_SASZ2
+
+
+       )
+
+12180 \ 1
+       #DMACB0_SASZ1
+dmacb0
+.
+b\99
+.
+_SASZ1
+
+
+       )
+
+12181 \ 1
+       #DMACB0_SASZ0
+dmacb0
+.
+b\99
+.
+_SASZ0
+
+
+       )
+
+12182 \ 1
+       #DMACB0_DASZ7
+dmacb0
+.
+b\99
+.
+_DASZ7
+
+
+       )
+
+12183 \ 1
+       #DMACB0_DASZ6
+dmacb0
+.
+b\99
+.
+_DASZ6
+
+
+       )
+
+12184 \ 1
+       #DMACB0_DASZ5
+dmacb0
+.
+b\99
+.
+_DASZ5
+
+
+       )
+
+12185 \ 1
+       #DMACB0_DASZ4
+dmacb0
+.
+b\99
+.
+_DASZ4
+
+
+       )
+
+12186 \ 1
+       #DMACB0_DASZ3
+dmacb0
+.
+b\99
+.
+_DASZ3
+
+
+       )
+
+12187 \ 1
+       #DMACB0_DASZ2
+dmacb0
+.
+b\99
+.
+_DASZ2
+
+
+       )
+
+12188 \ 1
+       #DMACB0_DASZ1
+dmacb0
+.
+b\99
+.
+_DASZ1
+
+
+       )
+
+12189 \ 1
+       #DMACB0_DASZ0
+dmacb0
+.
+b\99
+.
+_DASZ0
+
+
+       )
+
+12190 \ 1
+       #DMACB0_TYPE
+dmacb0
+.
+b\99c
+.
+_TYPE
+
+
+       )
+
+12191 \ 1
+       #DMACB0_MOD
+dmacb0
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+12192 \ 1
+       #DMACB0_WS
+dmacb0
+.
+b\99c
+.
+_WS
+
+
+       )
+
+12193 \ 1
+       #DMACB0_DSS
+dmacb0
+.
+b\99c
+.
+_DSS
+
+
+       )
+
+12194 \ 1
+       #DMACB0_SASZ
+dmacb0
+.
+b\99c
+.
+_SASZ
+
+
+       )
+
+12195 \ 1
+       #DMACB0_DASZ
+dmacb0
+.
+b\99c
+.
+_DASZ
+
+
+       )
+
+12196 
+__IO_EXTERN
+DMACA1STR
+       gdmaÿ1
+;
+
+12197 \ 1
+       #DMACA1
+dmaÿ1
+.
+lwÜd
+
+
+       )
+
+12198 \ 1
+       #DMACA1_DENB
+dmaÿ1
+.
+b\99
+.
+_DENB
+
+
+       )
+
+12199 \ 1
+       #DMACA1_PAUS
+dmaÿ1
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+12200 \ 1
+       #DMACA1_STRG
+dmaÿ1
+.
+b\99
+.
+_STRG
+
+
+       )
+
+12201 \ 1
+       #DMACA1_IS4
+dmaÿ1
+.
+b\99
+.
+_IS4
+
+
+       )
+
+12202 \ 1
+       #DMACA1_IS3
+dmaÿ1
+.
+b\99
+.
+_IS3
+
+
+       )
+
+12203 \ 1
+       #DMACA1_IS2
+dmaÿ1
+.
+b\99
+.
+_IS2
+
+
+       )
+
+12204 \ 1
+       #DMACA1_IS1
+dmaÿ1
+.
+b\99
+.
+_IS1
+
+
+       )
+
+12205 \ 1
+       #DMACA1_IS0
+dmaÿ1
+.
+b\99
+.
+_IS0
+
+
+       )
+
+12206 \ 1
+       #DMACA1_EIS3
+dmaÿ1
+.
+b\99
+.
+_EIS3
+
+
+       )
+
+12207 \ 1
+       #DMACA1_EIS2
+dmaÿ1
+.
+b\99
+.
+_EIS2
+
+
+       )
+
+12208 \ 1
+       #DMACA1_EIS1
+dmaÿ1
+.
+b\99
+.
+_EIS1
+
+
+       )
+
+12209 \ 1
+       #DMACA1_EIS0
+dmaÿ1
+.
+b\99
+.
+_EIS0
+
+
+       )
+
+12210 \ 1
+       #DMACA1_BLK3
+dmaÿ1
+.
+b\99
+.
+_BLK3
+
+
+       )
+
+12211 \ 1
+       #DMACA1_BLK2
+dmaÿ1
+.
+b\99
+.
+_BLK2
+
+
+       )
+
+12212 \ 1
+       #DMACA1_BLK1
+dmaÿ1
+.
+b\99
+.
+_BLK1
+
+
+       )
+
+12213 \ 1
+       #DMACA1_BLK0
+dmaÿ1
+.
+b\99
+.
+_BLK0
+
+
+       )
+
+12214 \ 1
+       #DMACA1_DTCF
+dmaÿ1
+.
+b\99
+.
+_DTCF
+
+
+       )
+
+12215 \ 1
+       #DMACA1_DTCE
+dmaÿ1
+.
+b\99
+.
+_DTCE
+
+
+       )
+
+12216 \ 1
+       #DMACA1_DTCD
+dmaÿ1
+.
+b\99
+.
+_DTCD
+
+
+       )
+
+12217 \ 1
+       #DMACA1_DTCC
+dmaÿ1
+.
+b\99
+.
+_DTCC
+
+
+       )
+
+12218 \ 1
+       #DMACA1_DTCB
+dmaÿ1
+.
+b\99
+.
+_DTCB
+
+
+       )
+
+12219 \ 1
+       #DMACA1_DTCA
+dmaÿ1
+.
+b\99
+.
+_DTCA
+
+
+       )
+
+12220 \ 1
+       #DMACA1_DTC9
+dmaÿ1
+.
+b\99
+.
+_DTC9
+
+
+       )
+
+12221 \ 1
+       #DMACA1_DTC8
+dmaÿ1
+.
+b\99
+.
+_DTC8
+
+
+       )
+
+12222 \ 1
+       #DMACA1_DTC7
+dmaÿ1
+.
+b\99
+.
+_DTC7
+
+
+       )
+
+12223 \ 1
+       #DMACA1_DTC6
+dmaÿ1
+.
+b\99
+.
+_DTC6
+
+
+       )
+
+12224 \ 1
+       #DMACA1_DTC5
+dmaÿ1
+.
+b\99
+.
+_DTC5
+
+
+       )
+
+12225 \ 1
+       #DMACA1_DTC4
+dmaÿ1
+.
+b\99
+.
+_DTC4
+
+
+       )
+
+12226 \ 1
+       #DMACA1_DTC3
+dmaÿ1
+.
+b\99
+.
+_DTC3
+
+
+       )
+
+12227 \ 1
+       #DMACA1_DTC2
+dmaÿ1
+.
+b\99
+.
+_DTC2
+
+
+       )
+
+12228 \ 1
+       #DMACA1_DTC1
+dmaÿ1
+.
+b\99
+.
+_DTC1
+
+
+       )
+
+12229 \ 1
+       #DMACA1_DTC0
+dmaÿ1
+.
+b\99
+.
+_DTC0
+
+
+       )
+
+12230 \ 1
+       #DMACA1_IS
+dmaÿ1
+.
+b\99c
+.
+_IS
+
+
+       )
+
+12231 \ 1
+       #DMACA1_EIS
+dmaÿ1
+.
+b\99c
+.
+_EIS
+
+
+       )
+
+12232 \ 1
+       #DMACA1_BLK
+dmaÿ1
+.
+b\99c
+.
+_BLK
+
+
+       )
+
+12233 \ 1
+       #DMACA1_DTC
+dmaÿ1
+.
+b\99c
+.
+_DTC
+
+
+       )
+
+12234 
+__IO_EXTERN
+DMACB1STR
+       gdmacb1
+;
+
+12235 \ 1
+       #DMACB1
+dmacb1
+.
+lwÜd
+
+
+       )
+
+12236 \ 1
+       #DMACB1_TYPE1
+dmacb1
+.
+b\99
+.
+_TYPE1
+
+
+       )
+
+12237 \ 1
+       #DMACB1_TYPE0
+dmacb1
+.
+b\99
+.
+_TYPE0
+
+
+       )
+
+12238 \ 1
+       #DMACB1_MOD1
+dmacb1
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+12239 \ 1
+       #DMACB1_MOD0
+dmacb1
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+12240 \ 1
+       #DMACB1_WS1
+dmacb1
+.
+b\99
+.
+_WS1
+
+
+       )
+
+12241 \ 1
+       #DMACB1_WS0
+dmacb1
+.
+b\99
+.
+_WS0
+
+
+       )
+
+12242 \ 1
+       #DMACB1_SADM
+dmacb1
+.
+b\99
+.
+_SADM
+
+
+       )
+
+12243 \ 1
+       #DMACB1_DADM
+dmacb1
+.
+b\99
+.
+_DADM
+
+
+       )
+
+12244 \ 1
+       #DMACB1_DTCR
+dmacb1
+.
+b\99
+.
+_DTCR
+
+
+       )
+
+12245 \ 1
+       #DMACB1_SADR
+dmacb1
+.
+b\99
+.
+_SADR
+
+
+       )
+
+12246 \ 1
+       #DMACB1_DADR
+dmacb1
+.
+b\99
+.
+_DADR
+
+
+       )
+
+12247 \ 1
+       #DMACB1_ERIE
+dmacb1
+.
+b\99
+.
+_ERIE
+
+
+       )
+
+12248 \ 1
+       #DMACB1_EDIE
+dmacb1
+.
+b\99
+.
+_EDIE
+
+
+       )
+
+12249 \ 1
+       #DMACB1_DSS2
+dmacb1
+.
+b\99
+.
+_DSS2
+
+
+       )
+
+12250 \ 1
+       #DMACB1_DSS1
+dmacb1
+.
+b\99
+.
+_DSS1
+
+
+       )
+
+12251 \ 1
+       #DMACB1_DSS0
+dmacb1
+.
+b\99
+.
+_DSS0
+
+
+       )
+
+12252 \ 1
+       #DMACB1_SASZ7
+dmacb1
+.
+b\99
+.
+_SASZ7
+
+
+       )
+
+12253 \ 1
+       #DMACB1_SASZ6
+dmacb1
+.
+b\99
+.
+_SASZ6
+
+
+       )
+
+12254 \ 1
+       #DMACB1_SASZ5
+dmacb1
+.
+b\99
+.
+_SASZ5
+
+
+       )
+
+12255 \ 1
+       #DMACB1_SASZ4
+dmacb1
+.
+b\99
+.
+_SASZ4
+
+
+       )
+
+12256 \ 1
+       #DMACB1_SASZ3
+dmacb1
+.
+b\99
+.
+_SASZ3
+
+
+       )
+
+12257 \ 1
+       #DMACB1_SASZ2
+dmacb1
+.
+b\99
+.
+_SASZ2
+
+
+       )
+
+12258 \ 1
+       #DMACB1_SASZ1
+dmacb1
+.
+b\99
+.
+_SASZ1
+
+
+       )
+
+12259 \ 1
+       #DMACB1_SASZ0
+dmacb1
+.
+b\99
+.
+_SASZ0
+
+
+       )
+
+12260 \ 1
+       #DMACB1_DASZ7
+dmacb1
+.
+b\99
+.
+_DASZ7
+
+
+       )
+
+12261 \ 1
+       #DMACB1_DASZ6
+dmacb1
+.
+b\99
+.
+_DASZ6
+
+
+       )
+
+12262 \ 1
+       #DMACB1_DASZ5
+dmacb1
+.
+b\99
+.
+_DASZ5
+
+
+       )
+
+12263 \ 1
+       #DMACB1_DASZ4
+dmacb1
+.
+b\99
+.
+_DASZ4
+
+
+       )
+
+12264 \ 1
+       #DMACB1_DASZ3
+dmacb1
+.
+b\99
+.
+_DASZ3
+
+
+       )
+
+12265 \ 1
+       #DMACB1_DASZ2
+dmacb1
+.
+b\99
+.
+_DASZ2
+
+
+       )
+
+12266 \ 1
+       #DMACB1_DASZ1
+dmacb1
+.
+b\99
+.
+_DASZ1
+
+
+       )
+
+12267 \ 1
+       #DMACB1_DASZ0
+dmacb1
+.
+b\99
+.
+_DASZ0
+
+
+       )
+
+12268 \ 1
+       #DMACB1_TYPE
+dmacb1
+.
+b\99c
+.
+_TYPE
+
+
+       )
+
+12269 \ 1
+       #DMACB1_MOD
+dmacb1
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+12270 \ 1
+       #DMACB1_WS
+dmacb1
+.
+b\99c
+.
+_WS
+
+
+       )
+
+12271 \ 1
+       #DMACB1_DSS
+dmacb1
+.
+b\99c
+.
+_DSS
+
+
+       )
+
+12272 \ 1
+       #DMACB1_SASZ
+dmacb1
+.
+b\99c
+.
+_SASZ
+
+
+       )
+
+12273 \ 1
+       #DMACB1_DASZ
+dmacb1
+.
+b\99c
+.
+_DASZ
+
+
+       )
+
+12274 
+__IO_EXTERN
+DMACA2STR
+       gdmaÿ2
+;
+
+12275 \ 1
+       #DMACA2
+dmaÿ2
+.
+lwÜd
+
+
+       )
+
+12276 \ 1
+       #DMACA2_DENB
+dmaÿ2
+.
+b\99
+.
+_DENB
+
+
+       )
+
+12277 \ 1
+       #DMACA2_PAUS
+dmaÿ2
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+12278 \ 1
+       #DMACA2_STRG
+dmaÿ2
+.
+b\99
+.
+_STRG
+
+
+       )
+
+12279 \ 1
+       #DMACA2_IS4
+dmaÿ2
+.
+b\99
+.
+_IS4
+
+
+       )
+
+12280 \ 1
+       #DMACA2_IS3
+dmaÿ2
+.
+b\99
+.
+_IS3
+
+
+       )
+
+12281 \ 1
+       #DMACA2_IS2
+dmaÿ2
+.
+b\99
+.
+_IS2
+
+
+       )
+
+12282 \ 1
+       #DMACA2_IS1
+dmaÿ2
+.
+b\99
+.
+_IS1
+
+
+       )
+
+12283 \ 1
+       #DMACA2_IS0
+dmaÿ2
+.
+b\99
+.
+_IS0
+
+
+       )
+
+12284 \ 1
+       #DMACA2_EIS3
+dmaÿ2
+.
+b\99
+.
+_EIS3
+
+
+       )
+
+12285 \ 1
+       #DMACA2_EIS2
+dmaÿ2
+.
+b\99
+.
+_EIS2
+
+
+       )
+
+12286 \ 1
+       #DMACA2_EIS1
+dmaÿ2
+.
+b\99
+.
+_EIS1
+
+
+       )
+
+12287 \ 1
+       #DMACA2_EIS0
+dmaÿ2
+.
+b\99
+.
+_EIS0
+
+
+       )
+
+12288 \ 1
+       #DMACA2_BLK3
+dmaÿ2
+.
+b\99
+.
+_BLK3
+
+
+       )
+
+12289 \ 1
+       #DMACA2_BLK2
+dmaÿ2
+.
+b\99
+.
+_BLK2
+
+
+       )
+
+12290 \ 1
+       #DMACA2_BLK1
+dmaÿ2
+.
+b\99
+.
+_BLK1
+
+
+       )
+
+12291 \ 1
+       #DMACA2_BLK0
+dmaÿ2
+.
+b\99
+.
+_BLK0
+
+
+       )
+
+12292 \ 1
+       #DMACA2_DTCF
+dmaÿ2
+.
+b\99
+.
+_DTCF
+
+
+       )
+
+12293 \ 1
+       #DMACA2_DTCE
+dmaÿ2
+.
+b\99
+.
+_DTCE
+
+
+       )
+
+12294 \ 1
+       #DMACA2_DTCD
+dmaÿ2
+.
+b\99
+.
+_DTCD
+
+
+       )
+
+12295 \ 1
+       #DMACA2_DTCC
+dmaÿ2
+.
+b\99
+.
+_DTCC
+
+
+       )
+
+12296 \ 1
+       #DMACA2_DTCB
+dmaÿ2
+.
+b\99
+.
+_DTCB
+
+
+       )
+
+12297 \ 1
+       #DMACA2_DTCA
+dmaÿ2
+.
+b\99
+.
+_DTCA
+
+
+       )
+
+12298 \ 1
+       #DMACA2_DTC9
+dmaÿ2
+.
+b\99
+.
+_DTC9
+
+
+       )
+
+12299 \ 1
+       #DMACA2_DTC8
+dmaÿ2
+.
+b\99
+.
+_DTC8
+
+
+       )
+
+12300 \ 1
+       #DMACA2_DTC7
+dmaÿ2
+.
+b\99
+.
+_DTC7
+
+
+       )
+
+12301 \ 1
+       #DMACA2_DTC6
+dmaÿ2
+.
+b\99
+.
+_DTC6
+
+
+       )
+
+12302 \ 1
+       #DMACA2_DTC5
+dmaÿ2
+.
+b\99
+.
+_DTC5
+
+
+       )
+
+12303 \ 1
+       #DMACA2_DTC4
+dmaÿ2
+.
+b\99
+.
+_DTC4
+
+
+       )
+
+12304 \ 1
+       #DMACA2_DTC3
+dmaÿ2
+.
+b\99
+.
+_DTC3
+
+
+       )
+
+12305 \ 1
+       #DMACA2_DTC2
+dmaÿ2
+.
+b\99
+.
+_DTC2
+
+
+       )
+
+12306 \ 1
+       #DMACA2_DTC1
+dmaÿ2
+.
+b\99
+.
+_DTC1
+
+
+       )
+
+12307 \ 1
+       #DMACA2_DTC0
+dmaÿ2
+.
+b\99
+.
+_DTC0
+
+
+       )
+
+12308 \ 1
+       #DMACA2_IS
+dmaÿ2
+.
+b\99c
+.
+_IS
+
+
+       )
+
+12309 \ 1
+       #DMACA2_EIS
+dmaÿ2
+.
+b\99c
+.
+_EIS
+
+
+       )
+
+12310 \ 1
+       #DMACA2_BLK
+dmaÿ2
+.
+b\99c
+.
+_BLK
+
+
+       )
+
+12311 \ 1
+       #DMACA2_DTC
+dmaÿ2
+.
+b\99c
+.
+_DTC
+
+
+       )
+
+12312 
+__IO_EXTERN
+DMACB2STR
+       gdmacb2
+;
+
+12313 \ 1
+       #DMACB2
+dmacb2
+.
+lwÜd
+
+
+       )
+
+12314 \ 1
+       #DMACB2_TYPE1
+dmacb2
+.
+b\99
+.
+_TYPE1
+
+
+       )
+
+12315 \ 1
+       #DMACB2_TYPE0
+dmacb2
+.
+b\99
+.
+_TYPE0
+
+
+       )
+
+12316 \ 1
+       #DMACB2_MOD1
+dmacb2
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+12317 \ 1
+       #DMACB2_MOD0
+dmacb2
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+12318 \ 1
+       #DMACB2_WS1
+dmacb2
+.
+b\99
+.
+_WS1
+
+
+       )
+
+12319 \ 1
+       #DMACB2_WS0
+dmacb2
+.
+b\99
+.
+_WS0
+
+
+       )
+
+12320 \ 1
+       #DMACB2_SADM
+dmacb2
+.
+b\99
+.
+_SADM
+
+
+       )
+
+12321 \ 1
+       #DMACB2_DADM
+dmacb2
+.
+b\99
+.
+_DADM
+
+
+       )
+
+12322 \ 1
+       #DMACB2_DTCR
+dmacb2
+.
+b\99
+.
+_DTCR
+
+
+       )
+
+12323 \ 1
+       #DMACB2_SADR
+dmacb2
+.
+b\99
+.
+_SADR
+
+
+       )
+
+12324 \ 1
+       #DMACB2_DADR
+dmacb2
+.
+b\99
+.
+_DADR
+
+
+       )
+
+12325 \ 1
+       #DMACB2_ERIE
+dmacb2
+.
+b\99
+.
+_ERIE
+
+
+       )
+
+12326 \ 1
+       #DMACB2_EDIE
+dmacb2
+.
+b\99
+.
+_EDIE
+
+
+       )
+
+12327 \ 1
+       #DMACB2_DSS2
+dmacb2
+.
+b\99
+.
+_DSS2
+
+
+       )
+
+12328 \ 1
+       #DMACB2_DSS1
+dmacb2
+.
+b\99
+.
+_DSS1
+
+
+       )
+
+12329 \ 1
+       #DMACB2_DSS0
+dmacb2
+.
+b\99
+.
+_DSS0
+
+
+       )
+
+12330 \ 1
+       #DMACB2_SASZ7
+dmacb2
+.
+b\99
+.
+_SASZ7
+
+
+       )
+
+12331 \ 1
+       #DMACB2_SASZ6
+dmacb2
+.
+b\99
+.
+_SASZ6
+
+
+       )
+
+12332 \ 1
+       #DMACB2_SASZ5
+dmacb2
+.
+b\99
+.
+_SASZ5
+
+
+       )
+
+12333 \ 1
+       #DMACB2_SASZ4
+dmacb2
+.
+b\99
+.
+_SASZ4
+
+
+       )
+
+12334 \ 1
+       #DMACB2_SASZ3
+dmacb2
+.
+b\99
+.
+_SASZ3
+
+
+       )
+
+12335 \ 1
+       #DMACB2_SASZ2
+dmacb2
+.
+b\99
+.
+_SASZ2
+
+
+       )
+
+12336 \ 1
+       #DMACB2_SASZ1
+dmacb2
+.
+b\99
+.
+_SASZ1
+
+
+       )
+
+12337 \ 1
+       #DMACB2_SASZ0
+dmacb2
+.
+b\99
+.
+_SASZ0
+
+
+       )
+
+12338 \ 1
+       #DMACB2_DASZ7
+dmacb2
+.
+b\99
+.
+_DASZ7
+
+
+       )
+
+12339 \ 1
+       #DMACB2_DASZ6
+dmacb2
+.
+b\99
+.
+_DASZ6
+
+
+       )
+
+12340 \ 1
+       #DMACB2_DASZ5
+dmacb2
+.
+b\99
+.
+_DASZ5
+
+
+       )
+
+12341 \ 1
+       #DMACB2_DASZ4
+dmacb2
+.
+b\99
+.
+_DASZ4
+
+
+       )
+
+12342 \ 1
+       #DMACB2_DASZ3
+dmacb2
+.
+b\99
+.
+_DASZ3
+
+
+       )
+
+12343 \ 1
+       #DMACB2_DASZ2
+dmacb2
+.
+b\99
+.
+_DASZ2
+
+
+       )
+
+12344 \ 1
+       #DMACB2_DASZ1
+dmacb2
+.
+b\99
+.
+_DASZ1
+
+
+       )
+
+12345 \ 1
+       #DMACB2_DASZ0
+dmacb2
+.
+b\99
+.
+_DASZ0
+
+
+       )
+
+12346 \ 1
+       #DMACB2_TYPE
+dmacb2
+.
+b\99c
+.
+_TYPE
+
+
+       )
+
+12347 \ 1
+       #DMACB2_MOD
+dmacb2
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+12348 \ 1
+       #DMACB2_WS
+dmacb2
+.
+b\99c
+.
+_WS
+
+
+       )
+
+12349 \ 1
+       #DMACB2_DSS
+dmacb2
+.
+b\99c
+.
+_DSS
+
+
+       )
+
+12350 \ 1
+       #DMACB2_SASZ
+dmacb2
+.
+b\99c
+.
+_SASZ
+
+
+       )
+
+12351 \ 1
+       #DMACB2_DASZ
+dmacb2
+.
+b\99c
+.
+_DASZ
+
+
+       )
+
+12352 
+__IO_EXTERN
+DMACA3STR
+       gdmaÿ3
+;
+
+12353 \ 1
+       #DMACA3
+dmaÿ3
+.
+lwÜd
+
+
+       )
+
+12354 \ 1
+       #DMACA3_DENB
+dmaÿ3
+.
+b\99
+.
+_DENB
+
+
+       )
+
+12355 \ 1
+       #DMACA3_PAUS
+dmaÿ3
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+12356 \ 1
+       #DMACA3_STRG
+dmaÿ3
+.
+b\99
+.
+_STRG
+
+
+       )
+
+12357 \ 1
+       #DMACA3_IS4
+dmaÿ3
+.
+b\99
+.
+_IS4
+
+
+       )
+
+12358 \ 1
+       #DMACA3_IS3
+dmaÿ3
+.
+b\99
+.
+_IS3
+
+
+       )
+
+12359 \ 1
+       #DMACA3_IS2
+dmaÿ3
+.
+b\99
+.
+_IS2
+
+
+       )
+
+12360 \ 1
+       #DMACA3_IS1
+dmaÿ3
+.
+b\99
+.
+_IS1
+
+
+       )
+
+12361 \ 1
+       #DMACA3_IS0
+dmaÿ3
+.
+b\99
+.
+_IS0
+
+
+       )
+
+12362 \ 1
+       #DMACA3_EIS3
+dmaÿ3
+.
+b\99
+.
+_EIS3
+
+
+       )
+
+12363 \ 1
+       #DMACA3_EIS2
+dmaÿ3
+.
+b\99
+.
+_EIS2
+
+
+       )
+
+12364 \ 1
+       #DMACA3_EIS1
+dmaÿ3
+.
+b\99
+.
+_EIS1
+
+
+       )
+
+12365 \ 1
+       #DMACA3_EIS0
+dmaÿ3
+.
+b\99
+.
+_EIS0
+
+
+       )
+
+12366 \ 1
+       #DMACA3_BLK3
+dmaÿ3
+.
+b\99
+.
+_BLK3
+
+
+       )
+
+12367 \ 1
+       #DMACA3_BLK2
+dmaÿ3
+.
+b\99
+.
+_BLK2
+
+
+       )
+
+12368 \ 1
+       #DMACA3_BLK1
+dmaÿ3
+.
+b\99
+.
+_BLK1
+
+
+       )
+
+12369 \ 1
+       #DMACA3_BLK0
+dmaÿ3
+.
+b\99
+.
+_BLK0
+
+
+       )
+
+12370 \ 1
+       #DMACA3_DTCF
+dmaÿ3
+.
+b\99
+.
+_DTCF
+
+
+       )
+
+12371 \ 1
+       #DMACA3_DTCE
+dmaÿ3
+.
+b\99
+.
+_DTCE
+
+
+       )
+
+12372 \ 1
+       #DMACA3_DTCD
+dmaÿ3
+.
+b\99
+.
+_DTCD
+
+
+       )
+
+12373 \ 1
+       #DMACA3_DTCC
+dmaÿ3
+.
+b\99
+.
+_DTCC
+
+
+       )
+
+12374 \ 1
+       #DMACA3_DTCB
+dmaÿ3
+.
+b\99
+.
+_DTCB
+
+
+       )
+
+12375 \ 1
+       #DMACA3_DTCA
+dmaÿ3
+.
+b\99
+.
+_DTCA
+
+
+       )
+
+12376 \ 1
+       #DMACA3_DTC9
+dmaÿ3
+.
+b\99
+.
+_DTC9
+
+
+       )
+
+12377 \ 1
+       #DMACA3_DTC8
+dmaÿ3
+.
+b\99
+.
+_DTC8
+
+
+       )
+
+12378 \ 1
+       #DMACA3_DTC7
+dmaÿ3
+.
+b\99
+.
+_DTC7
+
+
+       )
+
+12379 \ 1
+       #DMACA3_DTC6
+dmaÿ3
+.
+b\99
+.
+_DTC6
+
+
+       )
+
+12380 \ 1
+       #DMACA3_DTC5
+dmaÿ3
+.
+b\99
+.
+_DTC5
+
+
+       )
+
+12381 \ 1
+       #DMACA3_DTC4
+dmaÿ3
+.
+b\99
+.
+_DTC4
+
+
+       )
+
+12382 \ 1
+       #DMACA3_DTC3
+dmaÿ3
+.
+b\99
+.
+_DTC3
+
+
+       )
+
+12383 \ 1
+       #DMACA3_DTC2
+dmaÿ3
+.
+b\99
+.
+_DTC2
+
+
+       )
+
+12384 \ 1
+       #DMACA3_DTC1
+dmaÿ3
+.
+b\99
+.
+_DTC1
+
+
+       )
+
+12385 \ 1
+       #DMACA3_DTC0
+dmaÿ3
+.
+b\99
+.
+_DTC0
+
+
+       )
+
+12386 \ 1
+       #DMACA3_IS
+dmaÿ3
+.
+b\99c
+.
+_IS
+
+
+       )
+
+12387 \ 1
+       #DMACA3_EIS
+dmaÿ3
+.
+b\99c
+.
+_EIS
+
+
+       )
+
+12388 \ 1
+       #DMACA3_BLK
+dmaÿ3
+.
+b\99c
+.
+_BLK
+
+
+       )
+
+12389 \ 1
+       #DMACA3_DTC
+dmaÿ3
+.
+b\99c
+.
+_DTC
+
+
+       )
+
+12390 
+__IO_EXTERN
+DMACB3STR
+       gdmacb3
+;
+
+12391 \ 1
+       #DMACB3
+dmacb3
+.
+lwÜd
+
+
+       )
+
+12392 \ 1
+       #DMACB3_TYPE1
+dmacb3
+.
+b\99
+.
+_TYPE1
+
+
+       )
+
+12393 \ 1
+       #DMACB3_TYPE0
+dmacb3
+.
+b\99
+.
+_TYPE0
+
+
+       )
+
+12394 \ 1
+       #DMACB3_MOD1
+dmacb3
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+12395 \ 1
+       #DMACB3_MOD0
+dmacb3
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+12396 \ 1
+       #DMACB3_WS1
+dmacb3
+.
+b\99
+.
+_WS1
+
+
+       )
+
+12397 \ 1
+       #DMACB3_WS0
+dmacb3
+.
+b\99
+.
+_WS0
+
+
+       )
+
+12398 \ 1
+       #DMACB3_SADM
+dmacb3
+.
+b\99
+.
+_SADM
+
+
+       )
+
+12399 \ 1
+       #DMACB3_DADM
+dmacb3
+.
+b\99
+.
+_DADM
+
+
+       )
+
+12400 \ 1
+       #DMACB3_DTCR
+dmacb3
+.
+b\99
+.
+_DTCR
+
+
+       )
+
+12401 \ 1
+       #DMACB3_SADR
+dmacb3
+.
+b\99
+.
+_SADR
+
+
+       )
+
+12402 \ 1
+       #DMACB3_DADR
+dmacb3
+.
+b\99
+.
+_DADR
+
+
+       )
+
+12403 \ 1
+       #DMACB3_ERIE
+dmacb3
+.
+b\99
+.
+_ERIE
+
+
+       )
+
+12404 \ 1
+       #DMACB3_EDIE
+dmacb3
+.
+b\99
+.
+_EDIE
+
+
+       )
+
+12405 \ 1
+       #DMACB3_DSS2
+dmacb3
+.
+b\99
+.
+_DSS2
+
+
+       )
+
+12406 \ 1
+       #DMACB3_DSS1
+dmacb3
+.
+b\99
+.
+_DSS1
+
+
+       )
+
+12407 \ 1
+       #DMACB3_DSS0
+dmacb3
+.
+b\99
+.
+_DSS0
+
+
+       )
+
+12408 \ 1
+       #DMACB3_SASZ7
+dmacb3
+.
+b\99
+.
+_SASZ7
+
+
+       )
+
+12409 \ 1
+       #DMACB3_SASZ6
+dmacb3
+.
+b\99
+.
+_SASZ6
+
+
+       )
+
+12410 \ 1
+       #DMACB3_SASZ5
+dmacb3
+.
+b\99
+.
+_SASZ5
+
+
+       )
+
+12411 \ 1
+       #DMACB3_SASZ4
+dmacb3
+.
+b\99
+.
+_SASZ4
+
+
+       )
+
+12412 \ 1
+       #DMACB3_SASZ3
+dmacb3
+.
+b\99
+.
+_SASZ3
+
+
+       )
+
+12413 \ 1
+       #DMACB3_SASZ2
+dmacb3
+.
+b\99
+.
+_SASZ2
+
+
+       )
+
+12414 \ 1
+       #DMACB3_SASZ1
+dmacb3
+.
+b\99
+.
+_SASZ1
+
+
+       )
+
+12415 \ 1
+       #DMACB3_SASZ0
+dmacb3
+.
+b\99
+.
+_SASZ0
+
+
+       )
+
+12416 \ 1
+       #DMACB3_DASZ7
+dmacb3
+.
+b\99
+.
+_DASZ7
+
+
+       )
+
+12417 \ 1
+       #DMACB3_DASZ6
+dmacb3
+.
+b\99
+.
+_DASZ6
+
+
+       )
+
+12418 \ 1
+       #DMACB3_DASZ5
+dmacb3
+.
+b\99
+.
+_DASZ5
+
+
+       )
+
+12419 \ 1
+       #DMACB3_DASZ4
+dmacb3
+.
+b\99
+.
+_DASZ4
+
+
+       )
+
+12420 \ 1
+       #DMACB3_DASZ3
+dmacb3
+.
+b\99
+.
+_DASZ3
+
+
+       )
+
+12421 \ 1
+       #DMACB3_DASZ2
+dmacb3
+.
+b\99
+.
+_DASZ2
+
+
+       )
+
+12422 \ 1
+       #DMACB3_DASZ1
+dmacb3
+.
+b\99
+.
+_DASZ1
+
+
+       )
+
+12423 \ 1
+       #DMACB3_DASZ0
+dmacb3
+.
+b\99
+.
+_DASZ0
+
+
+       )
+
+12424 \ 1
+       #DMACB3_TYPE
+dmacb3
+.
+b\99c
+.
+_TYPE
+
+
+       )
+
+12425 \ 1
+       #DMACB3_MOD
+dmacb3
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+12426 \ 1
+       #DMACB3_WS
+dmacb3
+.
+b\99c
+.
+_WS
+
+
+       )
+
+12427 \ 1
+       #DMACB3_DSS
+dmacb3
+.
+b\99c
+.
+_DSS
+
+
+       )
+
+12428 \ 1
+       #DMACB3_SASZ
+dmacb3
+.
+b\99c
+.
+_SASZ
+
+
+       )
+
+12429 \ 1
+       #DMACB3_DASZ
+dmacb3
+.
+b\99c
+.
+_DASZ
+
+
+       )
+
+12430 
+__IO_EXTERN
+DMACA4STR
+       gdmaÿ4
+;
+
+12431 \ 1
+       #DMACA4
+dmaÿ4
+.
+lwÜd
+
+
+       )
+
+12432 \ 1
+       #DMACA4_DENB
+dmaÿ4
+.
+b\99
+.
+_DENB
+
+
+       )
+
+12433 \ 1
+       #DMACA4_PAUS
+dmaÿ4
+.
+b\99
+.
+_PAUS
+
+
+       )
+
+12434 \ 1
+       #DMACA4_STRG
+dmaÿ4
+.
+b\99
+.
+_STRG
+
+
+       )
+
+12435 \ 1
+       #DMACA4_IS4
+dmaÿ4
+.
+b\99
+.
+_IS4
+
+
+       )
+
+12436 \ 1
+       #DMACA4_IS3
+dmaÿ4
+.
+b\99
+.
+_IS3
+
+
+       )
+
+12437 \ 1
+       #DMACA4_IS2
+dmaÿ4
+.
+b\99
+.
+_IS2
+
+
+       )
+
+12438 \ 1
+       #DMACA4_IS1
+dmaÿ4
+.
+b\99
+.
+_IS1
+
+
+       )
+
+12439 \ 1
+       #DMACA4_IS0
+dmaÿ4
+.
+b\99
+.
+_IS0
+
+
+       )
+
+12440 \ 1
+       #DMACA4_EIS3
+dmaÿ4
+.
+b\99
+.
+_EIS3
+
+
+       )
+
+12441 \ 1
+       #DMACA4_EIS2
+dmaÿ4
+.
+b\99
+.
+_EIS2
+
+
+       )
+
+12442 \ 1
+       #DMACA4_EIS1
+dmaÿ4
+.
+b\99
+.
+_EIS1
+
+
+       )
+
+12443 \ 1
+       #DMACA4_EIS0
+dmaÿ4
+.
+b\99
+.
+_EIS0
+
+
+       )
+
+12444 \ 1
+       #DMACA4_BLK3
+dmaÿ4
+.
+b\99
+.
+_BLK3
+
+
+       )
+
+12445 \ 1
+       #DMACA4_BLK2
+dmaÿ4
+.
+b\99
+.
+_BLK2
+
+
+       )
+
+12446 \ 1
+       #DMACA4_BLK1
+dmaÿ4
+.
+b\99
+.
+_BLK1
+
+
+       )
+
+12447 \ 1
+       #DMACA4_BLK0
+dmaÿ4
+.
+b\99
+.
+_BLK0
+
+
+       )
+
+12448 \ 1
+       #DMACA4_DTCF
+dmaÿ4
+.
+b\99
+.
+_DTCF
+
+
+       )
+
+12449 \ 1
+       #DMACA4_DTCE
+dmaÿ4
+.
+b\99
+.
+_DTCE
+
+
+       )
+
+12450 \ 1
+       #DMACA4_DTCD
+dmaÿ4
+.
+b\99
+.
+_DTCD
+
+
+       )
+
+12451 \ 1
+       #DMACA4_DTCC
+dmaÿ4
+.
+b\99
+.
+_DTCC
+
+
+       )
+
+12452 \ 1
+       #DMACA4_DTCB
+dmaÿ4
+.
+b\99
+.
+_DTCB
+
+
+       )
+
+12453 \ 1
+       #DMACA4_DTCA
+dmaÿ4
+.
+b\99
+.
+_DTCA
+
+
+       )
+
+12454 \ 1
+       #DMACA4_DTC9
+dmaÿ4
+.
+b\99
+.
+_DTC9
+
+
+       )
+
+12455 \ 1
+       #DMACA4_DTC8
+dmaÿ4
+.
+b\99
+.
+_DTC8
+
+
+       )
+
+12456 \ 1
+       #DMACA4_DTC7
+dmaÿ4
+.
+b\99
+.
+_DTC7
+
+
+       )
+
+12457 \ 1
+       #DMACA4_DTC6
+dmaÿ4
+.
+b\99
+.
+_DTC6
+
+
+       )
+
+12458 \ 1
+       #DMACA4_DTC5
+dmaÿ4
+.
+b\99
+.
+_DTC5
+
+
+       )
+
+12459 \ 1
+       #DMACA4_DTC4
+dmaÿ4
+.
+b\99
+.
+_DTC4
+
+
+       )
+
+12460 \ 1
+       #DMACA4_DTC3
+dmaÿ4
+.
+b\99
+.
+_DTC3
+
+
+       )
+
+12461 \ 1
+       #DMACA4_DTC2
+dmaÿ4
+.
+b\99
+.
+_DTC2
+
+
+       )
+
+12462 \ 1
+       #DMACA4_DTC1
+dmaÿ4
+.
+b\99
+.
+_DTC1
+
+
+       )
+
+12463 \ 1
+       #DMACA4_DTC0
+dmaÿ4
+.
+b\99
+.
+_DTC0
+
+
+       )
+
+12464 \ 1
+       #DMACA4_IS
+dmaÿ4
+.
+b\99c
+.
+_IS
+
+
+       )
+
+12465 \ 1
+       #DMACA4_EIS
+dmaÿ4
+.
+b\99c
+.
+_EIS
+
+
+       )
+
+12466 \ 1
+       #DMACA4_BLK
+dmaÿ4
+.
+b\99c
+.
+_BLK
+
+
+       )
+
+12467 \ 1
+       #DMACA4_DTC
+dmaÿ4
+.
+b\99c
+.
+_DTC
+
+
+       )
+
+12468 
+__IO_EXTERN
+DMACB4STR
+       gdmacb4
+;
+
+12469 \ 1
+       #DMACB4
+dmacb4
+.
+lwÜd
+
+
+       )
+
+12470 \ 1
+       #DMACB4_TYPE1
+dmacb4
+.
+b\99
+.
+_TYPE1
+
+
+       )
+
+12471 \ 1
+       #DMACB4_TYPE0
+dmacb4
+.
+b\99
+.
+_TYPE0
+
+
+       )
+
+12472 \ 1
+       #DMACB4_MOD1
+dmacb4
+.
+b\99
+.
+_MOD1
+
+
+       )
+
+12473 \ 1
+       #DMACB4_MOD0
+dmacb4
+.
+b\99
+.
+_MOD0
+
+
+       )
+
+12474 \ 1
+       #DMACB4_WS1
+dmacb4
+.
+b\99
+.
+_WS1
+
+
+       )
+
+12475 \ 1
+       #DMACB4_WS0
+dmacb4
+.
+b\99
+.
+_WS0
+
+
+       )
+
+12476 \ 1
+       #DMACB4_SADM
+dmacb4
+.
+b\99
+.
+_SADM
+
+
+       )
+
+12477 \ 1
+       #DMACB4_DADM
+dmacb4
+.
+b\99
+.
+_DADM
+
+
+       )
+
+12478 \ 1
+       #DMACB4_DTCR
+dmacb4
+.
+b\99
+.
+_DTCR
+
+
+       )
+
+12479 \ 1
+       #DMACB4_SADR
+dmacb4
+.
+b\99
+.
+_SADR
+
+
+       )
+
+12480 \ 1
+       #DMACB4_DADR
+dmacb4
+.
+b\99
+.
+_DADR
+
+
+       )
+
+12481 \ 1
+       #DMACB4_ERIE
+dmacb4
+.
+b\99
+.
+_ERIE
+
+
+       )
+
+12482 \ 1
+       #DMACB4_EDIE
+dmacb4
+.
+b\99
+.
+_EDIE
+
+
+       )
+
+12483 \ 1
+       #DMACB4_DSS2
+dmacb4
+.
+b\99
+.
+_DSS2
+
+
+       )
+
+12484 \ 1
+       #DMACB4_DSS1
+dmacb4
+.
+b\99
+.
+_DSS1
+
+
+       )
+
+12485 \ 1
+       #DMACB4_DSS0
+dmacb4
+.
+b\99
+.
+_DSS0
+
+
+       )
+
+12486 \ 1
+       #DMACB4_SASZ7
+dmacb4
+.
+b\99
+.
+_SASZ7
+
+
+       )
+
+12487 \ 1
+       #DMACB4_SASZ6
+dmacb4
+.
+b\99
+.
+_SASZ6
+
+
+       )
+
+12488 \ 1
+       #DMACB4_SASZ5
+dmacb4
+.
+b\99
+.
+_SASZ5
+
+
+       )
+
+12489 \ 1
+       #DMACB4_SASZ4
+dmacb4
+.
+b\99
+.
+_SASZ4
+
+
+       )
+
+12490 \ 1
+       #DMACB4_SASZ3
+dmacb4
+.
+b\99
+.
+_SASZ3
+
+
+       )
+
+12491 \ 1
+       #DMACB4_SASZ2
+dmacb4
+.
+b\99
+.
+_SASZ2
+
+
+       )
+
+12492 \ 1
+       #DMACB4_SASZ1
+dmacb4
+.
+b\99
+.
+_SASZ1
+
+
+       )
+
+12493 \ 1
+       #DMACB4_SASZ0
+dmacb4
+.
+b\99
+.
+_SASZ0
+
+
+       )
+
+12494 \ 1
+       #DMACB4_DASZ7
+dmacb4
+.
+b\99
+.
+_DASZ7
+
+
+       )
+
+12495 \ 1
+       #DMACB4_DASZ6
+dmacb4
+.
+b\99
+.
+_DASZ6
+
+
+       )
+
+12496 \ 1
+       #DMACB4_DASZ5
+dmacb4
+.
+b\99
+.
+_DASZ5
+
+
+       )
+
+12497 \ 1
+       #DMACB4_DASZ4
+dmacb4
+.
+b\99
+.
+_DASZ4
+
+
+       )
+
+12498 \ 1
+       #DMACB4_DASZ3
+dmacb4
+.
+b\99
+.
+_DASZ3
+
+
+       )
+
+12499 \ 1
+       #DMACB4_DASZ2
+dmacb4
+.
+b\99
+.
+_DASZ2
+
+
+       )
+
+12500 \ 1
+       #DMACB4_DASZ1
+dmacb4
+.
+b\99
+.
+_DASZ1
+
+
+       )
+
+12501 \ 1
+       #DMACB4_DASZ0
+dmacb4
+.
+b\99
+.
+_DASZ0
+
+
+       )
+
+12502 \ 1
+       #DMACB4_TYPE
+dmacb4
+.
+b\99c
+.
+_TYPE
+
+
+       )
+
+12503 \ 1
+       #DMACB4_MOD
+dmacb4
+.
+b\99c
+.
+_MOD
+
+
+       )
+
+12504 \ 1
+       #DMACB4_WS
+dmacb4
+.
+b\99c
+.
+_WS
+
+
+       )
+
+12505 \ 1
+       #DMACB4_DSS
+dmacb4
+.
+b\99c
+.
+_DSS
+
+
+       )
+
+12506 \ 1
+       #DMACB4_SASZ
+dmacb4
+.
+b\99c
+.
+_SASZ
+
+
+       )
+
+12507 \ 1
+       #DMACB4_DASZ
+dmacb4
+.
+b\99c
+.
+_DASZ
+
+
+       )
+
+12508 
+__IO_EXTERN
+DMACRSTR
+       gdmaü
+;
+
+12509 \ 1
+       #DMACR
+dmaü
+.
+by\8b
+
+
+       )
+
+12510 \ 1
+       #DMACR_DMAE
+dmaü
+.
+b\99
+.
+_DMAE
+
+
+       )
+
+12511 \ 1
+       #DMACR_PM01
+dmaü
+.
+b\99
+.
+_PM01
+
+
+       )
+
+12512 \ 1
+       #DMACR_DMAH3
+dmaü
+.
+b\99
+.
+_DMAH3
+
+
+       )
+
+12513 \ 1
+       #DMACR_DMAH2
+dmaü
+.
+b\99
+.
+_DMAH2
+
+
+       )
+
+12514 \ 1
+       #DMACR_DMAH1
+dmaü
+.
+b\99
+.
+_DMAH1
+
+
+       )
+
+12515 \ 1
+       #DMACR_DMAH0
+dmaü
+.
+b\99
+.
+_DMAH0
+
+
+       )
+
+12516 \ 1
+       #DMACR_DMAH
+dmaü
+.
+b\99c
+.
+_DMAH
+
+
+       )
+
+12517 
+__IO_EXTERN
+ICS45STR
+       gics45
+;
+
+12518 \ 1
+       #ICS45
+ics45
+.
+by\8b
+
+
+       )
+
+12519 \ 1
+       #ICS45_ICP5
+ics45
+.
+b\99
+.
+_ICP5
+
+
+       )
+
+12520 \ 1
+       #ICS45_ICP4
+ics45
+.
+b\99
+.
+_ICP4
+
+
+       )
+
+12521 \ 1
+       #ICS45_ICE5
+ics45
+.
+b\99
+.
+_ICE5
+
+
+       )
+
+12522 \ 1
+       #ICS45_ICE4
+ics45
+.
+b\99
+.
+_ICE4
+
+
+       )
+
+12523 \ 1
+       #ICS45_EG51
+ics45
+.
+b\99
+.
+_EG51
+
+
+       )
+
+12524 \ 1
+       #ICS45_EG50
+ics45
+.
+b\99
+.
+_EG50
+
+
+       )
+
+12525 \ 1
+       #ICS45_EG41
+ics45
+.
+b\99
+.
+_EG41
+
+
+       )
+
+12526 \ 1
+       #ICS45_EG40
+ics45
+.
+b\99
+.
+_EG40
+
+
+       )
+
+12527 \ 1
+       #ICS45_EG5
+ics45
+.
+b\99c
+.
+_EG5
+
+
+       )
+
+12528 \ 1
+       #ICS45_EG4
+ics45
+.
+b\99c
+.
+_EG4
+
+
+       )
+
+12529 
+__IO_EXTERN
+ICS67STR
+       gics67
+;
+
+12530 \ 1
+       #ICS67
+ics67
+.
+by\8b
+
+
+       )
+
+12531 \ 1
+       #ICS67_ICP7
+ics67
+.
+b\99
+.
+_ICP7
+
+
+       )
+
+12532 \ 1
+       #ICS67_ICP6
+ics67
+.
+b\99
+.
+_ICP6
+
+
+       )
+
+12533 \ 1
+       #ICS67_ICE7
+ics67
+.
+b\99
+.
+_ICE7
+
+
+       )
+
+12534 \ 1
+       #ICS67_ICE6
+ics67
+.
+b\99
+.
+_ICE6
+
+
+       )
+
+12535 \ 1
+       #ICS67_EG71
+ics67
+.
+b\99
+.
+_EG71
+
+
+       )
+
+12536 \ 1
+       #ICS67_EG70
+ics67
+.
+b\99
+.
+_EG70
+
+
+       )
+
+12537 \ 1
+       #ICS67_EG61
+ics67
+.
+b\99
+.
+_EG61
+
+
+       )
+
+12538 \ 1
+       #ICS67_EG60
+ics67
+.
+b\99
+.
+_EG60
+
+
+       )
+
+12539 \ 1
+       #ICS67_EG7
+ics67
+.
+b\99c
+.
+_EG7
+
+
+       )
+
+12540 \ 1
+       #ICS67_EG6
+ics67
+.
+b\99c
+.
+_EG6
+
+
+       )
+
+12541 
+__IO_EXTERN
+IPCP4STR
+       g\9dý4
+;
+
+12542 \ 1
+       #IPCP4
+\9dý4
+.
+wÜd
+
+
+       )
+
+12543 \ 1
+       #IPCP4_CP15
+\9dý4
+.
+b\99
+.
+_CP15
+
+
+       )
+
+12544 \ 1
+       #IPCP4_CP14
+\9dý4
+.
+b\99
+.
+_CP14
+
+
+       )
+
+12545 \ 1
+       #IPCP4_CP13
+\9dý4
+.
+b\99
+.
+_CP13
+
+
+       )
+
+12546 \ 1
+       #IPCP4_CP12
+\9dý4
+.
+b\99
+.
+_CP12
+
+
+       )
+
+12547 \ 1
+       #IPCP4_CP11
+\9dý4
+.
+b\99
+.
+_CP11
+
+
+       )
+
+12548 \ 1
+       #IPCP4_CP10
+\9dý4
+.
+b\99
+.
+_CP10
+
+
+       )
+
+12549 \ 1
+       #IPCP4_CP9
+\9dý4
+.
+b\99
+.
+_CP9
+
+
+       )
+
+12550 \ 1
+       #IPCP4_CP8
+\9dý4
+.
+b\99
+.
+_CP8
+
+
+       )
+
+12551 \ 1
+       #IPCP4_CP7
+\9dý4
+.
+b\99
+.
+_CP7
+
+
+       )
+
+12552 \ 1
+       #IPCP4_CP6
+\9dý4
+.
+b\99
+.
+_CP6
+
+
+       )
+
+12553 \ 1
+       #IPCP4_CP5
+\9dý4
+.
+b\99
+.
+_CP5
+
+
+       )
+
+12554 \ 1
+       #IPCP4_CP4
+\9dý4
+.
+b\99
+.
+_CP4
+
+
+       )
+
+12555 \ 1
+       #IPCP4_CP3
+\9dý4
+.
+b\99
+.
+_CP3
+
+
+       )
+
+12556 \ 1
+       #IPCP4_CP2
+\9dý4
+.
+b\99
+.
+_CP2
+
+
+       )
+
+12557 \ 1
+       #IPCP4_CP1
+\9dý4
+.
+b\99
+.
+_CP1
+
+
+       )
+
+12558 \ 1
+       #IPCP4_CP0
+\9dý4
+.
+b\99
+.
+_CP0
+
+
+       )
+
+12559 
+__IO_EXTERN
+IPCP5STR
+       g\9dý5
+;
+
+12560 \ 1
+       #IPCP5
+\9dý5
+.
+wÜd
+
+
+       )
+
+12561 \ 1
+       #IPCP5_CP15
+\9dý5
+.
+b\99
+.
+_CP15
+
+
+       )
+
+12562 \ 1
+       #IPCP5_CP14
+\9dý5
+.
+b\99
+.
+_CP14
+
+
+       )
+
+12563 \ 1
+       #IPCP5_CP13
+\9dý5
+.
+b\99
+.
+_CP13
+
+
+       )
+
+12564 \ 1
+       #IPCP5_CP12
+\9dý5
+.
+b\99
+.
+_CP12
+
+
+       )
+
+12565 \ 1
+       #IPCP5_CP11
+\9dý5
+.
+b\99
+.
+_CP11
+
+
+       )
+
+12566 \ 1
+       #IPCP5_CP10
+\9dý5
+.
+b\99
+.
+_CP10
+
+
+       )
+
+12567 \ 1
+       #IPCP5_CP9
+\9dý5
+.
+b\99
+.
+_CP9
+
+
+       )
+
+12568 \ 1
+       #IPCP5_CP8
+\9dý5
+.
+b\99
+.
+_CP8
+
+
+       )
+
+12569 \ 1
+       #IPCP5_CP7
+\9dý5
+.
+b\99
+.
+_CP7
+
+
+       )
+
+12570 \ 1
+       #IPCP5_CP6
+\9dý5
+.
+b\99
+.
+_CP6
+
+
+       )
+
+12571 \ 1
+       #IPCP5_CP5
+\9dý5
+.
+b\99
+.
+_CP5
+
+
+       )
+
+12572 \ 1
+       #IPCP5_CP4
+\9dý5
+.
+b\99
+.
+_CP4
+
+
+       )
+
+12573 \ 1
+       #IPCP5_CP3
+\9dý5
+.
+b\99
+.
+_CP3
+
+
+       )
+
+12574 \ 1
+       #IPCP5_CP2
+\9dý5
+.
+b\99
+.
+_CP2
+
+
+       )
+
+12575 \ 1
+       #IPCP5_CP1
+\9dý5
+.
+b\99
+.
+_CP1
+
+
+       )
+
+12576 \ 1
+       #IPCP5_CP0
+\9dý5
+.
+b\99
+.
+_CP0
+
+
+       )
+
+12577 
+__IO_EXTERN
+IPCP6STR
+       g\9dý6
+;
+
+12578 \ 1
+       #IPCP6
+\9dý6
+.
+wÜd
+
+
+       )
+
+12579 \ 1
+       #IPCP6_CP15
+\9dý6
+.
+b\99
+.
+_CP15
+
+
+       )
+
+12580 \ 1
+       #IPCP6_CP14
+\9dý6
+.
+b\99
+.
+_CP14
+
+
+       )
+
+12581 \ 1
+       #IPCP6_CP13
+\9dý6
+.
+b\99
+.
+_CP13
+
+
+       )
+
+12582 \ 1
+       #IPCP6_CP12
+\9dý6
+.
+b\99
+.
+_CP12
+
+
+       )
+
+12583 \ 1
+       #IPCP6_CP11
+\9dý6
+.
+b\99
+.
+_CP11
+
+
+       )
+
+12584 \ 1
+       #IPCP6_CP10
+\9dý6
+.
+b\99
+.
+_CP10
+
+
+       )
+
+12585 \ 1
+       #IPCP6_CP9
+\9dý6
+.
+b\99
+.
+_CP9
+
+
+       )
+
+12586 \ 1
+       #IPCP6_CP8
+\9dý6
+.
+b\99
+.
+_CP8
+
+
+       )
+
+12587 \ 1
+       #IPCP6_CP7
+\9dý6
+.
+b\99
+.
+_CP7
+
+
+       )
+
+12588 \ 1
+       #IPCP6_CP6
+\9dý6
+.
+b\99
+.
+_CP6
+
+
+       )
+
+12589 \ 1
+       #IPCP6_CP5
+\9dý6
+.
+b\99
+.
+_CP5
+
+
+       )
+
+12590 \ 1
+       #IPCP6_CP4
+\9dý6
+.
+b\99
+.
+_CP4
+
+
+       )
+
+12591 \ 1
+       #IPCP6_CP3
+\9dý6
+.
+b\99
+.
+_CP3
+
+
+       )
+
+12592 \ 1
+       #IPCP6_CP2
+\9dý6
+.
+b\99
+.
+_CP2
+
+
+       )
+
+12593 \ 1
+       #IPCP6_CP1
+\9dý6
+.
+b\99
+.
+_CP1
+
+
+       )
+
+12594 \ 1
+       #IPCP6_CP0
+\9dý6
+.
+b\99
+.
+_CP0
+
+
+       )
+
+12595 
+__IO_EXTERN
+IPCP7STR
+       g\9dý7
+;
+
+12596 \ 1
+       #IPCP7
+\9dý7
+.
+wÜd
+
+
+       )
+
+12597 \ 1
+       #IPCP7_CP15
+\9dý7
+.
+b\99
+.
+_CP15
+
+
+       )
+
+12598 \ 1
+       #IPCP7_CP14
+\9dý7
+.
+b\99
+.
+_CP14
+
+
+       )
+
+12599 \ 1
+       #IPCP7_CP13
+\9dý7
+.
+b\99
+.
+_CP13
+
+
+       )
+
+12600 \ 1
+       #IPCP7_CP12
+\9dý7
+.
+b\99
+.
+_CP12
+
+
+       )
+
+12601 \ 1
+       #IPCP7_CP11
+\9dý7
+.
+b\99
+.
+_CP11
+
+
+       )
+
+12602 \ 1
+       #IPCP7_CP10
+\9dý7
+.
+b\99
+.
+_CP10
+
+
+       )
+
+12603 \ 1
+       #IPCP7_CP9
+\9dý7
+.
+b\99
+.
+_CP9
+
+
+       )
+
+12604 \ 1
+       #IPCP7_CP8
+\9dý7
+.
+b\99
+.
+_CP8
+
+
+       )
+
+12605 \ 1
+       #IPCP7_CP7
+\9dý7
+.
+b\99
+.
+_CP7
+
+
+       )
+
+12606 \ 1
+       #IPCP7_CP6
+\9dý7
+.
+b\99
+.
+_CP6
+
+
+       )
+
+12607 \ 1
+       #IPCP7_CP5
+\9dý7
+.
+b\99
+.
+_CP5
+
+
+       )
+
+12608 \ 1
+       #IPCP7_CP4
+\9dý7
+.
+b\99
+.
+_CP4
+
+
+       )
+
+12609 \ 1
+       #IPCP7_CP3
+\9dý7
+.
+b\99
+.
+_CP3
+
+
+       )
+
+12610 \ 1
+       #IPCP7_CP2
+\9dý7
+.
+b\99
+.
+_CP2
+
+
+       )
+
+12611 \ 1
+       #IPCP7_CP1
+\9dý7
+.
+b\99
+.
+_CP1
+
+
+       )
+
+12612 \ 1
+       #IPCP7_CP0
+\9dý7
+.
+b\99
+.
+_CP0
+
+
+       )
+
+12613 
+__IO_EXTERN
+OCS45STR
+       gocs45
+;
+
+12614 \ 1
+       #OCS45
+ocs45
+.
+wÜd
+
+
+       )
+
+12615 \ 1
+       #OCS45_CMOD
+ocs45
+.
+b\99
+.
+_CMOD
+
+
+       )
+
+12616 \ 1
+       #OCS45_OTD5
+ocs45
+.
+b\99
+.
+_OTD5
+
+
+       )
+
+12617 \ 1
+       #OCS45_OTD4
+ocs45
+.
+b\99
+.
+_OTD4
+
+
+       )
+
+12618 \ 1
+       #OCS45_ICP5
+ocs45
+.
+b\99
+.
+_ICP5
+
+
+       )
+
+12619 \ 1
+       #OCS45_ICP4
+ocs45
+.
+b\99
+.
+_ICP4
+
+
+       )
+
+12620 \ 1
+       #OCS45_ICE5
+ocs45
+.
+b\99
+.
+_ICE5
+
+
+       )
+
+12621 \ 1
+       #OCS45_ICE4
+ocs45
+.
+b\99
+.
+_ICE4
+
+
+       )
+
+12622 \ 1
+       #OCS45_CST5
+ocs45
+.
+b\99
+.
+_CST5
+
+
+       )
+
+12623 \ 1
+       #OCS45_CST4
+ocs45
+.
+b\99
+.
+_CST4
+
+
+       )
+
+12624 
+__IO_EXTERN
+OCS67STR
+       gocs67
+;
+
+12625 \ 1
+       #OCS67
+ocs67
+.
+wÜd
+
+
+       )
+
+12626 \ 1
+       #OCS67_CMOD
+ocs67
+.
+b\99
+.
+_CMOD
+
+
+       )
+
+12627 \ 1
+       #OCS67_OTD7
+ocs67
+.
+b\99
+.
+_OTD7
+
+
+       )
+
+12628 \ 1
+       #OCS67_OTD6
+ocs67
+.
+b\99
+.
+_OTD6
+
+
+       )
+
+12629 \ 1
+       #OCS67_ICP7
+ocs67
+.
+b\99
+.
+_ICP7
+
+
+       )
+
+12630 \ 1
+       #OCS67_ICP6
+ocs67
+.
+b\99
+.
+_ICP6
+
+
+       )
+
+12631 \ 1
+       #OCS67_ICE7
+ocs67
+.
+b\99
+.
+_ICE7
+
+
+       )
+
+12632 \ 1
+       #OCS67_ICE6
+ocs67
+.
+b\99
+.
+_ICE6
+
+
+       )
+
+12633 \ 1
+       #OCS67_CST7
+ocs67
+.
+b\99
+.
+_CST7
+
+
+       )
+
+12634 \ 1
+       #OCS67_CST6
+ocs67
+.
+b\99
+.
+_CST6
+
+
+       )
+
+12635 
+__IO_EXTERN
+OCCP4STR
+       gocý4
+;
+
+12636 \ 1
+       #OCCP4
+ocý4
+.
+wÜd
+
+
+       )
+
+12637 \ 1
+       #OCCP4_C15
+ocý4
+.
+b\99
+.
+_C15
+
+
+       )
+
+12638 \ 1
+       #OCCP4_C14
+ocý4
+.
+b\99
+.
+_C14
+
+
+       )
+
+12639 \ 1
+       #OCCP4_C13
+ocý4
+.
+b\99
+.
+_C13
+
+
+       )
+
+12640 \ 1
+       #OCCP4_C12
+ocý4
+.
+b\99
+.
+_C12
+
+
+       )
+
+12641 \ 1
+       #OCCP4_C11
+ocý4
+.
+b\99
+.
+_C11
+
+
+       )
+
+12642 \ 1
+       #OCCP4_C10
+ocý4
+.
+b\99
+.
+_C10
+
+
+       )
+
+12643 \ 1
+       #OCCP4_C9
+ocý4
+.
+b\99
+.
+_C9
+
+
+       )
+
+12644 \ 1
+       #OCCP4_C8
+ocý4
+.
+b\99
+.
+_C8
+
+
+       )
+
+12645 \ 1
+       #OCCP4_C7
+ocý4
+.
+b\99
+.
+_C7
+
+
+       )
+
+12646 \ 1
+       #OCCP4_C6
+ocý4
+.
+b\99
+.
+_C6
+
+
+       )
+
+12647 \ 1
+       #OCCP4_C5
+ocý4
+.
+b\99
+.
+_C5
+
+
+       )
+
+12648 \ 1
+       #OCCP4_C4
+ocý4
+.
+b\99
+.
+_C4
+
+
+       )
+
+12649 \ 1
+       #OCCP4_C3
+ocý4
+.
+b\99
+.
+_C3
+
+
+       )
+
+12650 \ 1
+       #OCCP4_C2
+ocý4
+.
+b\99
+.
+_C2
+
+
+       )
+
+12651 \ 1
+       #OCCP4_C1
+ocý4
+.
+b\99
+.
+_C1
+
+
+       )
+
+12652 \ 1
+       #OCCP4_C0
+ocý4
+.
+b\99
+.
+_C0
+
+
+       )
+
+12653 
+__IO_EXTERN
+OCCP5STR
+       gocý5
+;
+
+12654 \ 1
+       #OCCP5
+ocý5
+.
+wÜd
+
+
+       )
+
+12655 \ 1
+       #OCCP5_C15
+ocý5
+.
+b\99
+.
+_C15
+
+
+       )
+
+12656 \ 1
+       #OCCP5_C14
+ocý5
+.
+b\99
+.
+_C14
+
+
+       )
+
+12657 \ 1
+       #OCCP5_C13
+ocý5
+.
+b\99
+.
+_C13
+
+
+       )
+
+12658 \ 1
+       #OCCP5_C12
+ocý5
+.
+b\99
+.
+_C12
+
+
+       )
+
+12659 \ 1
+       #OCCP5_C11
+ocý5
+.
+b\99
+.
+_C11
+
+
+       )
+
+12660 \ 1
+       #OCCP5_C10
+ocý5
+.
+b\99
+.
+_C10
+
+
+       )
+
+12661 \ 1
+       #OCCP5_C9
+ocý5
+.
+b\99
+.
+_C9
+
+
+       )
+
+12662 \ 1
+       #OCCP5_C8
+ocý5
+.
+b\99
+.
+_C8
+
+
+       )
+
+12663 \ 1
+       #OCCP5_C7
+ocý5
+.
+b\99
+.
+_C7
+
+
+       )
+
+12664 \ 1
+       #OCCP5_C6
+ocý5
+.
+b\99
+.
+_C6
+
+
+       )
+
+12665 \ 1
+       #OCCP5_C5
+ocý5
+.
+b\99
+.
+_C5
+
+
+       )
+
+12666 \ 1
+       #OCCP5_C4
+ocý5
+.
+b\99
+.
+_C4
+
+
+       )
+
+12667 \ 1
+       #OCCP5_C3
+ocý5
+.
+b\99
+.
+_C3
+
+
+       )
+
+12668 \ 1
+       #OCCP5_C2
+ocý5
+.
+b\99
+.
+_C2
+
+
+       )
+
+12669 \ 1
+       #OCCP5_C1
+ocý5
+.
+b\99
+.
+_C1
+
+
+       )
+
+12670 \ 1
+       #OCCP5_C0
+ocý5
+.
+b\99
+.
+_C0
+
+
+       )
+
+12671 
+__IO_EXTERN
+OCCP6STR
+       gocý6
+;
+
+12672 \ 1
+       #OCCP6
+ocý6
+.
+wÜd
+
+
+       )
+
+12673 \ 1
+       #OCCP6_C15
+ocý6
+.
+b\99
+.
+_C15
+
+
+       )
+
+12674 \ 1
+       #OCCP6_C14
+ocý6
+.
+b\99
+.
+_C14
+
+
+       )
+
+12675 \ 1
+       #OCCP6_C13
+ocý6
+.
+b\99
+.
+_C13
+
+
+       )
+
+12676 \ 1
+       #OCCP6_C12
+ocý6
+.
+b\99
+.
+_C12
+
+
+       )
+
+12677 \ 1
+       #OCCP6_C11
+ocý6
+.
+b\99
+.
+_C11
+
+
+       )
+
+12678 \ 1
+       #OCCP6_C10
+ocý6
+.
+b\99
+.
+_C10
+
+
+       )
+
+12679 \ 1
+       #OCCP6_C9
+ocý6
+.
+b\99
+.
+_C9
+
+
+       )
+
+12680 \ 1
+       #OCCP6_C8
+ocý6
+.
+b\99
+.
+_C8
+
+
+       )
+
+12681 \ 1
+       #OCCP6_C7
+ocý6
+.
+b\99
+.
+_C7
+
+
+       )
+
+12682 \ 1
+       #OCCP6_C6
+ocý6
+.
+b\99
+.
+_C6
+
+
+       )
+
+12683 \ 1
+       #OCCP6_C5
+ocý6
+.
+b\99
+.
+_C5
+
+
+       )
+
+12684 \ 1
+       #OCCP6_C4
+ocý6
+.
+b\99
+.
+_C4
+
+
+       )
+
+12685 \ 1
+       #OCCP6_C3
+ocý6
+.
+b\99
+.
+_C3
+
+
+       )
+
+12686 \ 1
+       #OCCP6_C2
+ocý6
+.
+b\99
+.
+_C2
+
+
+       )
+
+12687 \ 1
+       #OCCP6_C1
+ocý6
+.
+b\99
+.
+_C1
+
+
+       )
+
+12688 \ 1
+       #OCCP6_C0
+ocý6
+.
+b\99
+.
+_C0
+
+
+       )
+
+12689 
+__IO_EXTERN
+OCCP7STR
+       gocý7
+;
+
+12690 \ 1
+       #OCCP7
+ocý7
+.
+wÜd
+
+
+       )
+
+12691 \ 1
+       #OCCP7_C15
+ocý7
+.
+b\99
+.
+_C15
+
+
+       )
+
+12692 \ 1
+       #OCCP7_C14
+ocý7
+.
+b\99
+.
+_C14
+
+
+       )
+
+12693 \ 1
+       #OCCP7_C13
+ocý7
+.
+b\99
+.
+_C13
+
+
+       )
+
+12694 \ 1
+       #OCCP7_C12
+ocý7
+.
+b\99
+.
+_C12
+
+
+       )
+
+12695 \ 1
+       #OCCP7_C11
+ocý7
+.
+b\99
+.
+_C11
+
+
+       )
+
+12696 \ 1
+       #OCCP7_C10
+ocý7
+.
+b\99
+.
+_C10
+
+
+       )
+
+12697 \ 1
+       #OCCP7_C9
+ocý7
+.
+b\99
+.
+_C9
+
+
+       )
+
+12698 \ 1
+       #OCCP7_C8
+ocý7
+.
+b\99
+.
+_C8
+
+
+       )
+
+12699 \ 1
+       #OCCP7_C7
+ocý7
+.
+b\99
+.
+_C7
+
+
+       )
+
+12700 \ 1
+       #OCCP7_C6
+ocý7
+.
+b\99
+.
+_C6
+
+
+       )
+
+12701 \ 1
+       #OCCP7_C5
+ocý7
+.
+b\99
+.
+_C5
+
+
+       )
+
+12702 \ 1
+       #OCCP7_C4
+ocý7
+.
+b\99
+.
+_C4
+
+
+       )
+
+12703 \ 1
+       #OCCP7_C3
+ocý7
+.
+b\99
+.
+_C3
+
+
+       )
+
+12704 \ 1
+       #OCCP7_C2
+ocý7
+.
+b\99
+.
+_C2
+
+
+       )
+
+12705 \ 1
+       #OCCP7_C1
+ocý7
+.
+b\99
+.
+_C1
+
+
+       )
+
+12706 \ 1
+       #OCCP7_C0
+ocý7
+.
+b\99
+.
+_C0
+
+
+       )
+
+12707 
+__IO_EXTERN
+TCDT4STR
+       gtcdt4
+;
+
+12708 \ 1
+       #TCDT4
+tcdt4
+.
+wÜd
+
+
+       )
+
+12709 \ 1
+       #TCDT4_T15
+tcdt4
+.
+b\99
+.
+_T15
+
+
+       )
+
+12710 \ 1
+       #TCDT4_T14
+tcdt4
+.
+b\99
+.
+_T14
+
+
+       )
+
+12711 \ 1
+       #TCDT4_T13
+tcdt4
+.
+b\99
+.
+_T13
+
+
+       )
+
+12712 \ 1
+       #TCDT4_T12
+tcdt4
+.
+b\99
+.
+_T12
+
+
+       )
+
+12713 \ 1
+       #TCDT4_T11
+tcdt4
+.
+b\99
+.
+_T11
+
+
+       )
+
+12714 \ 1
+       #TCDT4_T10
+tcdt4
+.
+b\99
+.
+_T10
+
+
+       )
+
+12715 \ 1
+       #TCDT4_T9
+tcdt4
+.
+b\99
+.
+_T9
+
+
+       )
+
+12716 \ 1
+       #TCDT4_T8
+tcdt4
+.
+b\99
+.
+_T8
+
+
+       )
+
+12717 \ 1
+       #TCDT4_T7
+tcdt4
+.
+b\99
+.
+_T7
+
+
+       )
+
+12718 \ 1
+       #TCDT4_T6
+tcdt4
+.
+b\99
+.
+_T6
+
+
+       )
+
+12719 \ 1
+       #TCDT4_T5
+tcdt4
+.
+b\99
+.
+_T5
+
+
+       )
+
+12720 \ 1
+       #TCDT4_T4
+tcdt4
+.
+b\99
+.
+_T4
+
+
+       )
+
+12721 \ 1
+       #TCDT4_T3
+tcdt4
+.
+b\99
+.
+_T3
+
+
+       )
+
+12722 \ 1
+       #TCDT4_T2
+tcdt4
+.
+b\99
+.
+_T2
+
+
+       )
+
+12723 \ 1
+       #TCDT4_T1
+tcdt4
+.
+b\99
+.
+_T1
+
+
+       )
+
+12724 \ 1
+       #TCDT4_T0
+tcdt4
+.
+b\99
+.
+_T0
+
+
+       )
+
+12725 
+__IO_EXTERN
+TCCS4STR
+       gtccs4
+;
+
+12726 \ 1
+       #TCCS4
+tccs4
+.
+by\8b
+
+
+       )
+
+12727 \ 1
+       #TCCS4_ECLK
+tccs4
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12728 \ 1
+       #TCCS4_IVF
+tccs4
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12729 \ 1
+       #TCCS4_IVFE
+tccs4
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12730 \ 1
+       #TCCS4_STOP
+tccs4
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12731 \ 1
+       #TCCS4_MODE
+tccs4
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12732 \ 1
+       #TCCS4_CLR
+tccs4
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12733 \ 1
+       #TCCS4_CLK1
+tccs4
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12734 \ 1
+       #TCCS4_CLK0
+tccs4
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12735 \ 1
+       #TCCS4_CLK
+tccs4
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12736 
+__IO_EXTERN
+TCDT5STR
+       gtcdt5
+;
+
+12737 \ 1
+       #TCDT5
+tcdt5
+.
+wÜd
+
+
+       )
+
+12738 \ 1
+       #TCDT5_T15
+tcdt5
+.
+b\99
+.
+_T15
+
+
+       )
+
+12739 \ 1
+       #TCDT5_T14
+tcdt5
+.
+b\99
+.
+_T14
+
+
+       )
+
+12740 \ 1
+       #TCDT5_T13
+tcdt5
+.
+b\99
+.
+_T13
+
+
+       )
+
+12741 \ 1
+       #TCDT5_T12
+tcdt5
+.
+b\99
+.
+_T12
+
+
+       )
+
+12742 \ 1
+       #TCDT5_T11
+tcdt5
+.
+b\99
+.
+_T11
+
+
+       )
+
+12743 \ 1
+       #TCDT5_T10
+tcdt5
+.
+b\99
+.
+_T10
+
+
+       )
+
+12744 \ 1
+       #TCDT5_T9
+tcdt5
+.
+b\99
+.
+_T9
+
+
+       )
+
+12745 \ 1
+       #TCDT5_T8
+tcdt5
+.
+b\99
+.
+_T8
+
+
+       )
+
+12746 \ 1
+       #TCDT5_T7
+tcdt5
+.
+b\99
+.
+_T7
+
+
+       )
+
+12747 \ 1
+       #TCDT5_T6
+tcdt5
+.
+b\99
+.
+_T6
+
+
+       )
+
+12748 \ 1
+       #TCDT5_T5
+tcdt5
+.
+b\99
+.
+_T5
+
+
+       )
+
+12749 \ 1
+       #TCDT5_T4
+tcdt5
+.
+b\99
+.
+_T4
+
+
+       )
+
+12750 \ 1
+       #TCDT5_T3
+tcdt5
+.
+b\99
+.
+_T3
+
+
+       )
+
+12751 \ 1
+       #TCDT5_T2
+tcdt5
+.
+b\99
+.
+_T2
+
+
+       )
+
+12752 \ 1
+       #TCDT5_T1
+tcdt5
+.
+b\99
+.
+_T1
+
+
+       )
+
+12753 \ 1
+       #TCDT5_T0
+tcdt5
+.
+b\99
+.
+_T0
+
+
+       )
+
+12754 
+__IO_EXTERN
+TCCS5STR
+       gtccs5
+;
+
+12755 \ 1
+       #TCCS5
+tccs5
+.
+by\8b
+
+
+       )
+
+12756 \ 1
+       #TCCS5_ECLK
+tccs5
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12757 \ 1
+       #TCCS5_IVF
+tccs5
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12758 \ 1
+       #TCCS5_IVFE
+tccs5
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12759 \ 1
+       #TCCS5_STOP
+tccs5
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12760 \ 1
+       #TCCS5_MODE
+tccs5
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12761 \ 1
+       #TCCS5_CLR
+tccs5
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12762 \ 1
+       #TCCS5_CLK1
+tccs5
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12763 \ 1
+       #TCCS5_CLK0
+tccs5
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12764 \ 1
+       #TCCS5_CLK
+tccs5
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12765 
+__IO_EXTERN
+TCDT6STR
+       gtcdt6
+;
+
+12766 \ 1
+       #TCDT6
+tcdt6
+.
+wÜd
+
+
+       )
+
+12767 \ 1
+       #TCDT6_T15
+tcdt6
+.
+b\99
+.
+_T15
+
+
+       )
+
+12768 \ 1
+       #TCDT6_T14
+tcdt6
+.
+b\99
+.
+_T14
+
+
+       )
+
+12769 \ 1
+       #TCDT6_T13
+tcdt6
+.
+b\99
+.
+_T13
+
+
+       )
+
+12770 \ 1
+       #TCDT6_T12
+tcdt6
+.
+b\99
+.
+_T12
+
+
+       )
+
+12771 \ 1
+       #TCDT6_T11
+tcdt6
+.
+b\99
+.
+_T11
+
+
+       )
+
+12772 \ 1
+       #TCDT6_T10
+tcdt6
+.
+b\99
+.
+_T10
+
+
+       )
+
+12773 \ 1
+       #TCDT6_T9
+tcdt6
+.
+b\99
+.
+_T9
+
+
+       )
+
+12774 \ 1
+       #TCDT6_T8
+tcdt6
+.
+b\99
+.
+_T8
+
+
+       )
+
+12775 \ 1
+       #TCDT6_T7
+tcdt6
+.
+b\99
+.
+_T7
+
+
+       )
+
+12776 \ 1
+       #TCDT6_T6
+tcdt6
+.
+b\99
+.
+_T6
+
+
+       )
+
+12777 \ 1
+       #TCDT6_T5
+tcdt6
+.
+b\99
+.
+_T5
+
+
+       )
+
+12778 \ 1
+       #TCDT6_T4
+tcdt6
+.
+b\99
+.
+_T4
+
+
+       )
+
+12779 \ 1
+       #TCDT6_T3
+tcdt6
+.
+b\99
+.
+_T3
+
+
+       )
+
+12780 \ 1
+       #TCDT6_T2
+tcdt6
+.
+b\99
+.
+_T2
+
+
+       )
+
+12781 \ 1
+       #TCDT6_T1
+tcdt6
+.
+b\99
+.
+_T1
+
+
+       )
+
+12782 \ 1
+       #TCDT6_T0
+tcdt6
+.
+b\99
+.
+_T0
+
+
+       )
+
+12783 
+__IO_EXTERN
+TCCS6STR
+       gtccs6
+;
+
+12784 \ 1
+       #TCCS6
+tccs6
+.
+by\8b
+
+
+       )
+
+12785 \ 1
+       #TCCS6_ECLK
+tccs6
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12786 \ 1
+       #TCCS6_IVF
+tccs6
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12787 \ 1
+       #TCCS6_IVFE
+tccs6
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12788 \ 1
+       #TCCS6_STOP
+tccs6
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12789 \ 1
+       #TCCS6_MODE
+tccs6
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12790 \ 1
+       #TCCS6_CLR
+tccs6
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12791 \ 1
+       #TCCS6_CLK1
+tccs6
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12792 \ 1
+       #TCCS6_CLK0
+tccs6
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12793 \ 1
+       #TCCS6_CLK
+tccs6
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12794 
+__IO_EXTERN
+TCDT7STR
+       gtcdt7
+;
+
+12795 \ 1
+       #TCDT7
+tcdt7
+.
+wÜd
+
+
+       )
+
+12796 \ 1
+       #TCDT7_T15
+tcdt7
+.
+b\99
+.
+_T15
+
+
+       )
+
+12797 \ 1
+       #TCDT7_T14
+tcdt7
+.
+b\99
+.
+_T14
+
+
+       )
+
+12798 \ 1
+       #TCDT7_T13
+tcdt7
+.
+b\99
+.
+_T13
+
+
+       )
+
+12799 \ 1
+       #TCDT7_T12
+tcdt7
+.
+b\99
+.
+_T12
+
+
+       )
+
+12800 \ 1
+       #TCDT7_T11
+tcdt7
+.
+b\99
+.
+_T11
+
+
+       )
+
+12801 \ 1
+       #TCDT7_T10
+tcdt7
+.
+b\99
+.
+_T10
+
+
+       )
+
+12802 \ 1
+       #TCDT7_T9
+tcdt7
+.
+b\99
+.
+_T9
+
+
+       )
+
+12803 \ 1
+       #TCDT7_T8
+tcdt7
+.
+b\99
+.
+_T8
+
+
+       )
+
+12804 \ 1
+       #TCDT7_T7
+tcdt7
+.
+b\99
+.
+_T7
+
+
+       )
+
+12805 \ 1
+       #TCDT7_T6
+tcdt7
+.
+b\99
+.
+_T6
+
+
+       )
+
+12806 \ 1
+       #TCDT7_T5
+tcdt7
+.
+b\99
+.
+_T5
+
+
+       )
+
+12807 \ 1
+       #TCDT7_T4
+tcdt7
+.
+b\99
+.
+_T4
+
+
+       )
+
+12808 \ 1
+       #TCDT7_T3
+tcdt7
+.
+b\99
+.
+_T3
+
+
+       )
+
+12809 \ 1
+       #TCDT7_T2
+tcdt7
+.
+b\99
+.
+_T2
+
+
+       )
+
+12810 \ 1
+       #TCDT7_T1
+tcdt7
+.
+b\99
+.
+_T1
+
+
+       )
+
+12811 \ 1
+       #TCDT7_T0
+tcdt7
+.
+b\99
+.
+_T0
+
+
+       )
+
+12812 
+__IO_EXTERN
+TCCS7STR
+       gtccs7
+;
+
+12813 \ 1
+       #TCCS7
+tccs7
+.
+by\8b
+
+
+       )
+
+12814 \ 1
+       #TCCS7_ECLK
+tccs7
+.
+b\99
+.
+_ECLK
+
+
+       )
+
+12815 \ 1
+       #TCCS7_IVF
+tccs7
+.
+b\99
+.
+_IVF
+
+
+       )
+
+12816 \ 1
+       #TCCS7_IVFE
+tccs7
+.
+b\99
+.
+_IVFE
+
+
+       )
+
+12817 \ 1
+       #TCCS7_STOP
+tccs7
+.
+b\99
+.
+_STOP
+
+
+       )
+
+12818 \ 1
+       #TCCS7_MODE
+tccs7
+.
+b\99
+.
+_MODE
+
+
+       )
+
+12819 \ 1
+       #TCCS7_CLR
+tccs7
+.
+b\99
+.
+_CLR
+
+
+       )
+
+12820 \ 1
+       #TCCS7_CLK1
+tccs7
+.
+b\99
+.
+_CLK1
+
+
+       )
+
+12821 \ 1
+       #TCCS7_CLK0
+tccs7
+.
+b\99
+.
+_CLK0
+
+
+       )
+
+12822 \ 1
+       #TCCS7_CLK
+tccs7
+.
+b\99c
+.
+_CLK
+
+
+       )
+
+12823 
+__IO_EXTERN
+ROMSSTR
+       groms
+;
+
+12824 \ 1
+       #ROMS
+roms
+.
+wÜd
+
+
+       )
+
+12825 \ 1
+       #ROMS_D15
+roms
+.
+b\99
+.
+_D15
+
+
+       )
+
+12826 \ 1
+       #ROMS_D14
+roms
+.
+b\99
+.
+_D14
+
+
+       )
+
+12827 \ 1
+       #ROMS_D13
+roms
+.
+b\99
+.
+_D13
+
+
+       )
+
+12828 \ 1
+       #ROMS_D12
+roms
+.
+b\99
+.
+_D12
+
+
+       )
+
+12829 \ 1
+       #ROMS_D11
+roms
+.
+b\99
+.
+_D11
+
+
+       )
+
+12830 \ 1
+       #ROMS_D10
+roms
+.
+b\99
+.
+_D10
+
+
+       )
+
+12831 \ 1
+       #ROMS_D9
+roms
+.
+b\99
+.
+_D9
+
+
+       )
+
+12832 \ 1
+       #ROMS_D8
+roms
+.
+b\99
+.
+_D8
+
+
+       )
+
+12833 \ 1
+       #ROMS_D7
+roms
+.
+b\99
+.
+_D7
+
+
+       )
+
+12834 \ 1
+       #ROMS_D6
+roms
+.
+b\99
+.
+_D6
+
+
+       )
+
+12835 \ 1
+       #ROMS_D5
+roms
+.
+b\99
+.
+_D5
+
+
+       )
+
+12836 \ 1
+       #ROMS_D4
+roms
+.
+b\99
+.
+_D4
+
+
+       )
+
+12837 \ 1
+       #ROMS_D3
+roms
+.
+b\99
+.
+_D3
+
+
+       )
+
+12838 \ 1
+       #ROMS_D2
+roms
+.
+b\99
+.
+_D2
+
+
+       )
+
+12839 \ 1
+       #ROMS_D1
+roms
+.
+b\99
+.
+_D1
+
+
+       )
+
+12840 \ 1
+       #ROMS_D0
+roms
+.
+b\99
+.
+_D0
+
+
+       )
+
+12841 
+__IO_EXTERN
+IO_LWORD
+       gbsd0
+;
+
+12842 \ 1
+       #BSD0
+bsd0
+
+
+       )
+
+12843 
+__IO_EXTERN
+IO_LWORD
+       gbsd1
+;
+
+12844 \ 1
+       #BSD1
+bsd1
+
+
+       )
+
+12845 
+__IO_EXTERN
+IO_LWORD
+       gbsdc
+;
+
+12846 \ 1
+       #BSDC
+bsdc
+
+
+       )
+
+12847 
+__IO_EXTERN
+IO_LWORD
+       gb¤r
+;
+
+12848 \ 1
+       #BSRR
+b¤r
+
+
+       )
+
+12849 
+__IO_EXTERN
+ICR00STR
+       giü00
+;
+
+12850 \ 1
+       #ICR00
+iü00
+.
+by\8b
+
+
+       )
+
+12851 \ 1
+       #ICR00_ICR4
+iü00
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12852 \ 1
+       #ICR00_ICR3
+iü00
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12853 \ 1
+       #ICR00_ICR2
+iü00
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12854 \ 1
+       #ICR00_ICR1
+iü00
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12855 \ 1
+       #ICR00_ICR0
+iü00
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12856 
+__IO_EXTERN
+ICR01STR
+       giü01
+;
+
+12857 \ 1
+       #ICR01
+iü01
+.
+by\8b
+
+
+       )
+
+12858 \ 1
+       #ICR01_ICR4
+iü01
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12859 \ 1
+       #ICR01_ICR3
+iü01
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12860 \ 1
+       #ICR01_ICR2
+iü01
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12861 \ 1
+       #ICR01_ICR1
+iü01
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12862 \ 1
+       #ICR01_ICR0
+iü01
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12863 
+__IO_EXTERN
+ICR02STR
+       giü02
+;
+
+12864 \ 1
+       #ICR02
+iü02
+.
+by\8b
+
+
+       )
+
+12865 \ 1
+       #ICR02_ICR4
+iü02
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12866 \ 1
+       #ICR02_ICR3
+iü02
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12867 \ 1
+       #ICR02_ICR2
+iü02
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12868 \ 1
+       #ICR02_ICR1
+iü02
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12869 \ 1
+       #ICR02_ICR0
+iü02
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12870 
+__IO_EXTERN
+ICR03STR
+       giü03
+;
+
+12871 \ 1
+       #ICR03
+iü03
+.
+by\8b
+
+
+       )
+
+12872 \ 1
+       #ICR03_ICR4
+iü03
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12873 \ 1
+       #ICR03_ICR3
+iü03
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12874 \ 1
+       #ICR03_ICR2
+iü03
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12875 \ 1
+       #ICR03_ICR1
+iü03
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12876 \ 1
+       #ICR03_ICR0
+iü03
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12877 
+__IO_EXTERN
+ICR04STR
+       giü04
+;
+
+12878 \ 1
+       #ICR04
+iü04
+.
+by\8b
+
+
+       )
+
+12879 \ 1
+       #ICR04_ICR4
+iü04
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12880 \ 1
+       #ICR04_ICR3
+iü04
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12881 \ 1
+       #ICR04_ICR2
+iü04
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12882 \ 1
+       #ICR04_ICR1
+iü04
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12883 \ 1
+       #ICR04_ICR0
+iü04
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12884 
+__IO_EXTERN
+ICR05STR
+       giü05
+;
+
+12885 \ 1
+       #ICR05
+iü05
+.
+by\8b
+
+
+       )
+
+12886 \ 1
+       #ICR05_ICR4
+iü05
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12887 \ 1
+       #ICR05_ICR3
+iü05
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12888 \ 1
+       #ICR05_ICR2
+iü05
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12889 \ 1
+       #ICR05_ICR1
+iü05
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12890 \ 1
+       #ICR05_ICR0
+iü05
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12891 
+__IO_EXTERN
+ICR06STR
+       giü06
+;
+
+12892 \ 1
+       #ICR06
+iü06
+.
+by\8b
+
+
+       )
+
+12893 \ 1
+       #ICR06_ICR4
+iü06
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12894 \ 1
+       #ICR06_ICR3
+iü06
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12895 \ 1
+       #ICR06_ICR2
+iü06
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12896 \ 1
+       #ICR06_ICR1
+iü06
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12897 \ 1
+       #ICR06_ICR0
+iü06
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12898 
+__IO_EXTERN
+ICR07STR
+       giü07
+;
+
+12899 \ 1
+       #ICR07
+iü07
+.
+by\8b
+
+
+       )
+
+12900 \ 1
+       #ICR07_ICR4
+iü07
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12901 \ 1
+       #ICR07_ICR3
+iü07
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12902 \ 1
+       #ICR07_ICR2
+iü07
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12903 \ 1
+       #ICR07_ICR1
+iü07
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12904 \ 1
+       #ICR07_ICR0
+iü07
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12905 
+__IO_EXTERN
+ICR08STR
+       giü08
+;
+
+12906 \ 1
+       #ICR08
+iü08
+.
+by\8b
+
+
+       )
+
+12907 \ 1
+       #ICR08_ICR4
+iü08
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12908 \ 1
+       #ICR08_ICR3
+iü08
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12909 \ 1
+       #ICR08_ICR2
+iü08
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12910 \ 1
+       #ICR08_ICR1
+iü08
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12911 \ 1
+       #ICR08_ICR0
+iü08
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12912 
+__IO_EXTERN
+ICR09STR
+       giü09
+;
+
+12913 \ 1
+       #ICR09
+iü09
+.
+by\8b
+
+
+       )
+
+12914 \ 1
+       #ICR09_ICR4
+iü09
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12915 \ 1
+       #ICR09_ICR3
+iü09
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12916 \ 1
+       #ICR09_ICR2
+iü09
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12917 \ 1
+       #ICR09_ICR1
+iü09
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12918 \ 1
+       #ICR09_ICR0
+iü09
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12919 
+__IO_EXTERN
+ICR10STR
+       giü10
+;
+
+12920 \ 1
+       #ICR10
+iü10
+.
+by\8b
+
+
+       )
+
+12921 \ 1
+       #ICR10_ICR4
+iü10
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12922 \ 1
+       #ICR10_ICR3
+iü10
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12923 \ 1
+       #ICR10_ICR2
+iü10
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12924 \ 1
+       #ICR10_ICR1
+iü10
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12925 \ 1
+       #ICR10_ICR0
+iü10
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12926 
+__IO_EXTERN
+ICR11STR
+       giü11
+;
+
+12927 \ 1
+       #ICR11
+iü11
+.
+by\8b
+
+
+       )
+
+12928 \ 1
+       #ICR11_ICR4
+iü11
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12929 \ 1
+       #ICR11_ICR3
+iü11
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12930 \ 1
+       #ICR11_ICR2
+iü11
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12931 \ 1
+       #ICR11_ICR1
+iü11
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12932 \ 1
+       #ICR11_ICR0
+iü11
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12933 
+__IO_EXTERN
+ICR12STR
+       giü12
+;
+
+12934 \ 1
+       #ICR12
+iü12
+.
+by\8b
+
+
+       )
+
+12935 \ 1
+       #ICR12_ICR4
+iü12
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12936 \ 1
+       #ICR12_ICR3
+iü12
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12937 \ 1
+       #ICR12_ICR2
+iü12
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12938 \ 1
+       #ICR12_ICR1
+iü12
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12939 \ 1
+       #ICR12_ICR0
+iü12
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12940 
+__IO_EXTERN
+ICR13STR
+       giü13
+;
+
+12941 \ 1
+       #ICR13
+iü13
+.
+by\8b
+
+
+       )
+
+12942 \ 1
+       #ICR13_ICR4
+iü13
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12943 \ 1
+       #ICR13_ICR3
+iü13
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12944 \ 1
+       #ICR13_ICR2
+iü13
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12945 \ 1
+       #ICR13_ICR1
+iü13
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12946 \ 1
+       #ICR13_ICR0
+iü13
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12947 
+__IO_EXTERN
+ICR14STR
+       giü14
+;
+
+12948 \ 1
+       #ICR14
+iü14
+.
+by\8b
+
+
+       )
+
+12949 \ 1
+       #ICR14_ICR4
+iü14
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12950 \ 1
+       #ICR14_ICR3
+iü14
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12951 \ 1
+       #ICR14_ICR2
+iü14
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12952 \ 1
+       #ICR14_ICR1
+iü14
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12953 \ 1
+       #ICR14_ICR0
+iü14
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12954 
+__IO_EXTERN
+ICR15STR
+       giü15
+;
+
+12955 \ 1
+       #ICR15
+iü15
+.
+by\8b
+
+
+       )
+
+12956 \ 1
+       #ICR15_ICR4
+iü15
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12957 \ 1
+       #ICR15_ICR3
+iü15
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12958 \ 1
+       #ICR15_ICR2
+iü15
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12959 \ 1
+       #ICR15_ICR1
+iü15
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12960 \ 1
+       #ICR15_ICR0
+iü15
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12961 
+__IO_EXTERN
+ICR16STR
+       giü16
+;
+
+12962 \ 1
+       #ICR16
+iü16
+.
+by\8b
+
+
+       )
+
+12963 \ 1
+       #ICR16_ICR4
+iü16
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12964 \ 1
+       #ICR16_ICR3
+iü16
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12965 \ 1
+       #ICR16_ICR2
+iü16
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12966 \ 1
+       #ICR16_ICR1
+iü16
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12967 \ 1
+       #ICR16_ICR0
+iü16
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12968 
+__IO_EXTERN
+ICR17STR
+       giü17
+;
+
+12969 \ 1
+       #ICR17
+iü17
+.
+by\8b
+
+
+       )
+
+12970 \ 1
+       #ICR17_ICR4
+iü17
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12971 \ 1
+       #ICR17_ICR3
+iü17
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12972 \ 1
+       #ICR17_ICR2
+iü17
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12973 \ 1
+       #ICR17_ICR1
+iü17
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12974 \ 1
+       #ICR17_ICR0
+iü17
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12975 
+__IO_EXTERN
+ICR18STR
+       giü18
+;
+
+12976 \ 1
+       #ICR18
+iü18
+.
+by\8b
+
+
+       )
+
+12977 \ 1
+       #ICR18_ICR4
+iü18
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12978 \ 1
+       #ICR18_ICR3
+iü18
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12979 \ 1
+       #ICR18_ICR2
+iü18
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12980 \ 1
+       #ICR18_ICR1
+iü18
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12981 \ 1
+       #ICR18_ICR0
+iü18
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12982 
+__IO_EXTERN
+ICR19STR
+       giü19
+;
+
+12983 \ 1
+       #ICR19
+iü19
+.
+by\8b
+
+
+       )
+
+12984 \ 1
+       #ICR19_ICR4
+iü19
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12985 \ 1
+       #ICR19_ICR3
+iü19
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12986 \ 1
+       #ICR19_ICR2
+iü19
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12987 \ 1
+       #ICR19_ICR1
+iü19
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12988 \ 1
+       #ICR19_ICR0
+iü19
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12989 
+__IO_EXTERN
+ICR20STR
+       giü20
+;
+
+12990 \ 1
+       #ICR20
+iü20
+.
+by\8b
+
+
+       )
+
+12991 \ 1
+       #ICR20_ICR4
+iü20
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12992 \ 1
+       #ICR20_ICR3
+iü20
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+12993 \ 1
+       #ICR20_ICR2
+iü20
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+12994 \ 1
+       #ICR20_ICR1
+iü20
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+12995 \ 1
+       #ICR20_ICR0
+iü20
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+12996 
+__IO_EXTERN
+ICR21STR
+       giü21
+;
+
+12997 \ 1
+       #ICR21
+iü21
+.
+by\8b
+
+
+       )
+
+12998 \ 1
+       #ICR21_ICR4
+iü21
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+12999 \ 1
+       #ICR21_ICR3
+iü21
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13000 \ 1
+       #ICR21_ICR2
+iü21
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13001 \ 1
+       #ICR21_ICR1
+iü21
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13002 \ 1
+       #ICR21_ICR0
+iü21
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13003 
+__IO_EXTERN
+ICR22STR
+       giü22
+;
+
+13004 \ 1
+       #ICR22
+iü22
+.
+by\8b
+
+
+       )
+
+13005 \ 1
+       #ICR22_ICR4
+iü22
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13006 \ 1
+       #ICR22_ICR3
+iü22
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13007 \ 1
+       #ICR22_ICR2
+iü22
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13008 \ 1
+       #ICR22_ICR1
+iü22
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13009 \ 1
+       #ICR22_ICR0
+iü22
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13010 
+__IO_EXTERN
+ICR23STR
+       giü23
+;
+
+13011 \ 1
+       #ICR23
+iü23
+.
+by\8b
+
+
+       )
+
+13012 \ 1
+       #ICR23_ICR4
+iü23
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13013 \ 1
+       #ICR23_ICR3
+iü23
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13014 \ 1
+       #ICR23_ICR2
+iü23
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13015 \ 1
+       #ICR23_ICR1
+iü23
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13016 \ 1
+       #ICR23_ICR0
+iü23
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13017 
+__IO_EXTERN
+ICR24STR
+       giü24
+;
+
+13018 \ 1
+       #ICR24
+iü24
+.
+by\8b
+
+
+       )
+
+13019 \ 1
+       #ICR24_ICR4
+iü24
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13020 \ 1
+       #ICR24_ICR3
+iü24
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13021 \ 1
+       #ICR24_ICR2
+iü24
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13022 \ 1
+       #ICR24_ICR1
+iü24
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13023 \ 1
+       #ICR24_ICR0
+iü24
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13024 
+__IO_EXTERN
+ICR25STR
+       giü25
+;
+
+13025 \ 1
+       #ICR25
+iü25
+.
+by\8b
+
+
+       )
+
+13026 \ 1
+       #ICR25_ICR4
+iü25
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13027 \ 1
+       #ICR25_ICR3
+iü25
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13028 \ 1
+       #ICR25_ICR2
+iü25
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13029 \ 1
+       #ICR25_ICR1
+iü25
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13030 \ 1
+       #ICR25_ICR0
+iü25
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13031 
+__IO_EXTERN
+ICR26STR
+       giü26
+;
+
+13032 \ 1
+       #ICR26
+iü26
+.
+by\8b
+
+
+       )
+
+13033 \ 1
+       #ICR26_ICR4
+iü26
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13034 \ 1
+       #ICR26_ICR3
+iü26
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13035 \ 1
+       #ICR26_ICR2
+iü26
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13036 \ 1
+       #ICR26_ICR1
+iü26
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13037 \ 1
+       #ICR26_ICR0
+iü26
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13038 
+__IO_EXTERN
+ICR27STR
+       giü27
+;
+
+13039 \ 1
+       #ICR27
+iü27
+.
+by\8b
+
+
+       )
+
+13040 \ 1
+       #ICR27_ICR4
+iü27
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13041 \ 1
+       #ICR27_ICR3
+iü27
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13042 \ 1
+       #ICR27_ICR2
+iü27
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13043 \ 1
+       #ICR27_ICR1
+iü27
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13044 \ 1
+       #ICR27_ICR0
+iü27
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13045 
+__IO_EXTERN
+ICR28STR
+       giü28
+;
+
+13046 \ 1
+       #ICR28
+iü28
+.
+by\8b
+
+
+       )
+
+13047 \ 1
+       #ICR28_ICR4
+iü28
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13048 \ 1
+       #ICR28_ICR3
+iü28
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13049 \ 1
+       #ICR28_ICR2
+iü28
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13050 \ 1
+       #ICR28_ICR1
+iü28
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13051 \ 1
+       #ICR28_ICR0
+iü28
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13052 
+__IO_EXTERN
+ICR29STR
+       giü29
+;
+
+13053 \ 1
+       #ICR29
+iü29
+.
+by\8b
+
+
+       )
+
+13054 \ 1
+       #ICR29_ICR4
+iü29
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13055 \ 1
+       #ICR29_ICR3
+iü29
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13056 \ 1
+       #ICR29_ICR2
+iü29
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13057 \ 1
+       #ICR29_ICR1
+iü29
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13058 \ 1
+       #ICR29_ICR0
+iü29
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13059 
+__IO_EXTERN
+ICR30STR
+       giü30
+;
+
+13060 \ 1
+       #ICR30
+iü30
+.
+by\8b
+
+
+       )
+
+13061 \ 1
+       #ICR30_ICR4
+iü30
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13062 \ 1
+       #ICR30_ICR3
+iü30
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13063 \ 1
+       #ICR30_ICR2
+iü30
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13064 \ 1
+       #ICR30_ICR1
+iü30
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13065 \ 1
+       #ICR30_ICR0
+iü30
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13066 
+__IO_EXTERN
+ICR31STR
+       giü31
+;
+
+13067 \ 1
+       #ICR31
+iü31
+.
+by\8b
+
+
+       )
+
+13068 \ 1
+       #ICR31_ICR4
+iü31
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13069 \ 1
+       #ICR31_ICR3
+iü31
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13070 \ 1
+       #ICR31_ICR2
+iü31
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13071 \ 1
+       #ICR31_ICR1
+iü31
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13072 \ 1
+       #ICR31_ICR0
+iü31
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13073 
+__IO_EXTERN
+ICR32STR
+       giü32
+;
+
+13074 \ 1
+       #ICR32
+iü32
+.
+by\8b
+
+
+       )
+
+13075 \ 1
+       #ICR32_ICR4
+iü32
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13076 \ 1
+       #ICR32_ICR3
+iü32
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13077 \ 1
+       #ICR32_ICR2
+iü32
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13078 \ 1
+       #ICR32_ICR1
+iü32
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13079 \ 1
+       #ICR32_ICR0
+iü32
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13080 
+__IO_EXTERN
+ICR33STR
+       giü33
+;
+
+13081 \ 1
+       #ICR33
+iü33
+.
+by\8b
+
+
+       )
+
+13082 \ 1
+       #ICR33_ICR4
+iü33
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13083 \ 1
+       #ICR33_ICR3
+iü33
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13084 \ 1
+       #ICR33_ICR2
+iü33
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13085 \ 1
+       #ICR33_ICR1
+iü33
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13086 \ 1
+       #ICR33_ICR0
+iü33
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13087 
+__IO_EXTERN
+ICR34STR
+       giü34
+;
+
+13088 \ 1
+       #ICR34
+iü34
+.
+by\8b
+
+
+       )
+
+13089 \ 1
+       #ICR34_ICR4
+iü34
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13090 \ 1
+       #ICR34_ICR3
+iü34
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13091 \ 1
+       #ICR34_ICR2
+iü34
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13092 \ 1
+       #ICR34_ICR1
+iü34
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13093 \ 1
+       #ICR34_ICR0
+iü34
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13094 
+__IO_EXTERN
+ICR35STR
+       giü35
+;
+
+13095 \ 1
+       #ICR35
+iü35
+.
+by\8b
+
+
+       )
+
+13096 \ 1
+       #ICR35_ICR4
+iü35
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13097 \ 1
+       #ICR35_ICR3
+iü35
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13098 \ 1
+       #ICR35_ICR2
+iü35
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13099 \ 1
+       #ICR35_ICR1
+iü35
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13100 \ 1
+       #ICR35_ICR0
+iü35
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13101 
+__IO_EXTERN
+ICR36STR
+       giü36
+;
+
+13102 \ 1
+       #ICR36
+iü36
+.
+by\8b
+
+
+       )
+
+13103 \ 1
+       #ICR36_ICR4
+iü36
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13104 \ 1
+       #ICR36_ICR3
+iü36
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13105 \ 1
+       #ICR36_ICR2
+iü36
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13106 \ 1
+       #ICR36_ICR1
+iü36
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13107 \ 1
+       #ICR36_ICR0
+iü36
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13108 
+__IO_EXTERN
+ICR37STR
+       giü37
+;
+
+13109 \ 1
+       #ICR37
+iü37
+.
+by\8b
+
+
+       )
+
+13110 \ 1
+       #ICR37_ICR4
+iü37
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13111 \ 1
+       #ICR37_ICR3
+iü37
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13112 \ 1
+       #ICR37_ICR2
+iü37
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13113 \ 1
+       #ICR37_ICR1
+iü37
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13114 \ 1
+       #ICR37_ICR0
+iü37
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13115 
+__IO_EXTERN
+ICR38STR
+       giü38
+;
+
+13116 \ 1
+       #ICR38
+iü38
+.
+by\8b
+
+
+       )
+
+13117 \ 1
+       #ICR38_ICR4
+iü38
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13118 \ 1
+       #ICR38_ICR3
+iü38
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13119 \ 1
+       #ICR38_ICR2
+iü38
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13120 \ 1
+       #ICR38_ICR1
+iü38
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13121 \ 1
+       #ICR38_ICR0
+iü38
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13122 
+__IO_EXTERN
+ICR39STR
+       giü39
+;
+
+13123 \ 1
+       #ICR39
+iü39
+.
+by\8b
+
+
+       )
+
+13124 \ 1
+       #ICR39_ICR4
+iü39
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13125 \ 1
+       #ICR39_ICR3
+iü39
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13126 \ 1
+       #ICR39_ICR2
+iü39
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13127 \ 1
+       #ICR39_ICR1
+iü39
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13128 \ 1
+       #ICR39_ICR0
+iü39
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13129 
+__IO_EXTERN
+ICR40STR
+       giü40
+;
+
+13130 \ 1
+       #ICR40
+iü40
+.
+by\8b
+
+
+       )
+
+13131 \ 1
+       #ICR40_ICR4
+iü40
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13132 \ 1
+       #ICR40_ICR3
+iü40
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13133 \ 1
+       #ICR40_ICR2
+iü40
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13134 \ 1
+       #ICR40_ICR1
+iü40
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13135 \ 1
+       #ICR40_ICR0
+iü40
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13136 
+__IO_EXTERN
+ICR41STR
+       giü41
+;
+
+13137 \ 1
+       #ICR41
+iü41
+.
+by\8b
+
+
+       )
+
+13138 \ 1
+       #ICR41_ICR4
+iü41
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13139 \ 1
+       #ICR41_ICR3
+iü41
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13140 \ 1
+       #ICR41_ICR2
+iü41
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13141 \ 1
+       #ICR41_ICR1
+iü41
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13142 \ 1
+       #ICR41_ICR0
+iü41
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13143 
+__IO_EXTERN
+ICR42STR
+       giü42
+;
+
+13144 \ 1
+       #ICR42
+iü42
+.
+by\8b
+
+
+       )
+
+13145 \ 1
+       #ICR42_ICR4
+iü42
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13146 \ 1
+       #ICR42_ICR3
+iü42
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13147 \ 1
+       #ICR42_ICR2
+iü42
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13148 \ 1
+       #ICR42_ICR1
+iü42
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13149 \ 1
+       #ICR42_ICR0
+iü42
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13150 
+__IO_EXTERN
+ICR43STR
+       giü43
+;
+
+13151 \ 1
+       #ICR43
+iü43
+.
+by\8b
+
+
+       )
+
+13152 \ 1
+       #ICR43_ICR4
+iü43
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13153 \ 1
+       #ICR43_ICR3
+iü43
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13154 \ 1
+       #ICR43_ICR2
+iü43
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13155 \ 1
+       #ICR43_ICR1
+iü43
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13156 \ 1
+       #ICR43_ICR0
+iü43
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13157 
+__IO_EXTERN
+ICR44STR
+       giü44
+;
+
+13158 \ 1
+       #ICR44
+iü44
+.
+by\8b
+
+
+       )
+
+13159 \ 1
+       #ICR44_ICR4
+iü44
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13160 \ 1
+       #ICR44_ICR3
+iü44
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13161 \ 1
+       #ICR44_ICR2
+iü44
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13162 \ 1
+       #ICR44_ICR1
+iü44
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13163 \ 1
+       #ICR44_ICR0
+iü44
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13164 
+__IO_EXTERN
+ICR45STR
+       giü45
+;
+
+13165 \ 1
+       #ICR45
+iü45
+.
+by\8b
+
+
+       )
+
+13166 \ 1
+       #ICR45_ICR4
+iü45
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13167 \ 1
+       #ICR45_ICR3
+iü45
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13168 \ 1
+       #ICR45_ICR2
+iü45
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13169 \ 1
+       #ICR45_ICR1
+iü45
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13170 \ 1
+       #ICR45_ICR0
+iü45
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13171 
+__IO_EXTERN
+ICR46STR
+       giü46
+;
+
+13172 \ 1
+       #ICR46
+iü46
+.
+by\8b
+
+
+       )
+
+13173 \ 1
+       #ICR46_ICR4
+iü46
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13174 \ 1
+       #ICR46_ICR3
+iü46
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13175 \ 1
+       #ICR46_ICR2
+iü46
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13176 \ 1
+       #ICR46_ICR1
+iü46
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13177 \ 1
+       #ICR46_ICR0
+iü46
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13178 
+__IO_EXTERN
+ICR47STR
+       giü47
+;
+
+13179 \ 1
+       #ICR47
+iü47
+.
+by\8b
+
+
+       )
+
+13180 \ 1
+       #ICR47_ICR4
+iü47
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13181 \ 1
+       #ICR47_ICR3
+iü47
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13182 \ 1
+       #ICR47_ICR2
+iü47
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13183 \ 1
+       #ICR47_ICR1
+iü47
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13184 \ 1
+       #ICR47_ICR0
+iü47
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13185 
+__IO_EXTERN
+ICR48STR
+       giü48
+;
+
+13186 \ 1
+       #ICR48
+iü48
+.
+by\8b
+
+
+       )
+
+13187 \ 1
+       #ICR48_ICR4
+iü48
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13188 \ 1
+       #ICR48_ICR3
+iü48
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13189 \ 1
+       #ICR48_ICR2
+iü48
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13190 \ 1
+       #ICR48_ICR1
+iü48
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13191 \ 1
+       #ICR48_ICR0
+iü48
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13192 
+__IO_EXTERN
+ICR49STR
+       giü49
+;
+
+13193 \ 1
+       #ICR49
+iü49
+.
+by\8b
+
+
+       )
+
+13194 \ 1
+       #ICR49_ICR4
+iü49
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13195 \ 1
+       #ICR49_ICR3
+iü49
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13196 \ 1
+       #ICR49_ICR2
+iü49
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13197 \ 1
+       #ICR49_ICR1
+iü49
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13198 \ 1
+       #ICR49_ICR0
+iü49
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13199 
+__IO_EXTERN
+ICR50STR
+       giü50
+;
+
+13200 \ 1
+       #ICR50
+iü50
+.
+by\8b
+
+
+       )
+
+13201 \ 1
+       #ICR50_ICR4
+iü50
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13202 \ 1
+       #ICR50_ICR3
+iü50
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13203 \ 1
+       #ICR50_ICR2
+iü50
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13204 \ 1
+       #ICR50_ICR1
+iü50
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13205 \ 1
+       #ICR50_ICR0
+iü50
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13206 
+__IO_EXTERN
+ICR51STR
+       giü51
+;
+
+13207 \ 1
+       #ICR51
+iü51
+.
+by\8b
+
+
+       )
+
+13208 \ 1
+       #ICR51_ICR4
+iü51
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13209 \ 1
+       #ICR51_ICR3
+iü51
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13210 \ 1
+       #ICR51_ICR2
+iü51
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13211 \ 1
+       #ICR51_ICR1
+iü51
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13212 \ 1
+       #ICR51_ICR0
+iü51
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13213 
+__IO_EXTERN
+ICR52STR
+       giü52
+;
+
+13214 \ 1
+       #ICR52
+iü52
+.
+by\8b
+
+
+       )
+
+13215 \ 1
+       #ICR52_ICR4
+iü52
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13216 \ 1
+       #ICR52_ICR3
+iü52
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13217 \ 1
+       #ICR52_ICR2
+iü52
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13218 \ 1
+       #ICR52_ICR1
+iü52
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13219 \ 1
+       #ICR52_ICR0
+iü52
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13220 
+__IO_EXTERN
+ICR53STR
+       giü53
+;
+
+13221 \ 1
+       #ICR53
+iü53
+.
+by\8b
+
+
+       )
+
+13222 \ 1
+       #ICR53_ICR4
+iü53
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13223 \ 1
+       #ICR53_ICR3
+iü53
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13224 \ 1
+       #ICR53_ICR2
+iü53
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13225 \ 1
+       #ICR53_ICR1
+iü53
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13226 \ 1
+       #ICR53_ICR0
+iü53
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13227 
+__IO_EXTERN
+ICR54STR
+       giü54
+;
+
+13228 \ 1
+       #ICR54
+iü54
+.
+by\8b
+
+
+       )
+
+13229 \ 1
+       #ICR54_ICR4
+iü54
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13230 \ 1
+       #ICR54_ICR3
+iü54
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13231 \ 1
+       #ICR54_ICR2
+iü54
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13232 \ 1
+       #ICR54_ICR1
+iü54
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13233 \ 1
+       #ICR54_ICR0
+iü54
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13234 
+__IO_EXTERN
+ICR55STR
+       giü55
+;
+
+13235 \ 1
+       #ICR55
+iü55
+.
+by\8b
+
+
+       )
+
+13236 \ 1
+       #ICR55_ICR4
+iü55
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13237 \ 1
+       #ICR55_ICR3
+iü55
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13238 \ 1
+       #ICR55_ICR2
+iü55
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13239 \ 1
+       #ICR55_ICR1
+iü55
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13240 \ 1
+       #ICR55_ICR0
+iü55
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13241 
+__IO_EXTERN
+ICR56STR
+       giü56
+;
+
+13242 \ 1
+       #ICR56
+iü56
+.
+by\8b
+
+
+       )
+
+13243 \ 1
+       #ICR56_ICR4
+iü56
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13244 \ 1
+       #ICR56_ICR3
+iü56
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13245 \ 1
+       #ICR56_ICR2
+iü56
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13246 \ 1
+       #ICR56_ICR1
+iü56
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13247 \ 1
+       #ICR56_ICR0
+iü56
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13248 
+__IO_EXTERN
+ICR57STR
+       giü57
+;
+
+13249 \ 1
+       #ICR57
+iü57
+.
+by\8b
+
+
+       )
+
+13250 \ 1
+       #ICR57_ICR4
+iü57
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13251 \ 1
+       #ICR57_ICR3
+iü57
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13252 \ 1
+       #ICR57_ICR2
+iü57
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13253 \ 1
+       #ICR57_ICR1
+iü57
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13254 \ 1
+       #ICR57_ICR0
+iü57
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13255 
+__IO_EXTERN
+ICR58STR
+       giü58
+;
+
+13256 \ 1
+       #ICR58
+iü58
+.
+by\8b
+
+
+       )
+
+13257 \ 1
+       #ICR58_ICR4
+iü58
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13258 \ 1
+       #ICR58_ICR3
+iü58
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13259 \ 1
+       #ICR58_ICR2
+iü58
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13260 \ 1
+       #ICR58_ICR1
+iü58
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13261 \ 1
+       #ICR58_ICR0
+iü58
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13262 
+__IO_EXTERN
+ICR59STR
+       giü59
+;
+
+13263 \ 1
+       #ICR59
+iü59
+.
+by\8b
+
+
+       )
+
+13264 \ 1
+       #ICR59_ICR4
+iü59
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13265 \ 1
+       #ICR59_ICR3
+iü59
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13266 \ 1
+       #ICR59_ICR2
+iü59
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13267 \ 1
+       #ICR59_ICR1
+iü59
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13268 \ 1
+       #ICR59_ICR0
+iü59
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13269 
+__IO_EXTERN
+ICR60STR
+       giü60
+;
+
+13270 \ 1
+       #ICR60
+iü60
+.
+by\8b
+
+
+       )
+
+13271 \ 1
+       #ICR60_ICR4
+iü60
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13272 \ 1
+       #ICR60_ICR3
+iü60
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13273 \ 1
+       #ICR60_ICR2
+iü60
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13274 \ 1
+       #ICR60_ICR1
+iü60
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13275 \ 1
+       #ICR60_ICR0
+iü60
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13276 
+__IO_EXTERN
+ICR61STR
+       giü61
+;
+
+13277 \ 1
+       #ICR61
+iü61
+.
+by\8b
+
+
+       )
+
+13278 \ 1
+       #ICR61_ICR4
+iü61
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13279 \ 1
+       #ICR61_ICR3
+iü61
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13280 \ 1
+       #ICR61_ICR2
+iü61
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13281 \ 1
+       #ICR61_ICR1
+iü61
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13282 \ 1
+       #ICR61_ICR0
+iü61
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13283 
+__IO_EXTERN
+ICR62STR
+       giü62
+;
+
+13284 \ 1
+       #ICR62
+iü62
+.
+by\8b
+
+
+       )
+
+13285 \ 1
+       #ICR62_ICR4
+iü62
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13286 \ 1
+       #ICR62_ICR3
+iü62
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13287 \ 1
+       #ICR62_ICR2
+iü62
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13288 \ 1
+       #ICR62_ICR1
+iü62
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13289 \ 1
+       #ICR62_ICR0
+iü62
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13290 
+__IO_EXTERN
+ICR63STR
+       giü63
+;
+
+13291 \ 1
+       #ICR63
+iü63
+.
+by\8b
+
+
+       )
+
+13292 \ 1
+       #ICR63_ICR4
+iü63
+.
+b\99
+.
+_ICR4
+
+
+       )
+
+13293 \ 1
+       #ICR63_ICR3
+iü63
+.
+b\99
+.
+_ICR3
+
+
+       )
+
+13294 \ 1
+       #ICR63_ICR2
+iü63
+.
+b\99
+.
+_ICR2
+
+
+       )
+
+13295 \ 1
+       #ICR63_ICR1
+iü63
+.
+b\99
+.
+_ICR1
+
+
+       )
+
+13296 \ 1
+       #ICR63_ICR0
+iü63
+.
+b\99
+.
+_ICR0
+
+
+       )
+
+13297 
+__IO_EXTERN
+RSRRSTR
+       gr¤r
+;
+
+13298 \ 1
+       #RSRR
+r¤r
+.
+by\8b
+
+
+       )
+
+13299 \ 1
+       #RSRR_INIT
+r¤r
+.
+b\99
+.
+_INIT
+
+
+       )
+
+13300 \ 1
+       #RSRR_HSTB
+r¤r
+.
+b\99
+.
+_HSTB
+
+
+       )
+
+13301 \ 1
+       #RSRR_WDOG
+r¤r
+.
+b\99
+.
+_WDOG
+
+
+       )
+
+13302 \ 1
+       #RSRR_ERST
+r¤r
+.
+b\99
+.
+_ERST
+
+
+       )
+
+13303 \ 1
+       #RSRR_SRST
+r¤r
+.
+b\99
+.
+_SRST
+
+
+       )
+
+13304 \ 1
+       #RSRR_LINIT
+r¤r
+.
+b\99
+.
+_LINIT
+
+
+       )
+
+13305 \ 1
+       #RSRR_WT1
+r¤r
+.
+b\99
+.
+_WT1
+
+
+       )
+
+13306 \ 1
+       #RSRR_WT0
+r¤r
+.
+b\99
+.
+_WT0
+
+
+       )
+
+13307 \ 1
+       #RSRR_WT
+r¤r
+.
+b\99c
+.
+_WT
+
+
+       )
+
+13308 
+__IO_EXTERN
+STCRSTR
+       g¡ü
+;
+
+13309 \ 1
+       #STCR
+¡ü
+.
+by\8b
+
+
+       )
+
+13310 \ 1
+       #STCR_STOP
+¡ü
+.
+b\99
+.
+_STOP
+
+
+       )
+
+13311 \ 1
+       #STCR_SLEEP
+¡ü
+.
+b\99
+.
+_SLEEP
+
+
+       )
+
+13312 \ 1
+       #STCR_HIZ
+¡ü
+.
+b\99
+.
+_HIZ
+
+
+       )
+
+13313 \ 1
+       #STCR_SRST
+¡ü
+.
+b\99
+.
+_SRST
+
+
+       )
+
+13314 \ 1
+       #STCR_OS1
+¡ü
+.
+b\99
+.
+_OS1
+
+
+       )
+
+13315 \ 1
+       #STCR_OS0
+¡ü
+.
+b\99
+.
+_OS0
+
+
+       )
+
+13316 \ 1
+       #STCR_OSCD2
+¡ü
+.
+b\99
+.
+_OSCD2
+
+
+       )
+
+13317 \ 1
+       #STCR_OSCD1
+¡ü
+.
+b\99
+.
+_OSCD1
+
+
+       )
+
+13318 \ 1
+       #STCR_OS
+¡ü
+.
+b\99c
+.
+_OS
+
+
+       )
+
+13319 \ 1
+       #STCR_OSCD
+¡ü
+.
+b\99c
+.
+_OSCD
+
+
+       )
+
+13320 
+__IO_EXTERN
+TBCRSTR
+       gtbü
+;
+
+13321 \ 1
+       #TBCR
+tbü
+.
+by\8b
+
+
+       )
+
+13322 \ 1
+       #TBCR_TBIF
+tbü
+.
+b\99
+.
+_TBIF
+
+
+       )
+
+13323 \ 1
+       #TBCR_TBIE
+tbü
+.
+b\99
+.
+_TBIE
+
+
+       )
+
+13324 \ 1
+       #TBCR_TBC2
+tbü
+.
+b\99
+.
+_TBC2
+
+
+       )
+
+13325 \ 1
+       #TBCR_TBC1
+tbü
+.
+b\99
+.
+_TBC1
+
+
+       )
+
+13326 \ 1
+       #TBCR_TBC0
+tbü
+.
+b\99
+.
+_TBC0
+
+
+       )
+
+13327 \ 1
+       #TBCR_SYNCR
+tbü
+.
+b\99
+.
+_SYNCR
+
+
+       )
+
+13328 \ 1
+       #TBCR_SYNCS
+tbü
+.
+b\99
+.
+_SYNCS
+
+
+       )
+
+13329 \ 1
+       #TBCR_TBC
+tbü
+.
+b\99c
+.
+_TBC
+
+
+       )
+
+13330 
+__IO_EXTERN
+CTBRSTR
+       gùbr
+;
+
+13331 \ 1
+       #CTBR
+ùbr
+.
+by\8b
+
+
+       )
+
+13332 \ 1
+       #CTBR_D7
+ùbr
+.
+b\99
+.
+_D7
+
+
+       )
+
+13333 \ 1
+       #CTBR_D6
+ùbr
+.
+b\99
+.
+_D6
+
+
+       )
+
+13334 \ 1
+       #CTBR_D5
+ùbr
+.
+b\99
+.
+_D5
+
+
+       )
+
+13335 \ 1
+       #CTBR_D4
+ùbr
+.
+b\99
+.
+_D4
+
+
+       )
+
+13336 \ 1
+       #CTBR_D3
+ùbr
+.
+b\99
+.
+_D3
+
+
+       )
+
+13337 \ 1
+       #CTBR_D2
+ùbr
+.
+b\99
+.
+_D2
+
+
+       )
+
+13338 \ 1
+       #CTBR_D1
+ùbr
+.
+b\99
+.
+_D1
+
+
+       )
+
+13339 \ 1
+       #CTBR_D0
+ùbr
+.
+b\99
+.
+_D0
+
+
+       )
+
+13340 
+__IO_EXTERN
+CLKRSTR
+       gþkr
+;
+
+13341 \ 1
+       #CLKR
+þkr
+.
+by\8b
+
+
+       )
+
+13342 \ 1
+       #CLKR_SCKEN
+þkr
+.
+b\99
+.
+_SCKEN
+
+
+       )
+
+13343 \ 1
+       #CLKR_PLL1EN
+þkr
+.
+b\99
+.
+_PLL1EN
+
+
+       )
+
+13344 \ 1
+       #CLKR_CLKS1
+þkr
+.
+b\99
+.
+_CLKS1
+
+
+       )
+
+13345 \ 1
+       #CLKR_CLKS0
+þkr
+.
+b\99
+.
+_CLKS0
+
+
+       )
+
+13346 \ 1
+       #CLKR_CLKS
+þkr
+.
+b\99c
+.
+_CLKS
+
+
+       )
+
+13347 
+__IO_EXTERN
+WPRSTR
+       gw´
+;
+
+13348 \ 1
+       #WPR
+w´
+.
+by\8b
+
+
+       )
+
+13349 \ 1
+       #WPR_D7
+w´
+.
+b\99
+.
+_D7
+
+
+       )
+
+13350 \ 1
+       #WPR_D6
+w´
+.
+b\99
+.
+_D6
+
+
+       )
+
+13351 \ 1
+       #WPR_D5
+w´
+.
+b\99
+.
+_D5
+
+
+       )
+
+13352 \ 1
+       #WPR_D4
+w´
+.
+b\99
+.
+_D4
+
+
+       )
+
+13353 \ 1
+       #WPR_D3
+w´
+.
+b\99
+.
+_D3
+
+
+       )
+
+13354 \ 1
+       #WPR_D2
+w´
+.
+b\99
+.
+_D2
+
+
+       )
+
+13355 \ 1
+       #WPR_D1
+w´
+.
+b\99
+.
+_D1
+
+
+       )
+
+13356 \ 1
+       #WPR_D0
+w´
+.
+b\99
+.
+_D0
+
+
+       )
+
+13357 
+__IO_EXTERN
+DIVR0STR
+       gdivr0
+;
+
+13358 \ 1
+       #DIVR0
+divr0
+.
+by\8b
+
+
+       )
+
+13359 \ 1
+       #DIVR0_B3
+divr0
+.
+b\99
+.
+_B3
+
+
+       )
+
+13360 \ 1
+       #DIVR0_B2
+divr0
+.
+b\99
+.
+_B2
+
+
+       )
+
+13361 \ 1
+       #DIVR0_B1
+divr0
+.
+b\99
+.
+_B1
+
+
+       )
+
+13362 \ 1
+       #DIVR0_B0
+divr0
+.
+b\99
+.
+_B0
+
+
+       )
+
+13363 \ 1
+       #DIVR0_P3
+divr0
+.
+b\99
+.
+_P3
+
+
+       )
+
+13364 \ 1
+       #DIVR0_P2
+divr0
+.
+b\99
+.
+_P2
+
+
+       )
+
+13365 \ 1
+       #DIVR0_P1
+divr0
+.
+b\99
+.
+_P1
+
+
+       )
+
+13366 \ 1
+       #DIVR0_P0
+divr0
+.
+b\99
+.
+_P0
+
+
+       )
+
+13367 \ 1
+       #DIVR0_B
+divr0
+.
+b\99c
+.
+_B
+
+
+       )
+
+13368 \ 1
+       #DIVR0_P
+divr0
+.
+b\99c
+.
+_P
+
+
+       )
+
+13369 
+__IO_EXTERN
+DIVR1STR
+       gdivr1
+;
+
+13370 \ 1
+       #DIVR1
+divr1
+.
+by\8b
+
+
+       )
+
+13371 \ 1
+       #DIVR1_T3
+divr1
+.
+b\99
+.
+_T3
+
+
+       )
+
+13372 \ 1
+       #DIVR1_T2
+divr1
+.
+b\99
+.
+_T2
+
+
+       )
+
+13373 \ 1
+       #DIVR1_T1
+divr1
+.
+b\99
+.
+_T1
+
+
+       )
+
+13374 \ 1
+       #DIVR1_T0
+divr1
+.
+b\99
+.
+_T0
+
+
+       )
+
+13375 \ 1
+       #DIVR1_T
+divr1
+.
+b\99c
+.
+_T
+
+
+       )
+
+13376 
+__IO_EXTERN
+PLLDIVMSTR
+       g¶ldivm
+;
+
+13377 \ 1
+       #PLLDIVM
+¶ldivm
+.
+by\8b
+
+
+       )
+
+13378 \ 1
+       #PLLDIVM_DVM3
+¶ldivm
+.
+b\99
+.
+_DVM3
+
+
+       )
+
+13379 \ 1
+       #PLLDIVM_DVM2
+¶ldivm
+.
+b\99
+.
+_DVM2
+
+
+       )
+
+13380 \ 1
+       #PLLDIVM_DVM1
+¶ldivm
+.
+b\99
+.
+_DVM1
+
+
+       )
+
+13381 \ 1
+       #PLLDIVM_DVM0
+¶ldivm
+.
+b\99
+.
+_DVM0
+
+
+       )
+
+13382 \ 1
+       #PLLDIVM_DVM
+¶ldivm
+.
+b\99c
+.
+_DVM
+
+
+       )
+
+13383 
+__IO_EXTERN
+PLLDIVNSTR
+       g¶ldivn
+;
+
+13384 \ 1
+       #PLLDIVN
+¶ldivn
+.
+by\8b
+
+
+       )
+
+13385 \ 1
+       #PLLDIVN_DVN5
+¶ldivn
+.
+b\99
+.
+_DVN5
+
+
+       )
+
+13386 \ 1
+       #PLLDIVN_DVN4
+¶ldivn
+.
+b\99
+.
+_DVN4
+
+
+       )
+
+13387 \ 1
+       #PLLDIVN_DVN3
+¶ldivn
+.
+b\99
+.
+_DVN3
+
+
+       )
+
+13388 \ 1
+       #PLLDIVN_DVN2
+¶ldivn
+.
+b\99
+.
+_DVN2
+
+
+       )
+
+13389 \ 1
+       #PLLDIVN_DVN1
+¶ldivn
+.
+b\99
+.
+_DVN1
+
+
+       )
+
+13390 \ 1
+       #PLLDIVN_DVN0
+¶ldivn
+.
+b\99
+.
+_DVN0
+
+
+       )
+
+13391 \ 1
+       #PLLDIVN_DVN
+¶ldivn
+.
+b\99c
+.
+_DVN
+
+
+       )
+
+13392 
+__IO_EXTERN
+PLLDIVGSTR
+       g¶ldivg
+;
+
+13393 \ 1
+       #PLLDIVG
+¶ldivg
+.
+by\8b
+
+
+       )
+
+13394 \ 1
+       #PLLDIVG_DVG3
+¶ldivg
+.
+b\99
+.
+_DVG3
+
+
+       )
+
+13395 \ 1
+       #PLLDIVG_DVG2
+¶ldivg
+.
+b\99
+.
+_DVG2
+
+
+       )
+
+13396 \ 1
+       #PLLDIVG_DVG1
+¶ldivg
+.
+b\99
+.
+_DVG1
+
+
+       )
+
+13397 \ 1
+       #PLLDIVG_DVG0
+¶ldivg
+.
+b\99
+.
+_DVG0
+
+
+       )
+
+13398 \ 1
+       #PLLDIVG_DVG
+¶ldivg
+.
+b\99c
+.
+_DVG
+
+
+       )
+
+13399 
+__IO_EXTERN
+PLLMULGSTR
+       g¶lmulg
+;
+
+13400 \ 1
+       #PLLMULG
+¶lmulg
+.
+by\8b
+
+
+       )
+
+13401 \ 1
+       #PLLMULG_MLG7
+¶lmulg
+.
+b\99
+.
+_MLG7
+
+
+       )
+
+13402 \ 1
+       #PLLMULG_MLG6
+¶lmulg
+.
+b\99
+.
+_MLG6
+
+
+       )
+
+13403 \ 1
+       #PLLMULG_MLG5
+¶lmulg
+.
+b\99
+.
+_MLG5
+
+
+       )
+
+13404 \ 1
+       #PLLMULG_MLG4
+¶lmulg
+.
+b\99
+.
+_MLG4
+
+
+       )
+
+13405 \ 1
+       #PLLMULG_MLG3
+¶lmulg
+.
+b\99
+.
+_MLG3
+
+
+       )
+
+13406 \ 1
+       #PLLMULG_MLG2
+¶lmulg
+.
+b\99
+.
+_MLG2
+
+
+       )
+
+13407 \ 1
+       #PLLMULG_MLG1
+¶lmulg
+.
+b\99
+.
+_MLG1
+
+
+       )
+
+13408 \ 1
+       #PLLMULG_MLG0
+¶lmulg
+.
+b\99
+.
+_MLG0
+
+
+       )
+
+13409 \ 1
+       #PLLMULG_MLG
+¶lmulg
+.
+b\99c
+.
+_MLG
+
+
+       )
+
+13410 
+__IO_EXTERN
+PLLCTRLSTR
+       g¶lù¾
+;
+
+13411 \ 1
+       #PLLCTRL
+¶lù¾
+.
+by\8b
+
+
+       )
+
+13412 \ 1
+       #PLLCTRL_IEDN
+¶lù¾
+.
+b\99
+.
+_IEDN
+
+
+       )
+
+13413 \ 1
+       #PLLCTRL_GRDN
+¶lù¾
+.
+b\99
+.
+_GRDN
+
+
+       )
+
+13414 \ 1
+       #PLLCTRL_IEUP
+¶lù¾
+.
+b\99
+.
+_IEUP
+
+
+       )
+
+13415 \ 1
+       #PLLCTRL_GRUP
+¶lù¾
+.
+b\99
+.
+_GRUP
+
+
+       )
+
+13416 
+__IO_EXTERN
+OSCC1STR
+       goscc1
+;
+
+13417 \ 1
+       #OSCC1
+oscc1
+.
+by\8b
+
+
+       )
+
+13418 \ 1
+       #OSCC1_FCI
+oscc1
+.
+b\99
+.
+_FCI
+
+
+       )
+
+13419 \ 1
+       #OSCC1_RFBEN
+oscc1
+.
+b\99
+.
+_RFBEN
+
+
+       )
+
+13420 \ 1
+       #OSCC1_OSCR
+oscc1
+.
+b\99
+.
+_OSCR
+
+
+       )
+
+13421 
+__IO_EXTERN
+OSCS1STR
+       goscs1
+;
+
+13422 \ 1
+       #OSCS1
+oscs1
+.
+by\8b
+
+
+       )
+
+13423 \ 1
+       #OSCS1_OSCS7
+oscs1
+.
+b\99
+.
+_OSCS7
+
+
+       )
+
+13424 \ 1
+       #OSCS1_OSCS6
+oscs1
+.
+b\99
+.
+_OSCS6
+
+
+       )
+
+13425 \ 1
+       #OSCS1_OSCS5
+oscs1
+.
+b\99
+.
+_OSCS5
+
+
+       )
+
+13426 \ 1
+       #OSCS1_OSCS4
+oscs1
+.
+b\99
+.
+_OSCS4
+
+
+       )
+
+13427 \ 1
+       #OSCS1_OSCS3
+oscs1
+.
+b\99
+.
+_OSCS3
+
+
+       )
+
+13428 \ 1
+       #OSCS1_OSCS2
+oscs1
+.
+b\99
+.
+_OSCS2
+
+
+       )
+
+13429 \ 1
+       #OSCS1_OSCS1
+oscs1
+.
+b\99
+.
+_OSCS1
+
+
+       )
+
+13430 \ 1
+       #OSCS1_OSCS0
+oscs1
+.
+b\99
+.
+_OSCS0
+
+
+       )
+
+13431 
+__IO_EXTERN
+OSCC2STR
+       goscc2
+;
+
+13432 \ 1
+       #OSCC2
+oscc2
+.
+by\8b
+
+
+       )
+
+13433 \ 1
+       #OSCC2_FCI
+oscc2
+.
+b\99
+.
+_FCI
+
+
+       )
+
+13434 \ 1
+       #OSCC2_RFBEN
+oscc2
+.
+b\99
+.
+_RFBEN
+
+
+       )
+
+13435 \ 1
+       #OSCC2_OSCR
+oscc2
+.
+b\99
+.
+_OSCR
+
+
+       )
+
+13436 
+__IO_EXTERN
+OSCS2STR
+       goscs2
+;
+
+13437 \ 1
+       #OSCS2
+oscs2
+.
+by\8b
+
+
+       )
+
+13438 \ 1
+       #OSCS2_OSCS7
+oscs2
+.
+b\99
+.
+_OSCS7
+
+
+       )
+
+13439 \ 1
+       #OSCS2_OSCS6
+oscs2
+.
+b\99
+.
+_OSCS6
+
+
+       )
+
+13440 \ 1
+       #OSCS2_OSCS5
+oscs2
+.
+b\99
+.
+_OSCS5
+
+
+       )
+
+13441 \ 1
+       #OSCS2_OSCS4
+oscs2
+.
+b\99
+.
+_OSCS4
+
+
+       )
+
+13442 \ 1
+       #OSCS2_OSCS3
+oscs2
+.
+b\99
+.
+_OSCS3
+
+
+       )
+
+13443 \ 1
+       #OSCS2_OSCS2
+oscs2
+.
+b\99
+.
+_OSCS2
+
+
+       )
+
+13444 \ 1
+       #OSCS2_OSCS1
+oscs2
+.
+b\99
+.
+_OSCS1
+
+
+       )
+
+13445 \ 1
+       #OSCS2_OSCS0
+oscs2
+.
+b\99
+.
+_OSCS0
+
+
+       )
+
+13446 
+__IO_EXTERN
+PORTENSTR
+       gp܋n
+;
+
+13447 \ 1
+       #PORTEN
+p܋n
+.
+by\8b
+
+
+       )
+
+13448 \ 1
+       #PORTEN_CPORTEN
+p܋n
+.
+b\99
+.
+_CPORTEN
+
+
+       )
+
+13449 \ 1
+       #PORTEN_GPORTEN
+p܋n
+.
+b\99
+.
+_GPORTEN
+
+
+       )
+
+13450 
+__IO_EXTERN
+WTCERSTR
+       gwtûr
+;
+
+13451 \ 1
+       #WTCER
+wtûr
+.
+by\8b
+
+
+       )
+
+13452 \ 1
+       #WTCER_INTE4
+wtûr
+.
+b\99
+.
+_INTE4
+
+
+       )
+
+13453 \ 1
+       #WTCER_INT4
+wtûr
+.
+b\99
+.
+_INT4
+
+
+       )
+
+13454 
+__IO_EXTERN
+WTCRSTR
+       gwtü
+;
+
+13455 \ 1
+       #WTCR
+wtü
+.
+wÜd
+
+
+       )
+
+13456 \ 1
+       #WTCR_INTE3
+wtü
+.
+b\99
+.
+_INTE3
+
+
+       )
+
+13457 \ 1
+       #WTCR_INT3
+wtü
+.
+b\99
+.
+_INT3
+
+
+       )
+
+13458 \ 1
+       #WTCR_INTE2
+wtü
+.
+b\99
+.
+_INTE2
+
+
+       )
+
+13459 \ 1
+       #WTCR_INT2
+wtü
+.
+b\99
+.
+_INT2
+
+
+       )
+
+13460 \ 1
+       #WTCR_INTE1
+wtü
+.
+b\99
+.
+_INTE1
+
+
+       )
+
+13461 \ 1
+       #WTCR_INT1
+wtü
+.
+b\99
+.
+_INT1
+
+
+       )
+
+13462 \ 1
+       #WTCR_INTE0
+wtü
+.
+b\99
+.
+_INTE0
+
+
+       )
+
+13463 \ 1
+       #WTCR_INT0
+wtü
+.
+b\99
+.
+_INT0
+
+
+       )
+
+13464 \ 1
+       #WTCR_RUN
+wtü
+.
+b\99
+.
+_RUN
+
+
+       )
+
+13465 \ 1
+       #WTCR_UPDT
+wtü
+.
+b\99
+.
+_UPDT
+
+
+       )
+
+13466 \ 1
+       #WTCR_ST
+wtü
+.
+b\99
+.
+_ST
+
+
+       )
+
+13467 
+__IO_EXTERN
+WTBRSTR
+       gwtbr
+;
+
+13468 \ 1
+       #WTBR
+wtbr
+.
+lwÜd
+
+
+       )
+
+13469 \ 1
+       #WTBR_D20
+wtbr
+.
+b\99
+.
+_D20
+
+
+       )
+
+13470 \ 1
+       #WTBR_D19
+wtbr
+.
+b\99
+.
+_D19
+
+
+       )
+
+13471 \ 1
+       #WTBR_D18
+wtbr
+.
+b\99
+.
+_D18
+
+
+       )
+
+13472 \ 1
+       #WTBR_D17
+wtbr
+.
+b\99
+.
+_D17
+
+
+       )
+
+13473 \ 1
+       #WTBR_D16
+wtbr
+.
+b\99
+.
+_D16
+
+
+       )
+
+13474 \ 1
+       #WTBR_D15
+wtbr
+.
+b\99
+.
+_D15
+
+
+       )
+
+13475 \ 1
+       #WTBR_D14
+wtbr
+.
+b\99
+.
+_D14
+
+
+       )
+
+13476 \ 1
+       #WTBR_D13
+wtbr
+.
+b\99
+.
+_D13
+
+
+       )
+
+13477 \ 1
+       #WTBR_D12
+wtbr
+.
+b\99
+.
+_D12
+
+
+       )
+
+13478 \ 1
+       #WTBR_D11
+wtbr
+.
+b\99
+.
+_D11
+
+
+       )
+
+13479 \ 1
+       #WTBR_D10
+wtbr
+.
+b\99
+.
+_D10
+
+
+       )
+
+13480 \ 1
+       #WTBR_D9
+wtbr
+.
+b\99
+.
+_D9
+
+
+       )
+
+13481 \ 1
+       #WTBR_D8
+wtbr
+.
+b\99
+.
+_D8
+
+
+       )
+
+13482 \ 1
+       #WTBR_D7
+wtbr
+.
+b\99
+.
+_D7
+
+
+       )
+
+13483 \ 1
+       #WTBR_D6
+wtbr
+.
+b\99
+.
+_D6
+
+
+       )
+
+13484 \ 1
+       #WTBR_D5
+wtbr
+.
+b\99
+.
+_D5
+
+
+       )
+
+13485 \ 1
+       #WTBR_D4
+wtbr
+.
+b\99
+.
+_D4
+
+
+       )
+
+13486 \ 1
+       #WTBR_D3
+wtbr
+.
+b\99
+.
+_D3
+
+
+       )
+
+13487 \ 1
+       #WTBR_D2
+wtbr
+.
+b\99
+.
+_D2
+
+
+       )
+
+13488 \ 1
+       #WTBR_D1
+wtbr
+.
+b\99
+.
+_D1
+
+
+       )
+
+13489 \ 1
+       #WTBR_D0
+wtbr
+.
+b\99
+.
+_D0
+
+
+       )
+
+13490 
+__IO_EXTERN
+WTHRSTR
+       gwthr
+;
+
+13491 \ 1
+       #WTHR
+wthr
+.
+by\8b
+
+
+       )
+
+13492 \ 1
+       #WTHR_H4
+wthr
+.
+b\99
+.
+_H4
+
+
+       )
+
+13493 \ 1
+       #WTHR_H3
+wthr
+.
+b\99
+.
+_H3
+
+
+       )
+
+13494 \ 1
+       #WTHR_H2
+wthr
+.
+b\99
+.
+_H2
+
+
+       )
+
+13495 \ 1
+       #WTHR_H1
+wthr
+.
+b\99
+.
+_H1
+
+
+       )
+
+13496 \ 1
+       #WTHR_H0
+wthr
+.
+b\99
+.
+_H0
+
+
+       )
+
+13497 
+__IO_EXTERN
+WTMRSTR
+       gwtmr
+;
+
+13498 \ 1
+       #WTMR
+wtmr
+.
+by\8b
+
+
+       )
+
+13499 \ 1
+       #WTMR_M5
+wtmr
+.
+b\99
+.
+_M5
+
+
+       )
+
+13500 \ 1
+       #WTMR_M4
+wtmr
+.
+b\99
+.
+_M4
+
+
+       )
+
+13501 \ 1
+       #WTMR_M3
+wtmr
+.
+b\99
+.
+_M3
+
+
+       )
+
+13502 \ 1
+       #WTMR_M2
+wtmr
+.
+b\99
+.
+_M2
+
+
+       )
+
+13503 \ 1
+       #WTMR_M1
+wtmr
+.
+b\99
+.
+_M1
+
+
+       )
+
+13504 \ 1
+       #WTMR_M0
+wtmr
+.
+b\99
+.
+_M0
+
+
+       )
+
+13505 
+__IO_EXTERN
+WTSRSTR
+       gwt¤
+;
+
+13506 \ 1
+       #WTSR
+wt¤
+.
+by\8b
+
+
+       )
+
+13507 \ 1
+       #WTSR_S5
+wt¤
+.
+b\99
+.
+_S5
+
+
+       )
+
+13508 \ 1
+       #WTSR_S4
+wt¤
+.
+b\99
+.
+_S4
+
+
+       )
+
+13509 \ 1
+       #WTSR_S3
+wt¤
+.
+b\99
+.
+_S3
+
+
+       )
+
+13510 \ 1
+       #WTSR_S2
+wt¤
+.
+b\99
+.
+_S2
+
+
+       )
+
+13511 \ 1
+       #WTSR_S1
+wt¤
+.
+b\99
+.
+_S1
+
+
+       )
+
+13512 \ 1
+       #WTSR_S0
+wt¤
+.
+b\99
+.
+_S0
+
+
+       )
+
+13513 
+__IO_EXTERN
+IO_BYTE
+       gcsv\8c
+;
+
+13514 \ 1
+       #CSVTR
+csv\8c
+
+
+       )
+
+13515 
+__IO_EXTERN
+CSVCRSTR
+       gcsvü
+;
+
+13516 \ 1
+       #CSVCR
+csvü
+.
+by\8b
+
+
+       )
+
+13517 \ 1
+       #CSVCR_SCKS
+csvü
+.
+b\99
+.
+_SCKS
+
+
+       )
+
+13518 \ 1
+       #CSVCR_MM
+csvü
+.
+b\99
+.
+_MM
+
+
+       )
+
+13519 \ 1
+       #CSVCR_SM
+csvü
+.
+b\99
+.
+_SM
+
+
+       )
+
+13520 \ 1
+       #CSVCR_RCE
+csvü
+.
+b\99
+.
+_RCE
+
+
+       )
+
+13521 \ 1
+       #CSVCR_MSVE
+csvü
+.
+b\99
+.
+_MSVE
+
+
+       )
+
+13522 \ 1
+       #CSVCR_SSVE
+csvü
+.
+b\99
+.
+_SSVE
+
+
+       )
+
+13523 \ 1
+       #CSVCR_SRST
+csvü
+.
+b\99
+.
+_SRST
+
+
+       )
+
+13524 \ 1
+       #CSVCR_OUTE
+csvü
+.
+b\99
+.
+_OUTE
+
+
+       )
+
+13525 
+__IO_EXTERN
+CSCFGSTR
+       gcscfg
+;
+
+13526 \ 1
+       #CSCFG
+cscfg
+.
+by\8b
+
+
+       )
+
+13527 \ 1
+       #CSCFG_EDSUEN
+cscfg
+.
+b\99
+.
+_EDSUEN
+
+
+       )
+
+13528 \ 1
+       #CSCFG_PLLLOCK
+cscfg
+.
+b\99
+.
+_PLLLOCK
+
+
+       )
+
+13529 \ 1
+       #CSCFG_RCSEL
+cscfg
+.
+b\99
+.
+_RCSEL
+
+
+       )
+
+13530 \ 1
+       #CSCFG_MONCKI
+cscfg
+.
+b\99
+.
+_MONCKI
+
+
+       )
+
+13531 \ 1
+       #CSCFG_CSC3
+cscfg
+.
+b\99
+.
+_CSC3
+
+
+       )
+
+13532 \ 1
+       #CSCFG_CSC2
+cscfg
+.
+b\99
+.
+_CSC2
+
+
+       )
+
+13533 \ 1
+       #CSCFG_CSC1
+cscfg
+.
+b\99
+.
+_CSC1
+
+
+       )
+
+13534 \ 1
+       #CSCFG_CSC0
+cscfg
+.
+b\99
+.
+_CSC0
+
+
+       )
+
+13535 \ 1
+       #CSCFG_CSC
+cscfg
+.
+b\99c
+.
+_CSC
+
+
+       )
+
+13536 
+__IO_EXTERN
+CMCFGSTR
+       gcmcfg
+;
+
+13537 \ 1
+       #CMCFG
+cmcfg
+.
+by\8b
+
+
+       )
+
+13538 \ 1
+       #CMCFG_CMPRE3
+cmcfg
+.
+b\99
+.
+_CMPRE3
+
+
+       )
+
+13539 \ 1
+       #CMCFG_CMPRE2
+cmcfg
+.
+b\99
+.
+_CMPRE2
+
+
+       )
+
+13540 \ 1
+       #CMCFG_CMPRE1
+cmcfg
+.
+b\99
+.
+_CMPRE1
+
+
+       )
+
+13541 \ 1
+       #CMCFG_CMPRE0
+cmcfg
+.
+b\99
+.
+_CMPRE0
+
+
+       )
+
+13542 \ 1
+       #CMCFG_CMSEL3
+cmcfg
+.
+b\99
+.
+_CMSEL3
+
+
+       )
+
+13543 \ 1
+       #CMCFG_CMSEL2
+cmcfg
+.
+b\99
+.
+_CMSEL2
+
+
+       )
+
+13544 \ 1
+       #CMCFG_CMSEL1
+cmcfg
+.
+b\99
+.
+_CMSEL1
+
+
+       )
+
+13545 \ 1
+       #CMCFG_CMSEL0
+cmcfg
+.
+b\99
+.
+_CMSEL0
+
+
+       )
+
+13546 \ 1
+       #CMCFG_CMPRE
+cmcfg
+.
+b\99c
+.
+_CMPRE
+
+
+       )
+
+13547 \ 1
+       #CMCFG_CMSEL
+cmcfg
+.
+b\99c
+.
+_CMSEL
+
+
+       )
+
+13548 
+__IO_EXTERN
+CUCRSTR
+       gcuü
+;
+
+13549 \ 1
+       #CUCR
+cuü
+.
+wÜd
+
+
+       )
+
+13550 \ 1
+       #CUCR_STRT
+cuü
+.
+b\99
+.
+_STRT
+
+
+       )
+
+13551 \ 1
+       #CUCR_INT
+cuü
+.
+b\99
+.
+_INT
+
+
+       )
+
+13552 \ 1
+       #CUCR_INTEN
+cuü
+.
+b\99
+.
+_INTEN
+
+
+       )
+
+13553 
+__IO_EXTERN
+CUTDSTR
+       gcutd
+;
+
+13554 \ 1
+       #CUTD
+cutd
+.
+wÜd
+
+
+       )
+
+13555 \ 1
+       #CUTD_TDD15
+cutd
+.
+b\99
+.
+_TDD15
+
+
+       )
+
+13556 \ 1
+       #CUTD_TDD14
+cutd
+.
+b\99
+.
+_TDD14
+
+
+       )
+
+13557 \ 1
+       #CUTD_TDD13
+cutd
+.
+b\99
+.
+_TDD13
+
+
+       )
+
+13558 \ 1
+       #CUTD_TDD12
+cutd
+.
+b\99
+.
+_TDD12
+
+
+       )
+
+13559 \ 1
+       #CUTD_TDD11
+cutd
+.
+b\99
+.
+_TDD11
+
+
+       )
+
+13560 \ 1
+       #CUTD_TDD10
+cutd
+.
+b\99
+.
+_TDD10
+
+
+       )
+
+13561 \ 1
+       #CUTD_TDD9
+cutd
+.
+b\99
+.
+_TDD9
+
+
+       )
+
+13562 \ 1
+       #CUTD_TDD8
+cutd
+.
+b\99
+.
+_TDD8
+
+
+       )
+
+13563 \ 1
+       #CUTD_TDD7
+cutd
+.
+b\99
+.
+_TDD7
+
+
+       )
+
+13564 \ 1
+       #CUTD_TDD6
+cutd
+.
+b\99
+.
+_TDD6
+
+
+       )
+
+13565 \ 1
+       #CUTD_TDD5
+cutd
+.
+b\99
+.
+_TDD5
+
+
+       )
+
+13566 \ 1
+       #CUTD_TDD4
+cutd
+.
+b\99
+.
+_TDD4
+
+
+       )
+
+13567 \ 1
+       #CUTD_TDD3
+cutd
+.
+b\99
+.
+_TDD3
+
+
+       )
+
+13568 \ 1
+       #CUTD_TDD2
+cutd
+.
+b\99
+.
+_TDD2
+
+
+       )
+
+13569 \ 1
+       #CUTD_TDD1
+cutd
+.
+b\99
+.
+_TDD1
+
+
+       )
+
+13570 \ 1
+       #CUTD_TDD0
+cutd
+.
+b\99
+.
+_TDD0
+
+
+       )
+
+13571 
+__IO_EXTERN
+CUTR1STR
+       gcu\8c1
+;
+
+13572 \ 1
+       #CUTR1
+cu\8c1
+.
+wÜd
+
+
+       )
+
+13573 \ 1
+       #CUTR1_TDR23
+cu\8c1
+.
+b\99
+.
+_TDR23
+
+
+       )
+
+13574 \ 1
+       #CUTR1_TDR22
+cu\8c1
+.
+b\99
+.
+_TDR22
+
+
+       )
+
+13575 \ 1
+       #CUTR1_TDR21
+cu\8c1
+.
+b\99
+.
+_TDR21
+
+
+       )
+
+13576 \ 1
+       #CUTR1_TDR20
+cu\8c1
+.
+b\99
+.
+_TDR20
+
+
+       )
+
+13577 \ 1
+       #CUTR1_TDR19
+cu\8c1
+.
+b\99
+.
+_TDR19
+
+
+       )
+
+13578 \ 1
+       #CUTR1_TDR18
+cu\8c1
+.
+b\99
+.
+_TDR18
+
+
+       )
+
+13579 \ 1
+       #CUTR1_TDR17
+cu\8c1
+.
+b\99
+.
+_TDR17
+
+
+       )
+
+13580 \ 1
+       #CUTR1_TDR16
+cu\8c1
+.
+b\99
+.
+_TDR16
+
+
+       )
+
+13581 
+__IO_EXTERN
+CUTR2STR
+       gcu\8c2
+;
+
+13582 \ 1
+       #CUTR2
+cu\8c2
+.
+wÜd
+
+
+       )
+
+13583 \ 1
+       #CUTR2_TDR15
+cu\8c2
+.
+b\99
+.
+_TDR15
+
+
+       )
+
+13584 \ 1
+       #CUTR2_TDR14
+cu\8c2
+.
+b\99
+.
+_TDR14
+
+
+       )
+
+13585 \ 1
+       #CUTR2_TDR13
+cu\8c2
+.
+b\99
+.
+_TDR13
+
+
+       )
+
+13586 \ 1
+       #CUTR2_TDR12
+cu\8c2
+.
+b\99
+.
+_TDR12
+
+
+       )
+
+13587 \ 1
+       #CUTR2_TDR11
+cu\8c2
+.
+b\99
+.
+_TDR11
+
+
+       )
+
+13588 \ 1
+       #CUTR2_TDR10
+cu\8c2
+.
+b\99
+.
+_TDR10
+
+
+       )
+
+13589 \ 1
+       #CUTR2_TDR9
+cu\8c2
+.
+b\99
+.
+_TDR9
+
+
+       )
+
+13590 \ 1
+       #CUTR2_TDR8
+cu\8c2
+.
+b\99
+.
+_TDR8
+
+
+       )
+
+13591 \ 1
+       #CUTR2_TDR7
+cu\8c2
+.
+b\99
+.
+_TDR7
+
+
+       )
+
+13592 \ 1
+       #CUTR2_TDR6
+cu\8c2
+.
+b\99
+.
+_TDR6
+
+
+       )
+
+13593 \ 1
+       #CUTR2_TDR5
+cu\8c2
+.
+b\99
+.
+_TDR5
+
+
+       )
+
+13594 \ 1
+       #CUTR2_TDR4
+cu\8c2
+.
+b\99
+.
+_TDR4
+
+
+       )
+
+13595 \ 1
+       #CUTR2_TDR3
+cu\8c2
+.
+b\99
+.
+_TDR3
+
+
+       )
+
+13596 \ 1
+       #CUTR2_TDR2
+cu\8c2
+.
+b\99
+.
+_TDR2
+
+
+       )
+
+13597 \ 1
+       #CUTR2_TDR1
+cu\8c2
+.
+b\99
+.
+_TDR1
+
+
+       )
+
+13598 \ 1
+       #CUTR2_TDR0
+cu\8c2
+.
+b\99
+.
+_TDR0
+
+
+       )
+
+13599 
+__IO_EXTERN
+CMPRSTR
+       gcm´
+;
+
+13600 \ 1
+       #CMPR
+cm´
+.
+wÜd
+
+
+       )
+
+13601 \ 1
+       #CMPR_MP13
+cm´
+.
+b\99
+.
+_MP13
+
+
+       )
+
+13602 \ 1
+       #CMPR_MP12
+cm´
+.
+b\99
+.
+_MP12
+
+
+       )
+
+13603 \ 1
+       #CMPR_MP11
+cm´
+.
+b\99
+.
+_MP11
+
+
+       )
+
+13604 \ 1
+       #CMPR_MP10
+cm´
+.
+b\99
+.
+_MP10
+
+
+       )
+
+13605 \ 1
+       #CMPR_MP9
+cm´
+.
+b\99
+.
+_MP9
+
+
+       )
+
+13606 \ 1
+       #CMPR_MP8
+cm´
+.
+b\99
+.
+_MP8
+
+
+       )
+
+13607 \ 1
+       #CMPR_MP7
+cm´
+.
+b\99
+.
+_MP7
+
+
+       )
+
+13608 \ 1
+       #CMPR_MP6
+cm´
+.
+b\99
+.
+_MP6
+
+
+       )
+
+13609 \ 1
+       #CMPR_MP5
+cm´
+.
+b\99
+.
+_MP5
+
+
+       )
+
+13610 \ 1
+       #CMPR_MP4
+cm´
+.
+b\99
+.
+_MP4
+
+
+       )
+
+13611 \ 1
+       #CMPR_MP3
+cm´
+.
+b\99
+.
+_MP3
+
+
+       )
+
+13612 \ 1
+       #CMPR_MP2
+cm´
+.
+b\99
+.
+_MP2
+
+
+       )
+
+13613 \ 1
+       #CMPR_MP1
+cm´
+.
+b\99
+.
+_MP1
+
+
+       )
+
+13614 \ 1
+       #CMPR_MP0
+cm´
+.
+b\99
+.
+_MP0
+
+
+       )
+
+13615 
+__IO_EXTERN
+CMCRSTR
+       gcmü
+;
+
+13616 \ 1
+       #CMCR
+cmü
+.
+by\8b
+
+
+       )
+
+13617 \ 1
+       #CMCR_FMODRUN
+cmü
+.
+b\99
+.
+_FMODRUN
+
+
+       )
+
+13618 \ 1
+       #CMCR_FMOD
+cmü
+.
+b\99
+.
+_FMOD
+
+
+       )
+
+13619 \ 1
+       #CMCR_PDX
+cmü
+.
+b\99
+.
+_PDX
+
+
+       )
+
+13620 
+__IO_EXTERN
+IO_WORD
+       gcmt1
+;
+
+13621 \ 1
+       #CMT1
+cmt1
+
+
+       )
+
+13622 
+__IO_EXTERN
+IO_WORD
+       gcmt2
+;
+
+13623 \ 1
+       #CMT2
+cmt2
+
+
+       )
+
+13624 
+__IO_EXTERN
+CANPRESTR
+       gÿÅ»
+;
+
+13625 \ 1
+       #CANPRE
+ÿÅ»
+.
+by\8b
+
+
+       )
+
+13626 \ 1
+       #CANPRE_CPCKS1
+ÿÅ»
+.
+b\99
+.
+_CPCKS1
+
+
+       )
+
+13627 \ 1
+       #CANPRE_CPCKS0
+ÿÅ»
+.
+b\99
+.
+_CPCKS0
+
+
+       )
+
+13628 \ 1
+       #CANPRE_DVC3
+ÿÅ»
+.
+b\99
+.
+_DVC3
+
+
+       )
+
+13629 \ 1
+       #CANPRE_DVC2
+ÿÅ»
+.
+b\99
+.
+_DVC2
+
+
+       )
+
+13630 \ 1
+       #CANPRE_DVC1
+ÿÅ»
+.
+b\99
+.
+_DVC1
+
+
+       )
+
+13631 \ 1
+       #CANPRE_DVC0
+ÿÅ»
+.
+b\99
+.
+_DVC0
+
+
+       )
+
+13632 \ 1
+       #CANPRE_CPCKS
+ÿÅ»
+.
+b\99c
+.
+_CPCKS
+
+
+       )
+
+13633 \ 1
+       #CANPRE_DVC
+ÿÅ»
+.
+b\99c
+.
+_DVC
+
+
+       )
+
+13634 
+__IO_EXTERN
+CANCKDSTR
+       gÿnckd
+;
+
+13635 \ 1
+       #CANCKD
+ÿnckd
+.
+by\8b
+
+
+       )
+
+13636 \ 1
+       #CANCKD_CANCKD5
+ÿnckd
+.
+b\99
+.
+_CANCKD5
+
+
+       )
+
+13637 \ 1
+       #CANCKD_CANCKD4
+ÿnckd
+.
+b\99
+.
+_CANCKD4
+
+
+       )
+
+13638 \ 1
+       #CANCKD_CANCKD3
+ÿnckd
+.
+b\99
+.
+_CANCKD3
+
+
+       )
+
+13639 \ 1
+       #CANCKD_CANCKD2
+ÿnckd
+.
+b\99
+.
+_CANCKD2
+
+
+       )
+
+13640 \ 1
+       #CANCKD_CANCKD1
+ÿnckd
+.
+b\99
+.
+_CANCKD1
+
+
+       )
+
+13641 \ 1
+       #CANCKD_CANCKD0
+ÿnckd
+.
+b\99
+.
+_CANCKD0
+
+
+       )
+
+13642 
+__IO_EXTERN
+LVSELSTR
+       glv£l
+;
+
+13643 \ 1
+       #LVSEL
+lv£l
+.
+by\8b
+
+
+       )
+
+13644 \ 1
+       #LVSEL_LVESEL3
+lv£l
+.
+b\99
+.
+_LVESEL3
+
+
+       )
+
+13645 \ 1
+       #LVSEL_LVESEL2
+lv£l
+.
+b\99
+.
+_LVESEL2
+
+
+       )
+
+13646 \ 1
+       #LVSEL_LVESEL1
+lv£l
+.
+b\99
+.
+_LVESEL1
+
+
+       )
+
+13647 \ 1
+       #LVSEL_LVESEL0
+lv£l
+.
+b\99
+.
+_LVESEL0
+
+
+       )
+
+13648 \ 1
+       #LVSEL_LVISEL3
+lv£l
+.
+b\99
+.
+_LVISEL3
+
+
+       )
+
+13649 \ 1
+       #LVSEL_LVISEL2
+lv£l
+.
+b\99
+.
+_LVISEL2
+
+
+       )
+
+13650 \ 1
+       #LVSEL_LVISEL1
+lv£l
+.
+b\99
+.
+_LVISEL1
+
+
+       )
+
+13651 \ 1
+       #LVSEL_LVISEL0
+lv£l
+.
+b\99
+.
+_LVISEL0
+
+
+       )
+
+13652 \ 1
+       #LVSEL_LVESEL
+lv£l
+.
+b\99c
+.
+_LVESEL
+
+
+       )
+
+13653 \ 1
+       #LVSEL_LVISEL
+lv£l
+.
+b\99c
+.
+_LVISEL
+
+
+       )
+
+13654 
+__IO_EXTERN
+LVDETSTR
+       glvd\91
+;
+
+13655 \ 1
+       #LVDET
+lvd\91
+.
+by\8b
+
+
+       )
+
+13656 \ 1
+       #LVDET_LVSEL
+lvd\91
+.
+b\99
+.
+_LVSEL
+
+
+       )
+
+13657 \ 1
+       #LVDET_LVEPD
+lvd\91
+.
+b\99
+.
+_LVEPD
+
+
+       )
+
+13658 \ 1
+       #LVDET_LVIPD
+lvd\91
+.
+b\99
+.
+_LVIPD
+
+
+       )
+
+13659 \ 1
+       #LVDET_LVREN
+lvd\91
+.
+b\99
+.
+_LVREN
+
+
+       )
+
+13660 \ 1
+       #LVDET_LVIEN
+lvd\91
+.
+b\99
+.
+_LVIEN
+
+
+       )
+
+13661 \ 1
+       #LVDET_LVIRQ
+lvd\91
+.
+b\99
+.
+_LVIRQ
+
+
+       )
+
+13662 
+__IO_EXTERN
+HWWDESTR
+       ghwwde
+;
+
+13663 \ 1
+       #HWWDE
+hwwde
+.
+by\8b
+
+
+       )
+
+13664 \ 1
+       #HWWDE_ED1
+hwwde
+.
+b\99
+.
+_ED1
+
+
+       )
+
+13665 \ 1
+       #HWWDE_ED0
+hwwde
+.
+b\99
+.
+_ED0
+
+
+       )
+
+13666 \ 1
+       #HWWDE_ED
+hwwde
+.
+b\99c
+.
+_ED
+
+
+       )
+
+13667 
+__IO_EXTERN
+HWWDSTR
+       ghwwd
+;
+
+13668 \ 1
+       #HWWD
+hwwd
+.
+by\8b
+
+
+       )
+
+13669 \ 1
+       #HWWD_CL
+hwwd
+.
+b\99
+.
+_CL
+
+
+       )
+
+13670 \ 1
+       #HWWD_CPUF
+hwwd
+.
+b\99
+.
+_CPUF
+
+
+       )
+
+13671 
+__IO_EXTERN
+OSCRHSTR
+       gosüh
+;
+
+13672 \ 1
+       #OSCRH
+osüh
+.
+by\8b
+
+
+       )
+
+13673 \ 1
+       #OSCRH_WIF
+osüh
+.
+b\99
+.
+_WIF
+
+
+       )
+
+13674 \ 1
+       #OSCRH_WIE
+osüh
+.
+b\99
+.
+_WIE
+
+
+       )
+
+13675 \ 1
+       #OSCRH_WEN
+osüh
+.
+b\99
+.
+_WEN
+
+
+       )
+
+13676 \ 1
+       #OSCRH_WS1
+osüh
+.
+b\99
+.
+_WS1
+
+
+       )
+
+13677 \ 1
+       #OSCRH_WS0
+osüh
+.
+b\99
+.
+_WS0
+
+
+       )
+
+13678 \ 1
+       #OSCRH_WCL
+osüh
+.
+b\99
+.
+_WCL
+
+
+       )
+
+13679 \ 1
+       #OSCRH_WS
+osüh
+.
+b\99c
+.
+_WS
+
+
+       )
+
+13680 
+__IO_EXTERN
+IO_BYTE
+       gosül
+;
+
+13681 \ 1
+       #OSCRL
+osül
+
+
+       )
+
+13682 
+__IO_EXTERN
+WPCRHSTR
+       gwpüh
+;
+
+13683 \ 1
+       #WPCRH
+wpüh
+.
+by\8b
+
+
+       )
+
+13684 \ 1
+       #WPCRH_WIF
+wpüh
+.
+b\99
+.
+_WIF
+
+
+       )
+
+13685 \ 1
+       #WPCRH_WIE
+wpüh
+.
+b\99
+.
+_WIE
+
+
+       )
+
+13686 \ 1
+       #WPCRH_WEN
+wpüh
+.
+b\99
+.
+_WEN
+
+
+       )
+
+13687 \ 1
+       #WPCRH_WS1
+wpüh
+.
+b\99
+.
+_WS1
+
+
+       )
+
+13688 \ 1
+       #WPCRH_WS0
+wpüh
+.
+b\99
+.
+_WS0
+
+
+       )
+
+13689 \ 1
+       #WPCRH_WCL
+wpüh
+.
+b\99
+.
+_WCL
+
+
+       )
+
+13690 \ 1
+       #WPCRH_WS
+wpüh
+.
+b\99c
+.
+_WS
+
+
+       )
+
+13691 
+__IO_EXTERN
+IO_BYTE
+       gwpül
+;
+
+13692 \ 1
+       #WPCRL
+wpül
+
+
+       )
+
+13693 
+__IO_EXTERN
+OSCCRSTR
+       goscü
+;
+
+13694 \ 1
+       #OSCCR
+oscü
+.
+by\8b
+
+
+       )
+
+13695 \ 1
+       #OSCCR_OSCDS1
+oscü
+.
+b\99
+.
+_OSCDS1
+
+
+       )
+
+13696 
+__IO_EXTERN
+REGSELSTR
+       g»g£l
+;
+
+13697 \ 1
+       #REGSEL
+»g£l
+.
+by\8b
+
+
+       )
+
+13698 \ 1
+       #REGSEL_FLASHSEL
+»g£l
+.
+b\99
+.
+_FLASHSEL
+
+
+       )
+
+13699 \ 1
+       #REGSEL_MAINSEL
+»g£l
+.
+b\99
+.
+_MAINSEL
+
+
+       )
+
+13700 \ 1
+       #REGSEL_SUBSEL3
+»g£l
+.
+b\99
+.
+_SUBSEL3
+
+
+       )
+
+13701 \ 1
+       #REGSEL_SUBSEL2
+»g£l
+.
+b\99
+.
+_SUBSEL2
+
+
+       )
+
+13702 \ 1
+       #REGSEL_SUBSEL1
+»g£l
+.
+b\99
+.
+_SUBSEL1
+
+
+       )
+
+13703 \ 1
+       #REGSEL_SUBSEL0
+»g£l
+.
+b\99
+.
+_SUBSEL0
+
+
+       )
+
+13704 \ 1
+       #REGSEL_SUBSEL
+»g£l
+.
+b\99c
+.
+_SUBSEL
+
+
+       )
+
+13705 
+__IO_EXTERN
+REGCTRSTR
+       g»gùr
+;
+
+13706 \ 1
+       #REGCTR
+»gùr
+.
+by\8b
+
+
+       )
+
+13707 \ 1
+       #REGCTR_MSTBO
+»gùr
+.
+b\99
+.
+_MSTBO
+
+
+       )
+
+13708 \ 1
+       #REGCTR_MAINKPEN
+»gùr
+.
+b\99
+.
+_MAINKPEN
+
+
+       )
+
+13709 \ 1
+       #REGCTR_MAINDSBL
+»gùr
+.
+b\99
+.
+_MAINDSBL
+
+
+       )
+
+13710 
+__IO_EXTERN
+MODRSTR
+       gmodr
+;
+
+13711 \ 1
+       #MODR
+modr
+.
+by\8b
+
+
+       )
+
+13712 \ 1
+       #MODR_ROMA
+modr
+.
+b\99
+.
+_ROMA
+
+
+       )
+
+13713 \ 1
+       #MODR_WTH1
+modr
+.
+b\99
+.
+_WTH1
+
+
+       )
+
+13714 \ 1
+       #MODR_WTH0
+modr
+.
+b\99
+.
+_WTH0
+
+
+       )
+
+13715 \ 1
+       #MODR_WTH
+modr
+.
+b\99c
+.
+_WTH
+
+
+       )
+
+13716 
+__IO_EXTERN
+PDRD14STR
+       gpdrd14
+;
+
+13717 \ 1
+       #PDRD14
+pdrd14
+.
+by\8b
+
+
+       )
+
+13718 \ 1
+       #PDRD14_D7
+pdrd14
+.
+b\99
+.
+_D7
+
+
+       )
+
+13719 \ 1
+       #PDRD14_D6
+pdrd14
+.
+b\99
+.
+_D6
+
+
+       )
+
+13720 \ 1
+       #PDRD14_D5
+pdrd14
+.
+b\99
+.
+_D5
+
+
+       )
+
+13721 \ 1
+       #PDRD14_D4
+pdrd14
+.
+b\99
+.
+_D4
+
+
+       )
+
+13722 \ 1
+       #PDRD14_D3
+pdrd14
+.
+b\99
+.
+_D3
+
+
+       )
+
+13723 \ 1
+       #PDRD14_D2
+pdrd14
+.
+b\99
+.
+_D2
+
+
+       )
+
+13724 \ 1
+       #PDRD14_D1
+pdrd14
+.
+b\99
+.
+_D1
+
+
+       )
+
+13725 \ 1
+       #PDRD14_D0
+pdrd14
+.
+b\99
+.
+_D0
+
+
+       )
+
+13726 
+__IO_EXTERN
+PDRD15STR
+       gpdrd15
+;
+
+13727 \ 1
+       #PDRD15
+pdrd15
+.
+by\8b
+
+
+       )
+
+13728 \ 1
+       #PDRD15_D7
+pdrd15
+.
+b\99
+.
+_D7
+
+
+       )
+
+13729 \ 1
+       #PDRD15_D6
+pdrd15
+.
+b\99
+.
+_D6
+
+
+       )
+
+13730 \ 1
+       #PDRD15_D5
+pdrd15
+.
+b\99
+.
+_D5
+
+
+       )
+
+13731 \ 1
+       #PDRD15_D4
+pdrd15
+.
+b\99
+.
+_D4
+
+
+       )
+
+13732 \ 1
+       #PDRD15_D3
+pdrd15
+.
+b\99
+.
+_D3
+
+
+       )
+
+13733 \ 1
+       #PDRD15_D2
+pdrd15
+.
+b\99
+.
+_D2
+
+
+       )
+
+13734 \ 1
+       #PDRD15_D1
+pdrd15
+.
+b\99
+.
+_D1
+
+
+       )
+
+13735 \ 1
+       #PDRD15_D0
+pdrd15
+.
+b\99
+.
+_D0
+
+
+       )
+
+13736 
+__IO_EXTERN
+PDRD16STR
+       gpdrd16
+;
+
+13737 \ 1
+       #PDRD16
+pdrd16
+.
+by\8b
+
+
+       )
+
+13738 \ 1
+       #PDRD16_D7
+pdrd16
+.
+b\99
+.
+_D7
+
+
+       )
+
+13739 \ 1
+       #PDRD16_D6
+pdrd16
+.
+b\99
+.
+_D6
+
+
+       )
+
+13740 \ 1
+       #PDRD16_D5
+pdrd16
+.
+b\99
+.
+_D5
+
+
+       )
+
+13741 \ 1
+       #PDRD16_D4
+pdrd16
+.
+b\99
+.
+_D4
+
+
+       )
+
+13742 \ 1
+       #PDRD16_D3
+pdrd16
+.
+b\99
+.
+_D3
+
+
+       )
+
+13743 \ 1
+       #PDRD16_D2
+pdrd16
+.
+b\99
+.
+_D2
+
+
+       )
+
+13744 \ 1
+       #PDRD16_D1
+pdrd16
+.
+b\99
+.
+_D1
+
+
+       )
+
+13745 \ 1
+       #PDRD16_D0
+pdrd16
+.
+b\99
+.
+_D0
+
+
+       )
+
+13746 
+__IO_EXTERN
+PDRD17STR
+       gpdrd17
+;
+
+13747 \ 1
+       #PDRD17
+pdrd17
+.
+by\8b
+
+
+       )
+
+13748 \ 1
+       #PDRD17_D7
+pdrd17
+.
+b\99
+.
+_D7
+
+
+       )
+
+13749 \ 1
+       #PDRD17_D6
+pdrd17
+.
+b\99
+.
+_D6
+
+
+       )
+
+13750 \ 1
+       #PDRD17_D5
+pdrd17
+.
+b\99
+.
+_D5
+
+
+       )
+
+13751 \ 1
+       #PDRD17_D4
+pdrd17
+.
+b\99
+.
+_D4
+
+
+       )
+
+13752 \ 1
+       #PDRD17_D3
+pdrd17
+.
+b\99
+.
+_D3
+
+
+       )
+
+13753 \ 1
+       #PDRD17_D2
+pdrd17
+.
+b\99
+.
+_D2
+
+
+       )
+
+13754 \ 1
+       #PDRD17_D1
+pdrd17
+.
+b\99
+.
+_D1
+
+
+       )
+
+13755 \ 1
+       #PDRD17_D0
+pdrd17
+.
+b\99
+.
+_D0
+
+
+       )
+
+13756 
+__IO_EXTERN
+PDRD18STR
+       gpdrd18
+;
+
+13757 \ 1
+       #PDRD18
+pdrd18
+.
+by\8b
+
+
+       )
+
+13758 \ 1
+       #PDRD18_D6
+pdrd18
+.
+b\99
+.
+_D6
+
+
+       )
+
+13759 \ 1
+       #PDRD18_D2
+pdrd18
+.
+b\99
+.
+_D2
+
+
+       )
+
+13760 
+__IO_EXTERN
+PDRD19STR
+       gpdrd19
+;
+
+13761 \ 1
+       #PDRD19
+pdrd19
+.
+by\8b
+
+
+       )
+
+13762 \ 1
+       #PDRD19_D6
+pdrd19
+.
+b\99
+.
+_D6
+
+
+       )
+
+13763 \ 1
+       #PDRD19_D2
+pdrd19
+.
+b\99
+.
+_D2
+
+
+       )
+
+13764 \ 1
+       #PDRD19_D1
+pdrd19
+.
+b\99
+.
+_D1
+
+
+       )
+
+13765 \ 1
+       #PDRD19_D0
+pdrd19
+.
+b\99
+.
+_D0
+
+
+       )
+
+13766 
+__IO_EXTERN
+PDRD20STR
+       gpdrd20
+;
+
+13767 \ 1
+       #PDRD20
+pdrd20
+.
+by\8b
+
+
+       )
+
+13768 \ 1
+       #PDRD20_D7
+pdrd20
+.
+b\99
+.
+_D7
+
+
+       )
+
+13769 \ 1
+       #PDRD20_D6
+pdrd20
+.
+b\99
+.
+_D6
+
+
+       )
+
+13770 \ 1
+       #PDRD20_D5
+pdrd20
+.
+b\99
+.
+_D5
+
+
+       )
+
+13771 \ 1
+       #PDRD20_D4
+pdrd20
+.
+b\99
+.
+_D4
+
+
+       )
+
+13772 \ 1
+       #PDRD20_D3
+pdrd20
+.
+b\99
+.
+_D3
+
+
+       )
+
+13773 \ 1
+       #PDRD20_D2
+pdrd20
+.
+b\99
+.
+_D2
+
+
+       )
+
+13774 \ 1
+       #PDRD20_D1
+pdrd20
+.
+b\99
+.
+_D1
+
+
+       )
+
+13775 \ 1
+       #PDRD20_D0
+pdrd20
+.
+b\99
+.
+_D0
+
+
+       )
+
+13776 
+__IO_EXTERN
+PDRD21STR
+       gpdrd21
+;
+
+13777 \ 1
+       #PDRD21
+pdrd21
+.
+by\8b
+
+
+       )
+
+13778 \ 1
+       #PDRD21_D7
+pdrd21
+.
+b\99
+.
+_D7
+
+
+       )
+
+13779 \ 1
+       #PDRD21_D6
+pdrd21
+.
+b\99
+.
+_D6
+
+
+       )
+
+13780 \ 1
+       #PDRD21_D5
+pdrd21
+.
+b\99
+.
+_D5
+
+
+       )
+
+13781 \ 1
+       #PDRD21_D4
+pdrd21
+.
+b\99
+.
+_D4
+
+
+       )
+
+13782 \ 1
+       #PDRD21_D3
+pdrd21
+.
+b\99
+.
+_D3
+
+
+       )
+
+13783 \ 1
+       #PDRD21_D2
+pdrd21
+.
+b\99
+.
+_D2
+
+
+       )
+
+13784 \ 1
+       #PDRD21_D1
+pdrd21
+.
+b\99
+.
+_D1
+
+
+       )
+
+13785 \ 1
+       #PDRD21_D0
+pdrd21
+.
+b\99
+.
+_D0
+
+
+       )
+
+13786 
+__IO_EXTERN
+PDRD22STR
+       gpdrd22
+;
+
+13787 \ 1
+       #PDRD22
+pdrd22
+.
+by\8b
+
+
+       )
+
+13788 \ 1
+       #PDRD22_D5
+pdrd22
+.
+b\99
+.
+_D5
+
+
+       )
+
+13789 \ 1
+       #PDRD22_D4
+pdrd22
+.
+b\99
+.
+_D4
+
+
+       )
+
+13790 \ 1
+       #PDRD22_D1
+pdrd22
+.
+b\99
+.
+_D1
+
+
+       )
+
+13791 \ 1
+       #PDRD22_D0
+pdrd22
+.
+b\99
+.
+_D0
+
+
+       )
+
+13792 
+__IO_EXTERN
+PDRD24STR
+       gpdrd24
+;
+
+13793 \ 1
+       #PDRD24
+pdrd24
+.
+by\8b
+
+
+       )
+
+13794 \ 1
+       #PDRD24_D7
+pdrd24
+.
+b\99
+.
+_D7
+
+
+       )
+
+13795 \ 1
+       #PDRD24_D6
+pdrd24
+.
+b\99
+.
+_D6
+
+
+       )
+
+13796 \ 1
+       #PDRD24_D5
+pdrd24
+.
+b\99
+.
+_D5
+
+
+       )
+
+13797 \ 1
+       #PDRD24_D4
+pdrd24
+.
+b\99
+.
+_D4
+
+
+       )
+
+13798 \ 1
+       #PDRD24_D3
+pdrd24
+.
+b\99
+.
+_D3
+
+
+       )
+
+13799 \ 1
+       #PDRD24_D2
+pdrd24
+.
+b\99
+.
+_D2
+
+
+       )
+
+13800 \ 1
+       #PDRD24_D1
+pdrd24
+.
+b\99
+.
+_D1
+
+
+       )
+
+13801 \ 1
+       #PDRD24_D0
+pdrd24
+.
+b\99
+.
+_D0
+
+
+       )
+
+13802 
+__IO_EXTERN
+PDRD26STR
+       gpdrd26
+;
+
+13803 \ 1
+       #PDRD26
+pdrd26
+.
+by\8b
+
+
+       )
+
+13804 \ 1
+       #PDRD26_D1
+pdrd26
+.
+b\99
+.
+_D1
+
+
+       )
+
+13805 \ 1
+       #PDRD26_D0
+pdrd26
+.
+b\99
+.
+_D0
+
+
+       )
+
+13806 
+__IO_EXTERN
+PDRD27STR
+       gpdrd27
+;
+
+13807 \ 1
+       #PDRD27
+pdrd27
+.
+by\8b
+
+
+       )
+
+13808 \ 1
+       #PDRD27_D7
+pdrd27
+.
+b\99
+.
+_D7
+
+
+       )
+
+13809 \ 1
+       #PDRD27_D6
+pdrd27
+.
+b\99
+.
+_D6
+
+
+       )
+
+13810 \ 1
+       #PDRD27_D5
+pdrd27
+.
+b\99
+.
+_D5
+
+
+       )
+
+13811 \ 1
+       #PDRD27_D4
+pdrd27
+.
+b\99
+.
+_D4
+
+
+       )
+
+13812 \ 1
+       #PDRD27_D3
+pdrd27
+.
+b\99
+.
+_D3
+
+
+       )
+
+13813 \ 1
+       #PDRD27_D2
+pdrd27
+.
+b\99
+.
+_D2
+
+
+       )
+
+13814 \ 1
+       #PDRD27_D1
+pdrd27
+.
+b\99
+.
+_D1
+
+
+       )
+
+13815 \ 1
+       #PDRD27_D0
+pdrd27
+.
+b\99
+.
+_D0
+
+
+       )
+
+13816 
+__IO_EXTERN
+PDRD28STR
+       gpdrd28
+;
+
+13817 \ 1
+       #PDRD28
+pdrd28
+.
+by\8b
+
+
+       )
+
+13818 \ 1
+       #PDRD28_D7
+pdrd28
+.
+b\99
+.
+_D7
+
+
+       )
+
+13819 \ 1
+       #PDRD28_D6
+pdrd28
+.
+b\99
+.
+_D6
+
+
+       )
+
+13820 \ 1
+       #PDRD28_D5
+pdrd28
+.
+b\99
+.
+_D5
+
+
+       )
+
+13821 \ 1
+       #PDRD28_D4
+pdrd28
+.
+b\99
+.
+_D4
+
+
+       )
+
+13822 \ 1
+       #PDRD28_D3
+pdrd28
+.
+b\99
+.
+_D3
+
+
+       )
+
+13823 \ 1
+       #PDRD28_D2
+pdrd28
+.
+b\99
+.
+_D2
+
+
+       )
+
+13824 \ 1
+       #PDRD28_D1
+pdrd28
+.
+b\99
+.
+_D1
+
+
+       )
+
+13825 \ 1
+       #PDRD28_D0
+pdrd28
+.
+b\99
+.
+_D0
+
+
+       )
+
+13826 
+__IO_EXTERN
+PDRD29STR
+       gpdrd29
+;
+
+13827 \ 1
+       #PDRD29
+pdrd29
+.
+by\8b
+
+
+       )
+
+13828 \ 1
+       #PDRD29_D7
+pdrd29
+.
+b\99
+.
+_D7
+
+
+       )
+
+13829 \ 1
+       #PDRD29_D6
+pdrd29
+.
+b\99
+.
+_D6
+
+
+       )
+
+13830 \ 1
+       #PDRD29_D5
+pdrd29
+.
+b\99
+.
+_D5
+
+
+       )
+
+13831 \ 1
+       #PDRD29_D4
+pdrd29
+.
+b\99
+.
+_D4
+
+
+       )
+
+13832 \ 1
+       #PDRD29_D3
+pdrd29
+.
+b\99
+.
+_D3
+
+
+       )
+
+13833 \ 1
+       #PDRD29_D2
+pdrd29
+.
+b\99
+.
+_D2
+
+
+       )
+
+13834 \ 1
+       #PDRD29_D1
+pdrd29
+.
+b\99
+.
+_D1
+
+
+       )
+
+13835 \ 1
+       #PDRD29_D0
+pdrd29
+.
+b\99
+.
+_D0
+
+
+       )
+
+13836 
+__IO_EXTERN
+DDR14STR
+       gddr14
+;
+
+13837 \ 1
+       #DDR14
+ddr14
+.
+by\8b
+
+
+       )
+
+13838 \ 1
+       #DDR14_D7
+ddr14
+.
+b\99
+.
+_D7
+
+
+       )
+
+13839 \ 1
+       #DDR14_D6
+ddr14
+.
+b\99
+.
+_D6
+
+
+       )
+
+13840 \ 1
+       #DDR14_D5
+ddr14
+.
+b\99
+.
+_D5
+
+
+       )
+
+13841 \ 1
+       #DDR14_D4
+ddr14
+.
+b\99
+.
+_D4
+
+
+       )
+
+13842 \ 1
+       #DDR14_D3
+ddr14
+.
+b\99
+.
+_D3
+
+
+       )
+
+13843 \ 1
+       #DDR14_D2
+ddr14
+.
+b\99
+.
+_D2
+
+
+       )
+
+13844 \ 1
+       #DDR14_D1
+ddr14
+.
+b\99
+.
+_D1
+
+
+       )
+
+13845 \ 1
+       #DDR14_D0
+ddr14
+.
+b\99
+.
+_D0
+
+
+       )
+
+13846 
+__IO_EXTERN
+DDR15STR
+       gddr15
+;
+
+13847 \ 1
+       #DDR15
+ddr15
+.
+by\8b
+
+
+       )
+
+13848 \ 1
+       #DDR15_D7
+ddr15
+.
+b\99
+.
+_D7
+
+
+       )
+
+13849 \ 1
+       #DDR15_D6
+ddr15
+.
+b\99
+.
+_D6
+
+
+       )
+
+13850 \ 1
+       #DDR15_D5
+ddr15
+.
+b\99
+.
+_D5
+
+
+       )
+
+13851 \ 1
+       #DDR15_D4
+ddr15
+.
+b\99
+.
+_D4
+
+
+       )
+
+13852 \ 1
+       #DDR15_D3
+ddr15
+.
+b\99
+.
+_D3
+
+
+       )
+
+13853 \ 1
+       #DDR15_D2
+ddr15
+.
+b\99
+.
+_D2
+
+
+       )
+
+13854 \ 1
+       #DDR15_D1
+ddr15
+.
+b\99
+.
+_D1
+
+
+       )
+
+13855 \ 1
+       #DDR15_D0
+ddr15
+.
+b\99
+.
+_D0
+
+
+       )
+
+13856 
+__IO_EXTERN
+DDR16STR
+       gddr16
+;
+
+13857 \ 1
+       #DDR16
+ddr16
+.
+by\8b
+
+
+       )
+
+13858 \ 1
+       #DDR16_D7
+ddr16
+.
+b\99
+.
+_D7
+
+
+       )
+
+13859 \ 1
+       #DDR16_D6
+ddr16
+.
+b\99
+.
+_D6
+
+
+       )
+
+13860 \ 1
+       #DDR16_D5
+ddr16
+.
+b\99
+.
+_D5
+
+
+       )
+
+13861 \ 1
+       #DDR16_D4
+ddr16
+.
+b\99
+.
+_D4
+
+
+       )
+
+13862 \ 1
+       #DDR16_D3
+ddr16
+.
+b\99
+.
+_D3
+
+
+       )
+
+13863 \ 1
+       #DDR16_D2
+ddr16
+.
+b\99
+.
+_D2
+
+
+       )
+
+13864 \ 1
+       #DDR16_D1
+ddr16
+.
+b\99
+.
+_D1
+
+
+       )
+
+13865 \ 1
+       #DDR16_D0
+ddr16
+.
+b\99
+.
+_D0
+
+
+       )
+
+13866 
+__IO_EXTERN
+DDR17STR
+       gddr17
+;
+
+13867 \ 1
+       #DDR17
+ddr17
+.
+by\8b
+
+
+       )
+
+13868 \ 1
+       #DDR17_D7
+ddr17
+.
+b\99
+.
+_D7
+
+
+       )
+
+13869 \ 1
+       #DDR17_D6
+ddr17
+.
+b\99
+.
+_D6
+
+
+       )
+
+13870 \ 1
+       #DDR17_D5
+ddr17
+.
+b\99
+.
+_D5
+
+
+       )
+
+13871 \ 1
+       #DDR17_D4
+ddr17
+.
+b\99
+.
+_D4
+
+
+       )
+
+13872 \ 1
+       #DDR17_D3
+ddr17
+.
+b\99
+.
+_D3
+
+
+       )
+
+13873 \ 1
+       #DDR17_D2
+ddr17
+.
+b\99
+.
+_D2
+
+
+       )
+
+13874 \ 1
+       #DDR17_D1
+ddr17
+.
+b\99
+.
+_D1
+
+
+       )
+
+13875 \ 1
+       #DDR17_D0
+ddr17
+.
+b\99
+.
+_D0
+
+
+       )
+
+13876 
+__IO_EXTERN
+DDR18STR
+       gddr18
+;
+
+13877 \ 1
+       #DDR18
+ddr18
+.
+by\8b
+
+
+       )
+
+13878 \ 1
+       #DDR18_D6
+ddr18
+.
+b\99
+.
+_D6
+
+
+       )
+
+13879 \ 1
+       #DDR18_D2
+ddr18
+.
+b\99
+.
+_D2
+
+
+       )
+
+13880 
+__IO_EXTERN
+DDR19STR
+       gddr19
+;
+
+13881 \ 1
+       #DDR19
+ddr19
+.
+by\8b
+
+
+       )
+
+13882 \ 1
+       #DDR19_D6
+ddr19
+.
+b\99
+.
+_D6
+
+
+       )
+
+13883 \ 1
+       #DDR19_D2
+ddr19
+.
+b\99
+.
+_D2
+
+
+       )
+
+13884 \ 1
+       #DDR19_D1
+ddr19
+.
+b\99
+.
+_D1
+
+
+       )
+
+13885 \ 1
+       #DDR19_D0
+ddr19
+.
+b\99
+.
+_D0
+
+
+       )
+
+13886 
+__IO_EXTERN
+DDR20STR
+       gddr20
+;
+
+13887 \ 1
+       #DDR20
+ddr20
+.
+by\8b
+
+
+       )
+
+13888 \ 1
+       #DDR20_D7
+ddr20
+.
+b\99
+.
+_D7
+
+
+       )
+
+13889 \ 1
+       #DDR20_D6
+ddr20
+.
+b\99
+.
+_D6
+
+
+       )
+
+13890 \ 1
+       #DDR20_D5
+ddr20
+.
+b\99
+.
+_D5
+
+
+       )
+
+13891 \ 1
+       #DDR20_D4
+ddr20
+.
+b\99
+.
+_D4
+
+
+       )
+
+13892 \ 1
+       #DDR20_D3
+ddr20
+.
+b\99
+.
+_D3
+
+
+       )
+
+13893 \ 1
+       #DDR20_D2
+ddr20
+.
+b\99
+.
+_D2
+
+
+       )
+
+13894 \ 1
+       #DDR20_D1
+ddr20
+.
+b\99
+.
+_D1
+
+
+       )
+
+13895 \ 1
+       #DDR20_D0
+ddr20
+.
+b\99
+.
+_D0
+
+
+       )
+
+13896 
+__IO_EXTERN
+DDR21STR
+       gddr21
+;
+
+13897 \ 1
+       #DDR21
+ddr21
+.
+by\8b
+
+
+       )
+
+13898 \ 1
+       #DDR21_D7
+ddr21
+.
+b\99
+.
+_D7
+
+
+       )
+
+13899 \ 1
+       #DDR21_D6
+ddr21
+.
+b\99
+.
+_D6
+
+
+       )
+
+13900 \ 1
+       #DDR21_D5
+ddr21
+.
+b\99
+.
+_D5
+
+
+       )
+
+13901 \ 1
+       #DDR21_D4
+ddr21
+.
+b\99
+.
+_D4
+
+
+       )
+
+13902 \ 1
+       #DDR21_D3
+ddr21
+.
+b\99
+.
+_D3
+
+
+       )
+
+13903 \ 1
+       #DDR21_D2
+ddr21
+.
+b\99
+.
+_D2
+
+
+       )
+
+13904 \ 1
+       #DDR21_D1
+ddr21
+.
+b\99
+.
+_D1
+
+
+       )
+
+13905 \ 1
+       #DDR21_D0
+ddr21
+.
+b\99
+.
+_D0
+
+
+       )
+
+13906 
+__IO_EXTERN
+DDR22STR
+       gddr22
+;
+
+13907 \ 1
+       #DDR22
+ddr22
+.
+by\8b
+
+
+       )
+
+13908 \ 1
+       #DDR22_D5
+ddr22
+.
+b\99
+.
+_D5
+
+
+       )
+
+13909 \ 1
+       #DDR22_D4
+ddr22
+.
+b\99
+.
+_D4
+
+
+       )
+
+13910 \ 1
+       #DDR22_D1
+ddr22
+.
+b\99
+.
+_D1
+
+
+       )
+
+13911 \ 1
+       #DDR22_D0
+ddr22
+.
+b\99
+.
+_D0
+
+
+       )
+
+13912 
+__IO_EXTERN
+DDR24STR
+       gddr24
+;
+
+13913 \ 1
+       #DDR24
+ddr24
+.
+by\8b
+
+
+       )
+
+13914 \ 1
+       #DDR24_D7
+ddr24
+.
+b\99
+.
+_D7
+
+
+       )
+
+13915 \ 1
+       #DDR24_D6
+ddr24
+.
+b\99
+.
+_D6
+
+
+       )
+
+13916 \ 1
+       #DDR24_D5
+ddr24
+.
+b\99
+.
+_D5
+
+
+       )
+
+13917 \ 1
+       #DDR24_D4
+ddr24
+.
+b\99
+.
+_D4
+
+
+       )
+
+13918 \ 1
+       #DDR24_D3
+ddr24
+.
+b\99
+.
+_D3
+
+
+       )
+
+13919 \ 1
+       #DDR24_D2
+ddr24
+.
+b\99
+.
+_D2
+
+
+       )
+
+13920 \ 1
+       #DDR24_D1
+ddr24
+.
+b\99
+.
+_D1
+
+
+       )
+
+13921 \ 1
+       #DDR24_D0
+ddr24
+.
+b\99
+.
+_D0
+
+
+       )
+
+13922 
+__IO_EXTERN
+DDR26STR
+       gddr26
+;
+
+13923 \ 1
+       #DDR26
+ddr26
+.
+by\8b
+
+
+       )
+
+13924 \ 1
+       #DDR26_D1
+ddr26
+.
+b\99
+.
+_D1
+
+
+       )
+
+13925 \ 1
+       #DDR26_D0
+ddr26
+.
+b\99
+.
+_D0
+
+
+       )
+
+13926 
+__IO_EXTERN
+DDR27STR
+       gddr27
+;
+
+13927 \ 1
+       #DDR27
+ddr27
+.
+by\8b
+
+
+       )
+
+13928 \ 1
+       #DDR27_D7
+ddr27
+.
+b\99
+.
+_D7
+
+
+       )
+
+13929 \ 1
+       #DDR27_D6
+ddr27
+.
+b\99
+.
+_D6
+
+
+       )
+
+13930 \ 1
+       #DDR27_D5
+ddr27
+.
+b\99
+.
+_D5
+
+
+       )
+
+13931 \ 1
+       #DDR27_D4
+ddr27
+.
+b\99
+.
+_D4
+
+
+       )
+
+13932 \ 1
+       #DDR27_D3
+ddr27
+.
+b\99
+.
+_D3
+
+
+       )
+
+13933 \ 1
+       #DDR27_D2
+ddr27
+.
+b\99
+.
+_D2
+
+
+       )
+
+13934 \ 1
+       #DDR27_D1
+ddr27
+.
+b\99
+.
+_D1
+
+
+       )
+
+13935 \ 1
+       #DDR27_D0
+ddr27
+.
+b\99
+.
+_D0
+
+
+       )
+
+13936 
+__IO_EXTERN
+DDR28STR
+       gddr28
+;
+
+13937 \ 1
+       #DDR28
+ddr28
+.
+by\8b
+
+
+       )
+
+13938 \ 1
+       #DDR28_D7
+ddr28
+.
+b\99
+.
+_D7
+
+
+       )
+
+13939 \ 1
+       #DDR28_D6
+ddr28
+.
+b\99
+.
+_D6
+
+
+       )
+
+13940 \ 1
+       #DDR28_D5
+ddr28
+.
+b\99
+.
+_D5
+
+
+       )
+
+13941 \ 1
+       #DDR28_D4
+ddr28
+.
+b\99
+.
+_D4
+
+
+       )
+
+13942 \ 1
+       #DDR28_D3
+ddr28
+.
+b\99
+.
+_D3
+
+
+       )
+
+13943 \ 1
+       #DDR28_D2
+ddr28
+.
+b\99
+.
+_D2
+
+
+       )
+
+13944 \ 1
+       #DDR28_D1
+ddr28
+.
+b\99
+.
+_D1
+
+
+       )
+
+13945 \ 1
+       #DDR28_D0
+ddr28
+.
+b\99
+.
+_D0
+
+
+       )
+
+13946 
+__IO_EXTERN
+DDR29STR
+       gddr29
+;
+
+13947 \ 1
+       #DDR29
+ddr29
+.
+by\8b
+
+
+       )
+
+13948 \ 1
+       #DDR29_D7
+ddr29
+.
+b\99
+.
+_D7
+
+
+       )
+
+13949 \ 1
+       #DDR29_D6
+ddr29
+.
+b\99
+.
+_D6
+
+
+       )
+
+13950 \ 1
+       #DDR29_D5
+ddr29
+.
+b\99
+.
+_D5
+
+
+       )
+
+13951 \ 1
+       #DDR29_D4
+ddr29
+.
+b\99
+.
+_D4
+
+
+       )
+
+13952 \ 1
+       #DDR29_D3
+ddr29
+.
+b\99
+.
+_D3
+
+
+       )
+
+13953 \ 1
+       #DDR29_D2
+ddr29
+.
+b\99
+.
+_D2
+
+
+       )
+
+13954 \ 1
+       #DDR29_D1
+ddr29
+.
+b\99
+.
+_D1
+
+
+       )
+
+13955 \ 1
+       #DDR29_D0
+ddr29
+.
+b\99
+.
+_D0
+
+
+       )
+
+13956 
+__IO_EXTERN
+PFR14STR
+       gpä14
+;
+
+13957 \ 1
+       #PFR14
+pä14
+.
+by\8b
+
+
+       )
+
+13958 \ 1
+       #PFR14_D7
+pä14
+.
+b\99
+.
+_D7
+
+
+       )
+
+13959 \ 1
+       #PFR14_D6
+pä14
+.
+b\99
+.
+_D6
+
+
+       )
+
+13960 \ 1
+       #PFR14_D5
+pä14
+.
+b\99
+.
+_D5
+
+
+       )
+
+13961 \ 1
+       #PFR14_D4
+pä14
+.
+b\99
+.
+_D4
+
+
+       )
+
+13962 \ 1
+       #PFR14_D3
+pä14
+.
+b\99
+.
+_D3
+
+
+       )
+
+13963 \ 1
+       #PFR14_D2
+pä14
+.
+b\99
+.
+_D2
+
+
+       )
+
+13964 \ 1
+       #PFR14_D1
+pä14
+.
+b\99
+.
+_D1
+
+
+       )
+
+13965 \ 1
+       #PFR14_D0
+pä14
+.
+b\99
+.
+_D0
+
+
+       )
+
+13966 
+__IO_EXTERN
+PFR15STR
+       gpä15
+;
+
+13967 \ 1
+       #PFR15
+pä15
+.
+by\8b
+
+
+       )
+
+13968 \ 1
+       #PFR15_D7
+pä15
+.
+b\99
+.
+_D7
+
+
+       )
+
+13969 \ 1
+       #PFR15_D6
+pä15
+.
+b\99
+.
+_D6
+
+
+       )
+
+13970 \ 1
+       #PFR15_D5
+pä15
+.
+b\99
+.
+_D5
+
+
+       )
+
+13971 \ 1
+       #PFR15_D4
+pä15
+.
+b\99
+.
+_D4
+
+
+       )
+
+13972 \ 1
+       #PFR15_D3
+pä15
+.
+b\99
+.
+_D3
+
+
+       )
+
+13973 \ 1
+       #PFR15_D2
+pä15
+.
+b\99
+.
+_D2
+
+
+       )
+
+13974 \ 1
+       #PFR15_D1
+pä15
+.
+b\99
+.
+_D1
+
+
+       )
+
+13975 \ 1
+       #PFR15_D0
+pä15
+.
+b\99
+.
+_D0
+
+
+       )
+
+13976 
+__IO_EXTERN
+PFR16STR
+       gpä16
+;
+
+13977 \ 1
+       #PFR16
+pä16
+.
+by\8b
+
+
+       )
+
+13978 \ 1
+       #PFR16_D7
+pä16
+.
+b\99
+.
+_D7
+
+
+       )
+
+13979 \ 1
+       #PFR16_D6
+pä16
+.
+b\99
+.
+_D6
+
+
+       )
+
+13980 \ 1
+       #PFR16_D5
+pä16
+.
+b\99
+.
+_D5
+
+
+       )
+
+13981 \ 1
+       #PFR16_D4
+pä16
+.
+b\99
+.
+_D4
+
+
+       )
+
+13982 \ 1
+       #PFR16_D3
+pä16
+.
+b\99
+.
+_D3
+
+
+       )
+
+13983 \ 1
+       #PFR16_D2
+pä16
+.
+b\99
+.
+_D2
+
+
+       )
+
+13984 \ 1
+       #PFR16_D1
+pä16
+.
+b\99
+.
+_D1
+
+
+       )
+
+13985 \ 1
+       #PFR16_D0
+pä16
+.
+b\99
+.
+_D0
+
+
+       )
+
+13986 
+__IO_EXTERN
+PFR17STR
+       gpä17
+;
+
+13987 \ 1
+       #PFR17
+pä17
+.
+by\8b
+
+
+       )
+
+13988 \ 1
+       #PFR17_D7
+pä17
+.
+b\99
+.
+_D7
+
+
+       )
+
+13989 \ 1
+       #PFR17_D6
+pä17
+.
+b\99
+.
+_D6
+
+
+       )
+
+13990 \ 1
+       #PFR17_D5
+pä17
+.
+b\99
+.
+_D5
+
+
+       )
+
+13991 \ 1
+       #PFR17_D4
+pä17
+.
+b\99
+.
+_D4
+
+
+       )
+
+13992 \ 1
+       #PFR17_D3
+pä17
+.
+b\99
+.
+_D3
+
+
+       )
+
+13993 \ 1
+       #PFR17_D2
+pä17
+.
+b\99
+.
+_D2
+
+
+       )
+
+13994 \ 1
+       #PFR17_D1
+pä17
+.
+b\99
+.
+_D1
+
+
+       )
+
+13995 \ 1
+       #PFR17_D0
+pä17
+.
+b\99
+.
+_D0
+
+
+       )
+
+13996 
+__IO_EXTERN
+PFR18STR
+       gpä18
+;
+
+13997 \ 1
+       #PFR18
+pä18
+.
+by\8b
+
+
+       )
+
+13998 \ 1
+       #PFR18_D6
+pä18
+.
+b\99
+.
+_D6
+
+
+       )
+
+13999 \ 1
+       #PFR18_D2
+pä18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14000 
+__IO_EXTERN
+PFR19STR
+       gpä19
+;
+
+14001 \ 1
+       #PFR19
+pä19
+.
+by\8b
+
+
+       )
+
+14002 \ 1
+       #PFR19_D6
+pä19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14003 \ 1
+       #PFR19_D2
+pä19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14004 \ 1
+       #PFR19_D1
+pä19
+.
+b\99
+.
+_D1
+
+
+       )
+
+14005 \ 1
+       #PFR19_D0
+pä19
+.
+b\99
+.
+_D0
+
+
+       )
+
+14006 
+__IO_EXTERN
+PFR20STR
+       gpä20
+;
+
+14007 \ 1
+       #PFR20
+pä20
+.
+by\8b
+
+
+       )
+
+14008 \ 1
+       #PFR20_D7
+pä20
+.
+b\99
+.
+_D7
+
+
+       )
+
+14009 \ 1
+       #PFR20_D6
+pä20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14010 \ 1
+       #PFR20_D5
+pä20
+.
+b\99
+.
+_D5
+
+
+       )
+
+14011 \ 1
+       #PFR20_D4
+pä20
+.
+b\99
+.
+_D4
+
+
+       )
+
+14012 \ 1
+       #PFR20_D3
+pä20
+.
+b\99
+.
+_D3
+
+
+       )
+
+14013 \ 1
+       #PFR20_D2
+pä20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14014 \ 1
+       #PFR20_D1
+pä20
+.
+b\99
+.
+_D1
+
+
+       )
+
+14015 \ 1
+       #PFR20_D0
+pä20
+.
+b\99
+.
+_D0
+
+
+       )
+
+14016 
+__IO_EXTERN
+PFR21STR
+       gpä21
+;
+
+14017 \ 1
+       #PFR21
+pä21
+.
+by\8b
+
+
+       )
+
+14018 \ 1
+       #PFR21_D7
+pä21
+.
+b\99
+.
+_D7
+
+
+       )
+
+14019 \ 1
+       #PFR21_D6
+pä21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14020 \ 1
+       #PFR21_D5
+pä21
+.
+b\99
+.
+_D5
+
+
+       )
+
+14021 \ 1
+       #PFR21_D4
+pä21
+.
+b\99
+.
+_D4
+
+
+       )
+
+14022 \ 1
+       #PFR21_D3
+pä21
+.
+b\99
+.
+_D3
+
+
+       )
+
+14023 \ 1
+       #PFR21_D2
+pä21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14024 \ 1
+       #PFR21_D1
+pä21
+.
+b\99
+.
+_D1
+
+
+       )
+
+14025 \ 1
+       #PFR21_D0
+pä21
+.
+b\99
+.
+_D0
+
+
+       )
+
+14026 
+__IO_EXTERN
+PFR22STR
+       gpä22
+;
+
+14027 \ 1
+       #PFR22
+pä22
+.
+by\8b
+
+
+       )
+
+14028 \ 1
+       #PFR22_D5
+pä22
+.
+b\99
+.
+_D5
+
+
+       )
+
+14029 \ 1
+       #PFR22_D4
+pä22
+.
+b\99
+.
+_D4
+
+
+       )
+
+14030 \ 1
+       #PFR22_D1
+pä22
+.
+b\99
+.
+_D1
+
+
+       )
+
+14031 \ 1
+       #PFR22_D0
+pä22
+.
+b\99
+.
+_D0
+
+
+       )
+
+14032 
+__IO_EXTERN
+PFR24STR
+       gpä24
+;
+
+14033 \ 1
+       #PFR24
+pä24
+.
+by\8b
+
+
+       )
+
+14034 \ 1
+       #PFR24_D7
+pä24
+.
+b\99
+.
+_D7
+
+
+       )
+
+14035 \ 1
+       #PFR24_D6
+pä24
+.
+b\99
+.
+_D6
+
+
+       )
+
+14036 \ 1
+       #PFR24_D5
+pä24
+.
+b\99
+.
+_D5
+
+
+       )
+
+14037 \ 1
+       #PFR24_D4
+pä24
+.
+b\99
+.
+_D4
+
+
+       )
+
+14038 \ 1
+       #PFR24_D3
+pä24
+.
+b\99
+.
+_D3
+
+
+       )
+
+14039 \ 1
+       #PFR24_D2
+pä24
+.
+b\99
+.
+_D2
+
+
+       )
+
+14040 \ 1
+       #PFR24_D1
+pä24
+.
+b\99
+.
+_D1
+
+
+       )
+
+14041 \ 1
+       #PFR24_D0
+pä24
+.
+b\99
+.
+_D0
+
+
+       )
+
+14042 
+__IO_EXTERN
+PFR26STR
+       gpä26
+;
+
+14043 \ 1
+       #PFR26
+pä26
+.
+by\8b
+
+
+       )
+
+14044 \ 1
+       #PFR26_D1
+pä26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14045 \ 1
+       #PFR26_D0
+pä26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14046 
+__IO_EXTERN
+PFR27STR
+       gpä27
+;
+
+14047 \ 1
+       #PFR27
+pä27
+.
+by\8b
+
+
+       )
+
+14048 \ 1
+       #PFR27_D7
+pä27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14049 \ 1
+       #PFR27_D6
+pä27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14050 \ 1
+       #PFR27_D5
+pä27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14051 \ 1
+       #PFR27_D4
+pä27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14052 \ 1
+       #PFR27_D3
+pä27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14053 \ 1
+       #PFR27_D2
+pä27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14054 \ 1
+       #PFR27_D1
+pä27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14055 \ 1
+       #PFR27_D0
+pä27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14056 
+__IO_EXTERN
+PFR28STR
+       gpä28
+;
+
+14057 \ 1
+       #PFR28
+pä28
+.
+by\8b
+
+
+       )
+
+14058 \ 1
+       #PFR28_D7
+pä28
+.
+b\99
+.
+_D7
+
+
+       )
+
+14059 \ 1
+       #PFR28_D6
+pä28
+.
+b\99
+.
+_D6
+
+
+       )
+
+14060 \ 1
+       #PFR28_D5
+pä28
+.
+b\99
+.
+_D5
+
+
+       )
+
+14061 \ 1
+       #PFR28_D4
+pä28
+.
+b\99
+.
+_D4
+
+
+       )
+
+14062 \ 1
+       #PFR28_D3
+pä28
+.
+b\99
+.
+_D3
+
+
+       )
+
+14063 \ 1
+       #PFR28_D2
+pä28
+.
+b\99
+.
+_D2
+
+
+       )
+
+14064 \ 1
+       #PFR28_D1
+pä28
+.
+b\99
+.
+_D1
+
+
+       )
+
+14065 \ 1
+       #PFR28_D0
+pä28
+.
+b\99
+.
+_D0
+
+
+       )
+
+14066 
+__IO_EXTERN
+PFR29STR
+       gpä29
+;
+
+14067 \ 1
+       #PFR29
+pä29
+.
+by\8b
+
+
+       )
+
+14068 \ 1
+       #PFR29_D7
+pä29
+.
+b\99
+.
+_D7
+
+
+       )
+
+14069 \ 1
+       #PFR29_D6
+pä29
+.
+b\99
+.
+_D6
+
+
+       )
+
+14070 \ 1
+       #PFR29_D5
+pä29
+.
+b\99
+.
+_D5
+
+
+       )
+
+14071 \ 1
+       #PFR29_D4
+pä29
+.
+b\99
+.
+_D4
+
+
+       )
+
+14072 \ 1
+       #PFR29_D3
+pä29
+.
+b\99
+.
+_D3
+
+
+       )
+
+14073 \ 1
+       #PFR29_D2
+pä29
+.
+b\99
+.
+_D2
+
+
+       )
+
+14074 \ 1
+       #PFR29_D1
+pä29
+.
+b\99
+.
+_D1
+
+
+       )
+
+14075 \ 1
+       #PFR29_D0
+pä29
+.
+b\99
+.
+_D0
+
+
+       )
+
+14076 
+__IO_EXTERN
+EPFR14STR
+       g\95ä14
+;
+
+14077 \ 1
+       #EPFR14
+\95ä14
+.
+by\8b
+
+
+       )
+
+14078 \ 1
+       #EPFR14_D7
+\95ä14
+.
+b\99
+.
+_D7
+
+
+       )
+
+14079 \ 1
+       #EPFR14_D6
+\95ä14
+.
+b\99
+.
+_D6
+
+
+       )
+
+14080 \ 1
+       #EPFR14_D5
+\95ä14
+.
+b\99
+.
+_D5
+
+
+       )
+
+14081 \ 1
+       #EPFR14_D4
+\95ä14
+.
+b\99
+.
+_D4
+
+
+       )
+
+14082 \ 1
+       #EPFR14_D3
+\95ä14
+.
+b\99
+.
+_D3
+
+
+       )
+
+14083 \ 1
+       #EPFR14_D2
+\95ä14
+.
+b\99
+.
+_D2
+
+
+       )
+
+14084 \ 1
+       #EPFR14_D1
+\95ä14
+.
+b\99
+.
+_D1
+
+
+       )
+
+14085 \ 1
+       #EPFR14_D0
+\95ä14
+.
+b\99
+.
+_D0
+
+
+       )
+
+14086 
+__IO_EXTERN
+EPFR15STR
+       g\95ä15
+;
+
+14087 \ 1
+       #EPFR15
+\95ä15
+.
+by\8b
+
+
+       )
+
+14088 \ 1
+       #EPFR15_D7
+\95ä15
+.
+b\99
+.
+_D7
+
+
+       )
+
+14089 \ 1
+       #EPFR15_D6
+\95ä15
+.
+b\99
+.
+_D6
+
+
+       )
+
+14090 \ 1
+       #EPFR15_D5
+\95ä15
+.
+b\99
+.
+_D5
+
+
+       )
+
+14091 \ 1
+       #EPFR15_D4
+\95ä15
+.
+b\99
+.
+_D4
+
+
+       )
+
+14092 \ 1
+       #EPFR15_D3
+\95ä15
+.
+b\99
+.
+_D3
+
+
+       )
+
+14093 \ 1
+       #EPFR15_D2
+\95ä15
+.
+b\99
+.
+_D2
+
+
+       )
+
+14094 \ 1
+       #EPFR15_D1
+\95ä15
+.
+b\99
+.
+_D1
+
+
+       )
+
+14095 \ 1
+       #EPFR15_D0
+\95ä15
+.
+b\99
+.
+_D0
+
+
+       )
+
+14096 
+__IO_EXTERN
+EPFR16STR
+       g\95ä16
+;
+
+14097 \ 1
+       #EPFR16
+\95ä16
+.
+by\8b
+
+
+       )
+
+14098 \ 1
+       #EPFR16_D7
+\95ä16
+.
+b\99
+.
+_D7
+
+
+       )
+
+14099 
+__IO_EXTERN
+IO_BYTE
+       g\95ä17
+;
+
+14100 \ 1
+       #EPFR17
+\95ä17
+
+
+       )
+
+14101 
+__IO_EXTERN
+EPFR18STR
+       g\95ä18
+;
+
+14102 \ 1
+       #EPFR18
+\95ä18
+.
+by\8b
+
+
+       )
+
+14103 \ 1
+       #EPFR18_D6
+\95ä18
+.
+b\99
+.
+_D6
+
+
+       )
+
+14104 \ 1
+       #EPFR18_D2
+\95ä18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14105 
+__IO_EXTERN
+EPFR19STR
+       g\95ä19
+;
+
+14106 \ 1
+       #EPFR19
+\95ä19
+.
+by\8b
+
+
+       )
+
+14107 \ 1
+       #EPFR19_D6
+\95ä19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14108 \ 1
+       #EPFR19_D2
+\95ä19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14109 
+__IO_EXTERN
+EPFR20STR
+       g\95ä20
+;
+
+14110 \ 1
+       #EPFR20
+\95ä20
+.
+by\8b
+
+
+       )
+
+14111 \ 1
+       #EPFR20_D6
+\95ä20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14112 \ 1
+       #EPFR20_D2
+\95ä20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14113 
+__IO_EXTERN
+EPFR21STR
+       g\95ä21
+;
+
+14114 \ 1
+       #EPFR21
+\95ä21
+.
+by\8b
+
+
+       )
+
+14115 \ 1
+       #EPFR21_D6
+\95ä21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14116 \ 1
+       #EPFR21_D2
+\95ä21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14117 
+__IO_EXTERN
+IO_BYTE
+       g\95ä22
+;
+
+14118 \ 1
+       #EPFR22
+\95ä22
+
+
+       )
+
+14119 
+__IO_EXTERN
+IO_BYTE
+       g\95ä24
+;
+
+14120 \ 1
+       #EPFR24
+\95ä24
+
+
+       )
+
+14121 
+__IO_EXTERN
+EPFR26STR
+       g\95ä26
+;
+
+14122 \ 1
+       #EPFR26
+\95ä26
+.
+by\8b
+
+
+       )
+
+14123 \ 1
+       #EPFR26_D1
+\95ä26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14124 \ 1
+       #EPFR26_D0
+\95ä26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14125 
+__IO_EXTERN
+EPFR27STR
+       g\95ä27
+;
+
+14126 \ 1
+       #EPFR27
+\95ä27
+.
+by\8b
+
+
+       )
+
+14127 \ 1
+       #EPFR27_D7
+\95ä27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14128 \ 1
+       #EPFR27_D6
+\95ä27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14129 \ 1
+       #EPFR27_D5
+\95ä27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14130 \ 1
+       #EPFR27_D4
+\95ä27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14131 \ 1
+       #EPFR27_D3
+\95ä27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14132 \ 1
+       #EPFR27_D2
+\95ä27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14133 \ 1
+       #EPFR27_D1
+\95ä27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14134 \ 1
+       #EPFR27_D0
+\95ä27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14135 
+__IO_EXTERN
+IO_BYTE
+       g\95ä29
+;
+
+14136 \ 1
+       #EPFR29
+\95ä29
+
+
+       )
+
+14137 
+__IO_EXTERN
+PODR14STR
+       gpodr14
+;
+
+14138 \ 1
+       #PODR14
+podr14
+.
+by\8b
+
+
+       )
+
+14139 \ 1
+       #PODR14_D7
+podr14
+.
+b\99
+.
+_D7
+
+
+       )
+
+14140 \ 1
+       #PODR14_D6
+podr14
+.
+b\99
+.
+_D6
+
+
+       )
+
+14141 \ 1
+       #PODR14_D5
+podr14
+.
+b\99
+.
+_D5
+
+
+       )
+
+14142 \ 1
+       #PODR14_D4
+podr14
+.
+b\99
+.
+_D4
+
+
+       )
+
+14143 \ 1
+       #PODR14_D3
+podr14
+.
+b\99
+.
+_D3
+
+
+       )
+
+14144 \ 1
+       #PODR14_D2
+podr14
+.
+b\99
+.
+_D2
+
+
+       )
+
+14145 \ 1
+       #PODR14_D1
+podr14
+.
+b\99
+.
+_D1
+
+
+       )
+
+14146 \ 1
+       #PODR14_D0
+podr14
+.
+b\99
+.
+_D0
+
+
+       )
+
+14147 
+__IO_EXTERN
+PODR15STR
+       gpodr15
+;
+
+14148 \ 1
+       #PODR15
+podr15
+.
+by\8b
+
+
+       )
+
+14149 \ 1
+       #PODR15_D7
+podr15
+.
+b\99
+.
+_D7
+
+
+       )
+
+14150 \ 1
+       #PODR15_D6
+podr15
+.
+b\99
+.
+_D6
+
+
+       )
+
+14151 \ 1
+       #PODR15_D5
+podr15
+.
+b\99
+.
+_D5
+
+
+       )
+
+14152 \ 1
+       #PODR15_D4
+podr15
+.
+b\99
+.
+_D4
+
+
+       )
+
+14153 \ 1
+       #PODR15_D3
+podr15
+.
+b\99
+.
+_D3
+
+
+       )
+
+14154 \ 1
+       #PODR15_D2
+podr15
+.
+b\99
+.
+_D2
+
+
+       )
+
+14155 \ 1
+       #PODR15_D1
+podr15
+.
+b\99
+.
+_D1
+
+
+       )
+
+14156 \ 1
+       #PODR15_D0
+podr15
+.
+b\99
+.
+_D0
+
+
+       )
+
+14157 
+__IO_EXTERN
+PODR16STR
+       gpodr16
+;
+
+14158 \ 1
+       #PODR16
+podr16
+.
+by\8b
+
+
+       )
+
+14159 \ 1
+       #PODR16_D7
+podr16
+.
+b\99
+.
+_D7
+
+
+       )
+
+14160 \ 1
+       #PODR16_D6
+podr16
+.
+b\99
+.
+_D6
+
+
+       )
+
+14161 \ 1
+       #PODR16_D5
+podr16
+.
+b\99
+.
+_D5
+
+
+       )
+
+14162 \ 1
+       #PODR16_D4
+podr16
+.
+b\99
+.
+_D4
+
+
+       )
+
+14163 \ 1
+       #PODR16_D3
+podr16
+.
+b\99
+.
+_D3
+
+
+       )
+
+14164 \ 1
+       #PODR16_D2
+podr16
+.
+b\99
+.
+_D2
+
+
+       )
+
+14165 \ 1
+       #PODR16_D1
+podr16
+.
+b\99
+.
+_D1
+
+
+       )
+
+14166 \ 1
+       #PODR16_D0
+podr16
+.
+b\99
+.
+_D0
+
+
+       )
+
+14167 
+__IO_EXTERN
+PODR17STR
+       gpodr17
+;
+
+14168 \ 1
+       #PODR17
+podr17
+.
+by\8b
+
+
+       )
+
+14169 \ 1
+       #PODR17_D7
+podr17
+.
+b\99
+.
+_D7
+
+
+       )
+
+14170 \ 1
+       #PODR17_D6
+podr17
+.
+b\99
+.
+_D6
+
+
+       )
+
+14171 \ 1
+       #PODR17_D5
+podr17
+.
+b\99
+.
+_D5
+
+
+       )
+
+14172 \ 1
+       #PODR17_D4
+podr17
+.
+b\99
+.
+_D4
+
+
+       )
+
+14173 \ 1
+       #PODR17_D3
+podr17
+.
+b\99
+.
+_D3
+
+
+       )
+
+14174 \ 1
+       #PODR17_D2
+podr17
+.
+b\99
+.
+_D2
+
+
+       )
+
+14175 \ 1
+       #PODR17_D1
+podr17
+.
+b\99
+.
+_D1
+
+
+       )
+
+14176 \ 1
+       #PODR17_D0
+podr17
+.
+b\99
+.
+_D0
+
+
+       )
+
+14177 
+__IO_EXTERN
+PODR18STR
+       gpodr18
+;
+
+14178 \ 1
+       #PODR18
+podr18
+.
+by\8b
+
+
+       )
+
+14179 \ 1
+       #PODR18_D6
+podr18
+.
+b\99
+.
+_D6
+
+
+       )
+
+14180 \ 1
+       #PODR18_D2
+podr18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14181 
+__IO_EXTERN
+PODR19STR
+       gpodr19
+;
+
+14182 \ 1
+       #PODR19
+podr19
+.
+by\8b
+
+
+       )
+
+14183 \ 1
+       #PODR19_D6
+podr19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14184 \ 1
+       #PODR19_D2
+podr19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14185 \ 1
+       #PODR19_D1
+podr19
+.
+b\99
+.
+_D1
+
+
+       )
+
+14186 \ 1
+       #PODR19_D0
+podr19
+.
+b\99
+.
+_D0
+
+
+       )
+
+14187 
+__IO_EXTERN
+PODR20STR
+       gpodr20
+;
+
+14188 \ 1
+       #PODR20
+podr20
+.
+by\8b
+
+
+       )
+
+14189 \ 1
+       #PODR20_D7
+podr20
+.
+b\99
+.
+_D7
+
+
+       )
+
+14190 \ 1
+       #PODR20_D6
+podr20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14191 \ 1
+       #PODR20_D5
+podr20
+.
+b\99
+.
+_D5
+
+
+       )
+
+14192 \ 1
+       #PODR20_D4
+podr20
+.
+b\99
+.
+_D4
+
+
+       )
+
+14193 \ 1
+       #PODR20_D3
+podr20
+.
+b\99
+.
+_D3
+
+
+       )
+
+14194 \ 1
+       #PODR20_D2
+podr20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14195 \ 1
+       #PODR20_D1
+podr20
+.
+b\99
+.
+_D1
+
+
+       )
+
+14196 \ 1
+       #PODR20_D0
+podr20
+.
+b\99
+.
+_D0
+
+
+       )
+
+14197 
+__IO_EXTERN
+PODR21STR
+       gpodr21
+;
+
+14198 \ 1
+       #PODR21
+podr21
+.
+by\8b
+
+
+       )
+
+14199 \ 1
+       #PODR21_D7
+podr21
+.
+b\99
+.
+_D7
+
+
+       )
+
+14200 \ 1
+       #PODR21_D6
+podr21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14201 \ 1
+       #PODR21_D5
+podr21
+.
+b\99
+.
+_D5
+
+
+       )
+
+14202 \ 1
+       #PODR21_D4
+podr21
+.
+b\99
+.
+_D4
+
+
+       )
+
+14203 \ 1
+       #PODR21_D3
+podr21
+.
+b\99
+.
+_D3
+
+
+       )
+
+14204 \ 1
+       #PODR21_D2
+podr21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14205 \ 1
+       #PODR21_D1
+podr21
+.
+b\99
+.
+_D1
+
+
+       )
+
+14206 \ 1
+       #PODR21_D0
+podr21
+.
+b\99
+.
+_D0
+
+
+       )
+
+14207 
+__IO_EXTERN
+PODR22STR
+       gpodr22
+;
+
+14208 \ 1
+       #PODR22
+podr22
+.
+by\8b
+
+
+       )
+
+14209 \ 1
+       #PODR22_D5
+podr22
+.
+b\99
+.
+_D5
+
+
+       )
+
+14210 \ 1
+       #PODR22_D4
+podr22
+.
+b\99
+.
+_D4
+
+
+       )
+
+14211 \ 1
+       #PODR22_D1
+podr22
+.
+b\99
+.
+_D1
+
+
+       )
+
+14212 \ 1
+       #PODR22_D0
+podr22
+.
+b\99
+.
+_D0
+
+
+       )
+
+14213 
+__IO_EXTERN
+PODR24STR
+       gpodr24
+;
+
+14214 \ 1
+       #PODR24
+podr24
+.
+by\8b
+
+
+       )
+
+14215 \ 1
+       #PODR24_D7
+podr24
+.
+b\99
+.
+_D7
+
+
+       )
+
+14216 \ 1
+       #PODR24_D6
+podr24
+.
+b\99
+.
+_D6
+
+
+       )
+
+14217 \ 1
+       #PODR24_D5
+podr24
+.
+b\99
+.
+_D5
+
+
+       )
+
+14218 \ 1
+       #PODR24_D4
+podr24
+.
+b\99
+.
+_D4
+
+
+       )
+
+14219 \ 1
+       #PODR24_D3
+podr24
+.
+b\99
+.
+_D3
+
+
+       )
+
+14220 \ 1
+       #PODR24_D2
+podr24
+.
+b\99
+.
+_D2
+
+
+       )
+
+14221 \ 1
+       #PODR24_D1
+podr24
+.
+b\99
+.
+_D1
+
+
+       )
+
+14222 \ 1
+       #PODR24_D0
+podr24
+.
+b\99
+.
+_D0
+
+
+       )
+
+14223 
+__IO_EXTERN
+PODR26STR
+       gpodr26
+;
+
+14224 \ 1
+       #PODR26
+podr26
+.
+by\8b
+
+
+       )
+
+14225 \ 1
+       #PODR26_D1
+podr26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14226 \ 1
+       #PODR26_D0
+podr26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14227 
+__IO_EXTERN
+PODR27STR
+       gpodr27
+;
+
+14228 \ 1
+       #PODR27
+podr27
+.
+by\8b
+
+
+       )
+
+14229 \ 1
+       #PODR27_D7
+podr27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14230 \ 1
+       #PODR27_D6
+podr27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14231 \ 1
+       #PODR27_D5
+podr27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14232 \ 1
+       #PODR27_D4
+podr27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14233 \ 1
+       #PODR27_D3
+podr27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14234 \ 1
+       #PODR27_D2
+podr27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14235 \ 1
+       #PODR27_D1
+podr27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14236 \ 1
+       #PODR27_D0
+podr27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14237 
+__IO_EXTERN
+PODR28STR
+       gpodr28
+;
+
+14238 \ 1
+       #PODR28
+podr28
+.
+by\8b
+
+
+       )
+
+14239 \ 1
+       #PODR28_D7
+podr28
+.
+b\99
+.
+_D7
+
+
+       )
+
+14240 \ 1
+       #PODR28_D6
+podr28
+.
+b\99
+.
+_D6
+
+
+       )
+
+14241 \ 1
+       #PODR28_D5
+podr28
+.
+b\99
+.
+_D5
+
+
+       )
+
+14242 \ 1
+       #PODR28_D4
+podr28
+.
+b\99
+.
+_D4
+
+
+       )
+
+14243 \ 1
+       #PODR28_D3
+podr28
+.
+b\99
+.
+_D3
+
+
+       )
+
+14244 \ 1
+       #PODR28_D2
+podr28
+.
+b\99
+.
+_D2
+
+
+       )
+
+14245 \ 1
+       #PODR28_D1
+podr28
+.
+b\99
+.
+_D1
+
+
+       )
+
+14246 \ 1
+       #PODR28_D0
+podr28
+.
+b\99
+.
+_D0
+
+
+       )
+
+14247 
+__IO_EXTERN
+PODR29STR
+       gpodr29
+;
+
+14248 \ 1
+       #PODR29
+podr29
+.
+by\8b
+
+
+       )
+
+14249 \ 1
+       #PODR29_D7
+podr29
+.
+b\99
+.
+_D7
+
+
+       )
+
+14250 \ 1
+       #PODR29_D6
+podr29
+.
+b\99
+.
+_D6
+
+
+       )
+
+14251 \ 1
+       #PODR29_D5
+podr29
+.
+b\99
+.
+_D5
+
+
+       )
+
+14252 \ 1
+       #PODR29_D4
+podr29
+.
+b\99
+.
+_D4
+
+
+       )
+
+14253 \ 1
+       #PODR29_D3
+podr29
+.
+b\99
+.
+_D3
+
+
+       )
+
+14254 \ 1
+       #PODR29_D2
+podr29
+.
+b\99
+.
+_D2
+
+
+       )
+
+14255 \ 1
+       #PODR29_D1
+podr29
+.
+b\99
+.
+_D1
+
+
+       )
+
+14256 \ 1
+       #PODR29_D0
+podr29
+.
+b\99
+.
+_D0
+
+
+       )
+
+14257 
+__IO_EXTERN
+PILR14STR
+       gp\9er14
+;
+
+14258 \ 1
+       #PILR14
+p\9er14
+.
+by\8b
+
+
+       )
+
+14259 \ 1
+       #PILR14_D7
+p\9er14
+.
+b\99
+.
+_D7
+
+
+       )
+
+14260 \ 1
+       #PILR14_D6
+p\9er14
+.
+b\99
+.
+_D6
+
+
+       )
+
+14261 \ 1
+       #PILR14_D5
+p\9er14
+.
+b\99
+.
+_D5
+
+
+       )
+
+14262 \ 1
+       #PILR14_D4
+p\9er14
+.
+b\99
+.
+_D4
+
+
+       )
+
+14263 \ 1
+       #PILR14_D3
+p\9er14
+.
+b\99
+.
+_D3
+
+
+       )
+
+14264 \ 1
+       #PILR14_D2
+p\9er14
+.
+b\99
+.
+_D2
+
+
+       )
+
+14265 \ 1
+       #PILR14_D1
+p\9er14
+.
+b\99
+.
+_D1
+
+
+       )
+
+14266 \ 1
+       #PILR14_D0
+p\9er14
+.
+b\99
+.
+_D0
+
+
+       )
+
+14267 
+__IO_EXTERN
+PILR15STR
+       gp\9er15
+;
+
+14268 \ 1
+       #PILR15
+p\9er15
+.
+by\8b
+
+
+       )
+
+14269 \ 1
+       #PILR15_D7
+p\9er15
+.
+b\99
+.
+_D7
+
+
+       )
+
+14270 \ 1
+       #PILR15_D6
+p\9er15
+.
+b\99
+.
+_D6
+
+
+       )
+
+14271 \ 1
+       #PILR15_D5
+p\9er15
+.
+b\99
+.
+_D5
+
+
+       )
+
+14272 \ 1
+       #PILR15_D4
+p\9er15
+.
+b\99
+.
+_D4
+
+
+       )
+
+14273 \ 1
+       #PILR15_D3
+p\9er15
+.
+b\99
+.
+_D3
+
+
+       )
+
+14274 \ 1
+       #PILR15_D2
+p\9er15
+.
+b\99
+.
+_D2
+
+
+       )
+
+14275 \ 1
+       #PILR15_D1
+p\9er15
+.
+b\99
+.
+_D1
+
+
+       )
+
+14276 \ 1
+       #PILR15_D0
+p\9er15
+.
+b\99
+.
+_D0
+
+
+       )
+
+14277 
+__IO_EXTERN
+PILR16STR
+       gp\9er16
+;
+
+14278 \ 1
+       #PILR16
+p\9er16
+.
+by\8b
+
+
+       )
+
+14279 \ 1
+       #PILR16_D7
+p\9er16
+.
+b\99
+.
+_D7
+
+
+       )
+
+14280 \ 1
+       #PILR16_D6
+p\9er16
+.
+b\99
+.
+_D6
+
+
+       )
+
+14281 \ 1
+       #PILR16_D5
+p\9er16
+.
+b\99
+.
+_D5
+
+
+       )
+
+14282 \ 1
+       #PILR16_D4
+p\9er16
+.
+b\99
+.
+_D4
+
+
+       )
+
+14283 \ 1
+       #PILR16_D3
+p\9er16
+.
+b\99
+.
+_D3
+
+
+       )
+
+14284 \ 1
+       #PILR16_D2
+p\9er16
+.
+b\99
+.
+_D2
+
+
+       )
+
+14285 \ 1
+       #PILR16_D1
+p\9er16
+.
+b\99
+.
+_D1
+
+
+       )
+
+14286 \ 1
+       #PILR16_D0
+p\9er16
+.
+b\99
+.
+_D0
+
+
+       )
+
+14287 
+__IO_EXTERN
+PILR17STR
+       gp\9er17
+;
+
+14288 \ 1
+       #PILR17
+p\9er17
+.
+by\8b
+
+
+       )
+
+14289 \ 1
+       #PILR17_D7
+p\9er17
+.
+b\99
+.
+_D7
+
+
+       )
+
+14290 \ 1
+       #PILR17_D6
+p\9er17
+.
+b\99
+.
+_D6
+
+
+       )
+
+14291 \ 1
+       #PILR17_D5
+p\9er17
+.
+b\99
+.
+_D5
+
+
+       )
+
+14292 \ 1
+       #PILR17_D4
+p\9er17
+.
+b\99
+.
+_D4
+
+
+       )
+
+14293 \ 1
+       #PILR17_D3
+p\9er17
+.
+b\99
+.
+_D3
+
+
+       )
+
+14294 \ 1
+       #PILR17_D2
+p\9er17
+.
+b\99
+.
+_D2
+
+
+       )
+
+14295 \ 1
+       #PILR17_D1
+p\9er17
+.
+b\99
+.
+_D1
+
+
+       )
+
+14296 \ 1
+       #PILR17_D0
+p\9er17
+.
+b\99
+.
+_D0
+
+
+       )
+
+14297 
+__IO_EXTERN
+PILR18STR
+       gp\9er18
+;
+
+14298 \ 1
+       #PILR18
+p\9er18
+.
+by\8b
+
+
+       )
+
+14299 \ 1
+       #PILR18_D6
+p\9er18
+.
+b\99
+.
+_D6
+
+
+       )
+
+14300 \ 1
+       #PILR18_D2
+p\9er18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14301 
+__IO_EXTERN
+PILR19STR
+       gp\9er19
+;
+
+14302 \ 1
+       #PILR19
+p\9er19
+.
+by\8b
+
+
+       )
+
+14303 \ 1
+       #PILR19_D6
+p\9er19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14304 \ 1
+       #PILR19_D2
+p\9er19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14305 \ 1
+       #PILR19_D1
+p\9er19
+.
+b\99
+.
+_D1
+
+
+       )
+
+14306 \ 1
+       #PILR19_D0
+p\9er19
+.
+b\99
+.
+_D0
+
+
+       )
+
+14307 
+__IO_EXTERN
+PILR20STR
+       gp\9er20
+;
+
+14308 \ 1
+       #PILR20
+p\9er20
+.
+by\8b
+
+
+       )
+
+14309 \ 1
+       #PILR20_D7
+p\9er20
+.
+b\99
+.
+_D7
+
+
+       )
+
+14310 \ 1
+       #PILR20_D6
+p\9er20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14311 \ 1
+       #PILR20_D5
+p\9er20
+.
+b\99
+.
+_D5
+
+
+       )
+
+14312 \ 1
+       #PILR20_D4
+p\9er20
+.
+b\99
+.
+_D4
+
+
+       )
+
+14313 \ 1
+       #PILR20_D3
+p\9er20
+.
+b\99
+.
+_D3
+
+
+       )
+
+14314 \ 1
+       #PILR20_D2
+p\9er20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14315 \ 1
+       #PILR20_D1
+p\9er20
+.
+b\99
+.
+_D1
+
+
+       )
+
+14316 \ 1
+       #PILR20_D0
+p\9er20
+.
+b\99
+.
+_D0
+
+
+       )
+
+14317 
+__IO_EXTERN
+PILR21STR
+       gp\9er21
+;
+
+14318 \ 1
+       #PILR21
+p\9er21
+.
+by\8b
+
+
+       )
+
+14319 \ 1
+       #PILR21_D7
+p\9er21
+.
+b\99
+.
+_D7
+
+
+       )
+
+14320 \ 1
+       #PILR21_D6
+p\9er21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14321 \ 1
+       #PILR21_D5
+p\9er21
+.
+b\99
+.
+_D5
+
+
+       )
+
+14322 \ 1
+       #PILR21_D4
+p\9er21
+.
+b\99
+.
+_D4
+
+
+       )
+
+14323 \ 1
+       #PILR21_D3
+p\9er21
+.
+b\99
+.
+_D3
+
+
+       )
+
+14324 \ 1
+       #PILR21_D2
+p\9er21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14325 \ 1
+       #PILR21_D1
+p\9er21
+.
+b\99
+.
+_D1
+
+
+       )
+
+14326 \ 1
+       #PILR21_D0
+p\9er21
+.
+b\99
+.
+_D0
+
+
+       )
+
+14327 
+__IO_EXTERN
+PILR22STR
+       gp\9er22
+;
+
+14328 \ 1
+       #PILR22
+p\9er22
+.
+by\8b
+
+
+       )
+
+14329 \ 1
+       #PILR22_D5
+p\9er22
+.
+b\99
+.
+_D5
+
+
+       )
+
+14330 \ 1
+       #PILR22_D4
+p\9er22
+.
+b\99
+.
+_D4
+
+
+       )
+
+14331 \ 1
+       #PILR22_D1
+p\9er22
+.
+b\99
+.
+_D1
+
+
+       )
+
+14332 \ 1
+       #PILR22_D0
+p\9er22
+.
+b\99
+.
+_D0
+
+
+       )
+
+14333 
+__IO_EXTERN
+PILR24STR
+       gp\9er24
+;
+
+14334 \ 1
+       #PILR24
+p\9er24
+.
+by\8b
+
+
+       )
+
+14335 \ 1
+       #PILR24_D7
+p\9er24
+.
+b\99
+.
+_D7
+
+
+       )
+
+14336 \ 1
+       #PILR24_D6
+p\9er24
+.
+b\99
+.
+_D6
+
+
+       )
+
+14337 \ 1
+       #PILR24_D5
+p\9er24
+.
+b\99
+.
+_D5
+
+
+       )
+
+14338 \ 1
+       #PILR24_D4
+p\9er24
+.
+b\99
+.
+_D4
+
+
+       )
+
+14339 \ 1
+       #PILR24_D3
+p\9er24
+.
+b\99
+.
+_D3
+
+
+       )
+
+14340 \ 1
+       #PILR24_D2
+p\9er24
+.
+b\99
+.
+_D2
+
+
+       )
+
+14341 \ 1
+       #PILR24_D1
+p\9er24
+.
+b\99
+.
+_D1
+
+
+       )
+
+14342 \ 1
+       #PILR24_D0
+p\9er24
+.
+b\99
+.
+_D0
+
+
+       )
+
+14343 
+__IO_EXTERN
+PILR26STR
+       gp\9er26
+;
+
+14344 \ 1
+       #PILR26
+p\9er26
+.
+by\8b
+
+
+       )
+
+14345 \ 1
+       #PILR26_D1
+p\9er26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14346 \ 1
+       #PILR26_D0
+p\9er26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14347 
+__IO_EXTERN
+PILR27STR
+       gp\9er27
+;
+
+14348 \ 1
+       #PILR27
+p\9er27
+.
+by\8b
+
+
+       )
+
+14349 \ 1
+       #PILR27_D7
+p\9er27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14350 \ 1
+       #PILR27_D6
+p\9er27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14351 \ 1
+       #PILR27_D5
+p\9er27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14352 \ 1
+       #PILR27_D4
+p\9er27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14353 \ 1
+       #PILR27_D3
+p\9er27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14354 \ 1
+       #PILR27_D2
+p\9er27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14355 \ 1
+       #PILR27_D1
+p\9er27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14356 \ 1
+       #PILR27_D0
+p\9er27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14357 
+__IO_EXTERN
+PILR28STR
+       gp\9er28
+;
+
+14358 \ 1
+       #PILR28
+p\9er28
+.
+by\8b
+
+
+       )
+
+14359 \ 1
+       #PILR28_D7
+p\9er28
+.
+b\99
+.
+_D7
+
+
+       )
+
+14360 \ 1
+       #PILR28_D6
+p\9er28
+.
+b\99
+.
+_D6
+
+
+       )
+
+14361 \ 1
+       #PILR28_D5
+p\9er28
+.
+b\99
+.
+_D5
+
+
+       )
+
+14362 \ 1
+       #PILR28_D4
+p\9er28
+.
+b\99
+.
+_D4
+
+
+       )
+
+14363 \ 1
+       #PILR28_D3
+p\9er28
+.
+b\99
+.
+_D3
+
+
+       )
+
+14364 \ 1
+       #PILR28_D2
+p\9er28
+.
+b\99
+.
+_D2
+
+
+       )
+
+14365 \ 1
+       #PILR28_D1
+p\9er28
+.
+b\99
+.
+_D1
+
+
+       )
+
+14366 \ 1
+       #PILR28_D0
+p\9er28
+.
+b\99
+.
+_D0
+
+
+       )
+
+14367 
+__IO_EXTERN
+PILR29STR
+       gp\9er29
+;
+
+14368 \ 1
+       #PILR29
+p\9er29
+.
+by\8b
+
+
+       )
+
+14369 \ 1
+       #PILR29_D7
+p\9er29
+.
+b\99
+.
+_D7
+
+
+       )
+
+14370 \ 1
+       #PILR29_D6
+p\9er29
+.
+b\99
+.
+_D6
+
+
+       )
+
+14371 \ 1
+       #PILR29_D5
+p\9er29
+.
+b\99
+.
+_D5
+
+
+       )
+
+14372 \ 1
+       #PILR29_D4
+p\9er29
+.
+b\99
+.
+_D4
+
+
+       )
+
+14373 \ 1
+       #PILR29_D3
+p\9er29
+.
+b\99
+.
+_D3
+
+
+       )
+
+14374 \ 1
+       #PILR29_D2
+p\9er29
+.
+b\99
+.
+_D2
+
+
+       )
+
+14375 \ 1
+       #PILR29_D1
+p\9er29
+.
+b\99
+.
+_D1
+
+
+       )
+
+14376 \ 1
+       #PILR29_D0
+p\9er29
+.
+b\99
+.
+_D0
+
+
+       )
+
+14377 
+__IO_EXTERN
+EPILR14STR
+       g\95\9er14
+;
+
+14378 \ 1
+       #EPILR14
+\95\9er14
+.
+by\8b
+
+
+       )
+
+14379 \ 1
+       #EPILR14_D7
+\95\9er14
+.
+b\99
+.
+_D7
+
+
+       )
+
+14380 \ 1
+       #EPILR14_D6
+\95\9er14
+.
+b\99
+.
+_D6
+
+
+       )
+
+14381 \ 1
+       #EPILR14_D5
+\95\9er14
+.
+b\99
+.
+_D5
+
+
+       )
+
+14382 \ 1
+       #EPILR14_D4
+\95\9er14
+.
+b\99
+.
+_D4
+
+
+       )
+
+14383 \ 1
+       #EPILR14_D3
+\95\9er14
+.
+b\99
+.
+_D3
+
+
+       )
+
+14384 \ 1
+       #EPILR14_D2
+\95\9er14
+.
+b\99
+.
+_D2
+
+
+       )
+
+14385 \ 1
+       #EPILR14_D1
+\95\9er14
+.
+b\99
+.
+_D1
+
+
+       )
+
+14386 \ 1
+       #EPILR14_D0
+\95\9er14
+.
+b\99
+.
+_D0
+
+
+       )
+
+14387 
+__IO_EXTERN
+EPILR15STR
+       g\95\9er15
+;
+
+14388 \ 1
+       #EPILR15
+\95\9er15
+.
+by\8b
+
+
+       )
+
+14389 \ 1
+       #EPILR15_D7
+\95\9er15
+.
+b\99
+.
+_D7
+
+
+       )
+
+14390 \ 1
+       #EPILR15_D6
+\95\9er15
+.
+b\99
+.
+_D6
+
+
+       )
+
+14391 \ 1
+       #EPILR15_D5
+\95\9er15
+.
+b\99
+.
+_D5
+
+
+       )
+
+14392 \ 1
+       #EPILR15_D4
+\95\9er15
+.
+b\99
+.
+_D4
+
+
+       )
+
+14393 \ 1
+       #EPILR15_D3
+\95\9er15
+.
+b\99
+.
+_D3
+
+
+       )
+
+14394 \ 1
+       #EPILR15_D2
+\95\9er15
+.
+b\99
+.
+_D2
+
+
+       )
+
+14395 \ 1
+       #EPILR15_D1
+\95\9er15
+.
+b\99
+.
+_D1
+
+
+       )
+
+14396 \ 1
+       #EPILR15_D0
+\95\9er15
+.
+b\99
+.
+_D0
+
+
+       )
+
+14397 
+__IO_EXTERN
+EPILR16STR
+       g\95\9er16
+;
+
+14398 \ 1
+       #EPILR16
+\95\9er16
+.
+by\8b
+
+
+       )
+
+14399 \ 1
+       #EPILR16_D7
+\95\9er16
+.
+b\99
+.
+_D7
+
+
+       )
+
+14400 \ 1
+       #EPILR16_D6
+\95\9er16
+.
+b\99
+.
+_D6
+
+
+       )
+
+14401 \ 1
+       #EPILR16_D5
+\95\9er16
+.
+b\99
+.
+_D5
+
+
+       )
+
+14402 \ 1
+       #EPILR16_D4
+\95\9er16
+.
+b\99
+.
+_D4
+
+
+       )
+
+14403 \ 1
+       #EPILR16_D3
+\95\9er16
+.
+b\99
+.
+_D3
+
+
+       )
+
+14404 \ 1
+       #EPILR16_D2
+\95\9er16
+.
+b\99
+.
+_D2
+
+
+       )
+
+14405 \ 1
+       #EPILR16_D1
+\95\9er16
+.
+b\99
+.
+_D1
+
+
+       )
+
+14406 \ 1
+       #EPILR16_D0
+\95\9er16
+.
+b\99
+.
+_D0
+
+
+       )
+
+14407 
+__IO_EXTERN
+EPILR17STR
+       g\95\9er17
+;
+
+14408 \ 1
+       #EPILR17
+\95\9er17
+.
+by\8b
+
+
+       )
+
+14409 \ 1
+       #EPILR17_D7
+\95\9er17
+.
+b\99
+.
+_D7
+
+
+       )
+
+14410 \ 1
+       #EPILR17_D6
+\95\9er17
+.
+b\99
+.
+_D6
+
+
+       )
+
+14411 \ 1
+       #EPILR17_D5
+\95\9er17
+.
+b\99
+.
+_D5
+
+
+       )
+
+14412 \ 1
+       #EPILR17_D4
+\95\9er17
+.
+b\99
+.
+_D4
+
+
+       )
+
+14413 \ 1
+       #EPILR17_D3
+\95\9er17
+.
+b\99
+.
+_D3
+
+
+       )
+
+14414 \ 1
+       #EPILR17_D2
+\95\9er17
+.
+b\99
+.
+_D2
+
+
+       )
+
+14415 \ 1
+       #EPILR17_D1
+\95\9er17
+.
+b\99
+.
+_D1
+
+
+       )
+
+14416 \ 1
+       #EPILR17_D0
+\95\9er17
+.
+b\99
+.
+_D0
+
+
+       )
+
+14417 
+__IO_EXTERN
+EPILR18STR
+       g\95\9er18
+;
+
+14418 \ 1
+       #EPILR18
+\95\9er18
+.
+by\8b
+
+
+       )
+
+14419 \ 1
+       #EPILR18_D6
+\95\9er18
+.
+b\99
+.
+_D6
+
+
+       )
+
+14420 \ 1
+       #EPILR18_D2
+\95\9er18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14421 
+__IO_EXTERN
+EPILR19STR
+       g\95\9er19
+;
+
+14422 \ 1
+       #EPILR19
+\95\9er19
+.
+by\8b
+
+
+       )
+
+14423 \ 1
+       #EPILR19_D6
+\95\9er19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14424 \ 1
+       #EPILR19_D2
+\95\9er19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14425 \ 1
+       #EPILR19_D1
+\95\9er19
+.
+b\99
+.
+_D1
+
+
+       )
+
+14426 \ 1
+       #EPILR19_D0
+\95\9er19
+.
+b\99
+.
+_D0
+
+
+       )
+
+14427 
+__IO_EXTERN
+EPILR20STR
+       g\95\9er20
+;
+
+14428 \ 1
+       #EPILR20
+\95\9er20
+.
+by\8b
+
+
+       )
+
+14429 \ 1
+       #EPILR20_D7
+\95\9er20
+.
+b\99
+.
+_D7
+
+
+       )
+
+14430 \ 1
+       #EPILR20_D6
+\95\9er20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14431 \ 1
+       #EPILR20_D5
+\95\9er20
+.
+b\99
+.
+_D5
+
+
+       )
+
+14432 \ 1
+       #EPILR20_D4
+\95\9er20
+.
+b\99
+.
+_D4
+
+
+       )
+
+14433 \ 1
+       #EPILR20_D3
+\95\9er20
+.
+b\99
+.
+_D3
+
+
+       )
+
+14434 \ 1
+       #EPILR20_D2
+\95\9er20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14435 \ 1
+       #EPILR20_D1
+\95\9er20
+.
+b\99
+.
+_D1
+
+
+       )
+
+14436 \ 1
+       #EPILR20_D0
+\95\9er20
+.
+b\99
+.
+_D0
+
+
+       )
+
+14437 
+__IO_EXTERN
+EPILR21STR
+       g\95\9er21
+;
+
+14438 \ 1
+       #EPILR21
+\95\9er21
+.
+by\8b
+
+
+       )
+
+14439 \ 1
+       #EPILR21_D7
+\95\9er21
+.
+b\99
+.
+_D7
+
+
+       )
+
+14440 \ 1
+       #EPILR21_D6
+\95\9er21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14441 \ 1
+       #EPILR21_D5
+\95\9er21
+.
+b\99
+.
+_D5
+
+
+       )
+
+14442 \ 1
+       #EPILR21_D4
+\95\9er21
+.
+b\99
+.
+_D4
+
+
+       )
+
+14443 \ 1
+       #EPILR21_D3
+\95\9er21
+.
+b\99
+.
+_D3
+
+
+       )
+
+14444 \ 1
+       #EPILR21_D2
+\95\9er21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14445 \ 1
+       #EPILR21_D1
+\95\9er21
+.
+b\99
+.
+_D1
+
+
+       )
+
+14446 \ 1
+       #EPILR21_D0
+\95\9er21
+.
+b\99
+.
+_D0
+
+
+       )
+
+14447 
+__IO_EXTERN
+EPILR22STR
+       g\95\9er22
+;
+
+14448 \ 1
+       #EPILR22
+\95\9er22
+.
+by\8b
+
+
+       )
+
+14449 \ 1
+       #EPILR22_D5
+\95\9er22
+.
+b\99
+.
+_D5
+
+
+       )
+
+14450 \ 1
+       #EPILR22_D4
+\95\9er22
+.
+b\99
+.
+_D4
+
+
+       )
+
+14451 \ 1
+       #EPILR22_D1
+\95\9er22
+.
+b\99
+.
+_D1
+
+
+       )
+
+14452 \ 1
+       #EPILR22_D0
+\95\9er22
+.
+b\99
+.
+_D0
+
+
+       )
+
+14453 
+__IO_EXTERN
+EPILR24STR
+       g\95\9er24
+;
+
+14454 \ 1
+       #EPILR24
+\95\9er24
+.
+by\8b
+
+
+       )
+
+14455 \ 1
+       #EPILR24_D7
+\95\9er24
+.
+b\99
+.
+_D7
+
+
+       )
+
+14456 \ 1
+       #EPILR24_D6
+\95\9er24
+.
+b\99
+.
+_D6
+
+
+       )
+
+14457 \ 1
+       #EPILR24_D5
+\95\9er24
+.
+b\99
+.
+_D5
+
+
+       )
+
+14458 \ 1
+       #EPILR24_D4
+\95\9er24
+.
+b\99
+.
+_D4
+
+
+       )
+
+14459 \ 1
+       #EPILR24_D3
+\95\9er24
+.
+b\99
+.
+_D3
+
+
+       )
+
+14460 \ 1
+       #EPILR24_D2
+\95\9er24
+.
+b\99
+.
+_D2
+
+
+       )
+
+14461 \ 1
+       #EPILR24_D1
+\95\9er24
+.
+b\99
+.
+_D1
+
+
+       )
+
+14462 \ 1
+       #EPILR24_D0
+\95\9er24
+.
+b\99
+.
+_D0
+
+
+       )
+
+14463 
+__IO_EXTERN
+EPILR26STR
+       g\95\9er26
+;
+
+14464 \ 1
+       #EPILR26
+\95\9er26
+.
+by\8b
+
+
+       )
+
+14465 \ 1
+       #EPILR26_D1
+\95\9er26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14466 \ 1
+       #EPILR26_D0
+\95\9er26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14467 
+__IO_EXTERN
+EPILR27STR
+       g\95\9er27
+;
+
+14468 \ 1
+       #EPILR27
+\95\9er27
+.
+by\8b
+
+
+       )
+
+14469 \ 1
+       #EPILR27_D7
+\95\9er27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14470 \ 1
+       #EPILR27_D6
+\95\9er27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14471 \ 1
+       #EPILR27_D5
+\95\9er27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14472 \ 1
+       #EPILR27_D4
+\95\9er27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14473 \ 1
+       #EPILR27_D3
+\95\9er27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14474 \ 1
+       #EPILR27_D2
+\95\9er27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14475 \ 1
+       #EPILR27_D1
+\95\9er27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14476 \ 1
+       #EPILR27_D0
+\95\9er27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14477 
+__IO_EXTERN
+EPILR28STR
+       g\95\9er28
+;
+
+14478 \ 1
+       #EPILR28
+\95\9er28
+.
+by\8b
+
+
+       )
+
+14479 \ 1
+       #EPILR28_D7
+\95\9er28
+.
+b\99
+.
+_D7
+
+
+       )
+
+14480 \ 1
+       #EPILR28_D6
+\95\9er28
+.
+b\99
+.
+_D6
+
+
+       )
+
+14481 \ 1
+       #EPILR28_D5
+\95\9er28
+.
+b\99
+.
+_D5
+
+
+       )
+
+14482 \ 1
+       #EPILR28_D4
+\95\9er28
+.
+b\99
+.
+_D4
+
+
+       )
+
+14483 \ 1
+       #EPILR28_D3
+\95\9er28
+.
+b\99
+.
+_D3
+
+
+       )
+
+14484 \ 1
+       #EPILR28_D2
+\95\9er28
+.
+b\99
+.
+_D2
+
+
+       )
+
+14485 \ 1
+       #EPILR28_D1
+\95\9er28
+.
+b\99
+.
+_D1
+
+
+       )
+
+14486 \ 1
+       #EPILR28_D0
+\95\9er28
+.
+b\99
+.
+_D0
+
+
+       )
+
+14487 
+__IO_EXTERN
+EPILR29STR
+       g\95\9er29
+;
+
+14488 \ 1
+       #EPILR29
+\95\9er29
+.
+by\8b
+
+
+       )
+
+14489 \ 1
+       #EPILR29_D7
+\95\9er29
+.
+b\99
+.
+_D7
+
+
+       )
+
+14490 \ 1
+       #EPILR29_D6
+\95\9er29
+.
+b\99
+.
+_D6
+
+
+       )
+
+14491 \ 1
+       #EPILR29_D5
+\95\9er29
+.
+b\99
+.
+_D5
+
+
+       )
+
+14492 \ 1
+       #EPILR29_D4
+\95\9er29
+.
+b\99
+.
+_D4
+
+
+       )
+
+14493 \ 1
+       #EPILR29_D3
+\95\9er29
+.
+b\99
+.
+_D3
+
+
+       )
+
+14494 \ 1
+       #EPILR29_D2
+\95\9er29
+.
+b\99
+.
+_D2
+
+
+       )
+
+14495 \ 1
+       #EPILR29_D1
+\95\9er29
+.
+b\99
+.
+_D1
+
+
+       )
+
+14496 \ 1
+       #EPILR29_D0
+\95\9er29
+.
+b\99
+.
+_D0
+
+
+       )
+
+14497 
+__IO_EXTERN
+PPER14STR
+       gµ\9414
+;
+
+14498 \ 1
+       #PPER14
\9414
+.
+by\8b
+
+
+       )
+
+14499 \ 1
+       #PPER14_D7
\9414
+.
+b\99
+.
+_D7
+
+
+       )
+
+14500 \ 1
+       #PPER14_D6
\9414
+.
+b\99
+.
+_D6
+
+
+       )
+
+14501 \ 1
+       #PPER14_D5
\9414
+.
+b\99
+.
+_D5
+
+
+       )
+
+14502 \ 1
+       #PPER14_D4
\9414
+.
+b\99
+.
+_D4
+
+
+       )
+
+14503 \ 1
+       #PPER14_D3
\9414
+.
+b\99
+.
+_D3
+
+
+       )
+
+14504 \ 1
+       #PPER14_D2
\9414
+.
+b\99
+.
+_D2
+
+
+       )
+
+14505 \ 1
+       #PPER14_D1
\9414
+.
+b\99
+.
+_D1
+
+
+       )
+
+14506 \ 1
+       #PPER14_D0
\9414
+.
+b\99
+.
+_D0
+
+
+       )
+
+14507 
+__IO_EXTERN
+PPER15STR
+       gµ\9415
+;
+
+14508 \ 1
+       #PPER15
\9415
+.
+by\8b
+
+
+       )
+
+14509 \ 1
+       #PPER15_D7
\9415
+.
+b\99
+.
+_D7
+
+
+       )
+
+14510 \ 1
+       #PPER15_D6
\9415
+.
+b\99
+.
+_D6
+
+
+       )
+
+14511 \ 1
+       #PPER15_D5
\9415
+.
+b\99
+.
+_D5
+
+
+       )
+
+14512 \ 1
+       #PPER15_D4
\9415
+.
+b\99
+.
+_D4
+
+
+       )
+
+14513 \ 1
+       #PPER15_D3
\9415
+.
+b\99
+.
+_D3
+
+
+       )
+
+14514 \ 1
+       #PPER15_D2
\9415
+.
+b\99
+.
+_D2
+
+
+       )
+
+14515 \ 1
+       #PPER15_D1
\9415
+.
+b\99
+.
+_D1
+
+
+       )
+
+14516 \ 1
+       #PPER15_D0
\9415
+.
+b\99
+.
+_D0
+
+
+       )
+
+14517 
+__IO_EXTERN
+PPER16STR
+       gµ\9416
+;
+
+14518 \ 1
+       #PPER16
\9416
+.
+by\8b
+
+
+       )
+
+14519 \ 1
+       #PPER16_D7
\9416
+.
+b\99
+.
+_D7
+
+
+       )
+
+14520 \ 1
+       #PPER16_D6
\9416
+.
+b\99
+.
+_D6
+
+
+       )
+
+14521 \ 1
+       #PPER16_D5
\9416
+.
+b\99
+.
+_D5
+
+
+       )
+
+14522 \ 1
+       #PPER16_D4
\9416
+.
+b\99
+.
+_D4
+
+
+       )
+
+14523 \ 1
+       #PPER16_D3
\9416
+.
+b\99
+.
+_D3
+
+
+       )
+
+14524 \ 1
+       #PPER16_D2
\9416
+.
+b\99
+.
+_D2
+
+
+       )
+
+14525 \ 1
+       #PPER16_D1
\9416
+.
+b\99
+.
+_D1
+
+
+       )
+
+14526 \ 1
+       #PPER16_D0
\9416
+.
+b\99
+.
+_D0
+
+
+       )
+
+14527 
+__IO_EXTERN
+PPER17STR
+       gµ\9417
+;
+
+14528 \ 1
+       #PPER17
\9417
+.
+by\8b
+
+
+       )
+
+14529 \ 1
+       #PPER17_D7
\9417
+.
+b\99
+.
+_D7
+
+
+       )
+
+14530 \ 1
+       #PPER17_D6
\9417
+.
+b\99
+.
+_D6
+
+
+       )
+
+14531 \ 1
+       #PPER17_D5
\9417
+.
+b\99
+.
+_D5
+
+
+       )
+
+14532 \ 1
+       #PPER17_D4
\9417
+.
+b\99
+.
+_D4
+
+
+       )
+
+14533 \ 1
+       #PPER17_D3
\9417
+.
+b\99
+.
+_D3
+
+
+       )
+
+14534 \ 1
+       #PPER17_D2
\9417
+.
+b\99
+.
+_D2
+
+
+       )
+
+14535 \ 1
+       #PPER17_D1
\9417
+.
+b\99
+.
+_D1
+
+
+       )
+
+14536 \ 1
+       #PPER17_D0
\9417
+.
+b\99
+.
+_D0
+
+
+       )
+
+14537 
+__IO_EXTERN
+PPER18STR
+       gµ\9418
+;
+
+14538 \ 1
+       #PPER18
\9418
+.
+by\8b
+
+
+       )
+
+14539 \ 1
+       #PPER18_D6
\9418
+.
+b\99
+.
+_D6
+
+
+       )
+
+14540 \ 1
+       #PPER18_D2
\9418
+.
+b\99
+.
+_D2
+
+
+       )
+
+14541 
+__IO_EXTERN
+PPER19STR
+       gµ\9419
+;
+
+14542 \ 1
+       #PPER19
\9419
+.
+by\8b
+
+
+       )
+
+14543 \ 1
+       #PPER19_D6
\9419
+.
+b\99
+.
+_D6
+
+
+       )
+
+14544 \ 1
+       #PPER19_D2
\9419
+.
+b\99
+.
+_D2
+
+
+       )
+
+14545 \ 1
+       #PPER19_D1
\9419
+.
+b\99
+.
+_D1
+
+
+       )
+
+14546 \ 1
+       #PPER19_D0
\9419
+.
+b\99
+.
+_D0
+
+
+       )
+
+14547 
+__IO_EXTERN
+PPER20STR
+       gµ\9420
+;
+
+14548 \ 1
+       #PPER20
\9420
+.
+by\8b
+
+
+       )
+
+14549 \ 1
+       #PPER20_D7
\9420
+.
+b\99
+.
+_D7
+
+
+       )
+
+14550 \ 1
+       #PPER20_D6
\9420
+.
+b\99
+.
+_D6
+
+
+       )
+
+14551 \ 1
+       #PPER20_D5
\9420
+.
+b\99
+.
+_D5
+
+
+       )
+
+14552 \ 1
+       #PPER20_D4
\9420
+.
+b\99
+.
+_D4
+
+
+       )
+
+14553 \ 1
+       #PPER20_D3
\9420
+.
+b\99
+.
+_D3
+
+
+       )
+
+14554 \ 1
+       #PPER20_D2
\9420
+.
+b\99
+.
+_D2
+
+
+       )
+
+14555 \ 1
+       #PPER20_D1
\9420
+.
+b\99
+.
+_D1
+
+
+       )
+
+14556 \ 1
+       #PPER20_D0
\9420
+.
+b\99
+.
+_D0
+
+
+       )
+
+14557 
+__IO_EXTERN
+PPER21STR
+       gµ\9421
+;
+
+14558 \ 1
+       #PPER21
\9421
+.
+by\8b
+
+
+       )
+
+14559 \ 1
+       #PPER21_D7
\9421
+.
+b\99
+.
+_D7
+
+
+       )
+
+14560 \ 1
+       #PPER21_D6
\9421
+.
+b\99
+.
+_D6
+
+
+       )
+
+14561 \ 1
+       #PPER21_D5
\9421
+.
+b\99
+.
+_D5
+
+
+       )
+
+14562 \ 1
+       #PPER21_D4
\9421
+.
+b\99
+.
+_D4
+
+
+       )
+
+14563 \ 1
+       #PPER21_D3
\9421
+.
+b\99
+.
+_D3
+
+
+       )
+
+14564 \ 1
+       #PPER21_D2
\9421
+.
+b\99
+.
+_D2
+
+
+       )
+
+14565 \ 1
+       #PPER21_D1
\9421
+.
+b\99
+.
+_D1
+
+
+       )
+
+14566 \ 1
+       #PPER21_D0
\9421
+.
+b\99
+.
+_D0
+
+
+       )
+
+14567 
+__IO_EXTERN
+PPER22STR
+       gµ\9422
+;
+
+14568 \ 1
+       #PPER22
\9422
+.
+by\8b
+
+
+       )
+
+14569 \ 1
+       #PPER22_D5
\9422
+.
+b\99
+.
+_D5
+
+
+       )
+
+14570 \ 1
+       #PPER22_D4
\9422
+.
+b\99
+.
+_D4
+
+
+       )
+
+14571 \ 1
+       #PPER22_D1
\9422
+.
+b\99
+.
+_D1
+
+
+       )
+
+14572 \ 1
+       #PPER22_D0
\9422
+.
+b\99
+.
+_D0
+
+
+       )
+
+14573 
+__IO_EXTERN
+PPER24STR
+       gµ\9424
+;
+
+14574 \ 1
+       #PPER24
\9424
+.
+by\8b
+
+
+       )
+
+14575 \ 1
+       #PPER24_D7
\9424
+.
+b\99
+.
+_D7
+
+
+       )
+
+14576 \ 1
+       #PPER24_D6
\9424
+.
+b\99
+.
+_D6
+
+
+       )
+
+14577 \ 1
+       #PPER24_D5
\9424
+.
+b\99
+.
+_D5
+
+
+       )
+
+14578 \ 1
+       #PPER24_D4
\9424
+.
+b\99
+.
+_D4
+
+
+       )
+
+14579 \ 1
+       #PPER24_D3
\9424
+.
+b\99
+.
+_D3
+
+
+       )
+
+14580 \ 1
+       #PPER24_D2
\9424
+.
+b\99
+.
+_D2
+
+
+       )
+
+14581 \ 1
+       #PPER24_D1
\9424
+.
+b\99
+.
+_D1
+
+
+       )
+
+14582 \ 1
+       #PPER24_D0
\9424
+.
+b\99
+.
+_D0
+
+
+       )
+
+14583 
+__IO_EXTERN
+PPER26STR
+       gµ\9426
+;
+
+14584 \ 1
+       #PPER26
\9426
+.
+by\8b
+
+
+       )
+
+14585 \ 1
+       #PPER26_D1
\9426
+.
+b\99
+.
+_D1
+
+
+       )
+
+14586 \ 1
+       #PPER26_D0
\9426
+.
+b\99
+.
+_D0
+
+
+       )
+
+14587 
+__IO_EXTERN
+PPER27STR
+       gµ\9427
+;
+
+14588 \ 1
+       #PPER27
\9427
+.
+by\8b
+
+
+       )
+
+14589 \ 1
+       #PPER27_D7
\9427
+.
+b\99
+.
+_D7
+
+
+       )
+
+14590 \ 1
+       #PPER27_D6
\9427
+.
+b\99
+.
+_D6
+
+
+       )
+
+14591 \ 1
+       #PPER27_D5
\9427
+.
+b\99
+.
+_D5
+
+
+       )
+
+14592 \ 1
+       #PPER27_D4
\9427
+.
+b\99
+.
+_D4
+
+
+       )
+
+14593 \ 1
+       #PPER27_D3
\9427
+.
+b\99
+.
+_D3
+
+
+       )
+
+14594 \ 1
+       #PPER27_D2
\9427
+.
+b\99
+.
+_D2
+
+
+       )
+
+14595 \ 1
+       #PPER27_D1
\9427
+.
+b\99
+.
+_D1
+
+
+       )
+
+14596 \ 1
+       #PPER27_D0
\9427
+.
+b\99
+.
+_D0
+
+
+       )
+
+14597 
+__IO_EXTERN
+PPER28STR
+       gµ\9428
+;
+
+14598 \ 1
+       #PPER28
\9428
+.
+by\8b
+
+
+       )
+
+14599 \ 1
+       #PPER28_D7
\9428
+.
+b\99
+.
+_D7
+
+
+       )
+
+14600 \ 1
+       #PPER28_D6
\9428
+.
+b\99
+.
+_D6
+
+
+       )
+
+14601 \ 1
+       #PPER28_D5
\9428
+.
+b\99
+.
+_D5
+
+
+       )
+
+14602 \ 1
+       #PPER28_D4
\9428
+.
+b\99
+.
+_D4
+
+
+       )
+
+14603 \ 1
+       #PPER28_D3
\9428
+.
+b\99
+.
+_D3
+
+
+       )
+
+14604 \ 1
+       #PPER28_D2
\9428
+.
+b\99
+.
+_D2
+
+
+       )
+
+14605 \ 1
+       #PPER28_D1
\9428
+.
+b\99
+.
+_D1
+
+
+       )
+
+14606 \ 1
+       #PPER28_D0
\9428
+.
+b\99
+.
+_D0
+
+
+       )
+
+14607 
+__IO_EXTERN
+PPER29STR
+       gµ\9429
+;
+
+14608 \ 1
+       #PPER29
\9429
+.
+by\8b
+
+
+       )
+
+14609 \ 1
+       #PPER29_D7
\9429
+.
+b\99
+.
+_D7
+
+
+       )
+
+14610 \ 1
+       #PPER29_D6
\9429
+.
+b\99
+.
+_D6
+
+
+       )
+
+14611 \ 1
+       #PPER29_D5
\9429
+.
+b\99
+.
+_D5
+
+
+       )
+
+14612 \ 1
+       #PPER29_D4
\9429
+.
+b\99
+.
+_D4
+
+
+       )
+
+14613 \ 1
+       #PPER29_D3
\9429
+.
+b\99
+.
+_D3
+
+
+       )
+
+14614 \ 1
+       #PPER29_D2
\9429
+.
+b\99
+.
+_D2
+
+
+       )
+
+14615 \ 1
+       #PPER29_D1
\9429
+.
+b\99
+.
+_D1
+
+
+       )
+
+14616 \ 1
+       #PPER29_D0
\9429
+.
+b\99
+.
+_D0
+
+
+       )
+
+14617 
+__IO_EXTERN
+PPCR14STR
+       gµü14
+;
+
+14618 \ 1
+       #PPCR14
+µü14
+.
+by\8b
+
+
+       )
+
+14619 \ 1
+       #PPCR14_D7
+µü14
+.
+b\99
+.
+_D7
+
+
+       )
+
+14620 \ 1
+       #PPCR14_D6
+µü14
+.
+b\99
+.
+_D6
+
+
+       )
+
+14621 \ 1
+       #PPCR14_D5
+µü14
+.
+b\99
+.
+_D5
+
+
+       )
+
+14622 \ 1
+       #PPCR14_D4
+µü14
+.
+b\99
+.
+_D4
+
+
+       )
+
+14623 \ 1
+       #PPCR14_D3
+µü14
+.
+b\99
+.
+_D3
+
+
+       )
+
+14624 \ 1
+       #PPCR14_D2
+µü14
+.
+b\99
+.
+_D2
+
+
+       )
+
+14625 \ 1
+       #PPCR14_D1
+µü14
+.
+b\99
+.
+_D1
+
+
+       )
+
+14626 \ 1
+       #PPCR14_D0
+µü14
+.
+b\99
+.
+_D0
+
+
+       )
+
+14627 
+__IO_EXTERN
+PPCR15STR
+       gµü15
+;
+
+14628 \ 1
+       #PPCR15
+µü15
+.
+by\8b
+
+
+       )
+
+14629 \ 1
+       #PPCR15_D7
+µü15
+.
+b\99
+.
+_D7
+
+
+       )
+
+14630 \ 1
+       #PPCR15_D6
+µü15
+.
+b\99
+.
+_D6
+
+
+       )
+
+14631 \ 1
+       #PPCR15_D5
+µü15
+.
+b\99
+.
+_D5
+
+
+       )
+
+14632 \ 1
+       #PPCR15_D4
+µü15
+.
+b\99
+.
+_D4
+
+
+       )
+
+14633 \ 1
+       #PPCR15_D3
+µü15
+.
+b\99
+.
+_D3
+
+
+       )
+
+14634 \ 1
+       #PPCR15_D2
+µü15
+.
+b\99
+.
+_D2
+
+
+       )
+
+14635 \ 1
+       #PPCR15_D1
+µü15
+.
+b\99
+.
+_D1
+
+
+       )
+
+14636 \ 1
+       #PPCR15_D0
+µü15
+.
+b\99
+.
+_D0
+
+
+       )
+
+14637 
+__IO_EXTERN
+PPCR16STR
+       gµü16
+;
+
+14638 \ 1
+       #PPCR16
+µü16
+.
+by\8b
+
+
+       )
+
+14639 \ 1
+       #PPCR16_D7
+µü16
+.
+b\99
+.
+_D7
+
+
+       )
+
+14640 \ 1
+       #PPCR16_D6
+µü16
+.
+b\99
+.
+_D6
+
+
+       )
+
+14641 \ 1
+       #PPCR16_D5
+µü16
+.
+b\99
+.
+_D5
+
+
+       )
+
+14642 \ 1
+       #PPCR16_D4
+µü16
+.
+b\99
+.
+_D4
+
+
+       )
+
+14643 \ 1
+       #PPCR16_D3
+µü16
+.
+b\99
+.
+_D3
+
+
+       )
+
+14644 \ 1
+       #PPCR16_D2
+µü16
+.
+b\99
+.
+_D2
+
+
+       )
+
+14645 \ 1
+       #PPCR16_D1
+µü16
+.
+b\99
+.
+_D1
+
+
+       )
+
+14646 \ 1
+       #PPCR16_D0
+µü16
+.
+b\99
+.
+_D0
+
+
+       )
+
+14647 
+__IO_EXTERN
+PPCR17STR
+       gµü17
+;
+
+14648 \ 1
+       #PPCR17
+µü17
+.
+by\8b
+
+
+       )
+
+14649 \ 1
+       #PPCR17_D7
+µü17
+.
+b\99
+.
+_D7
+
+
+       )
+
+14650 \ 1
+       #PPCR17_D6
+µü17
+.
+b\99
+.
+_D6
+
+
+       )
+
+14651 \ 1
+       #PPCR17_D5
+µü17
+.
+b\99
+.
+_D5
+
+
+       )
+
+14652 \ 1
+       #PPCR17_D4
+µü17
+.
+b\99
+.
+_D4
+
+
+       )
+
+14653 \ 1
+       #PPCR17_D3
+µü17
+.
+b\99
+.
+_D3
+
+
+       )
+
+14654 \ 1
+       #PPCR17_D2
+µü17
+.
+b\99
+.
+_D2
+
+
+       )
+
+14655 \ 1
+       #PPCR17_D1
+µü17
+.
+b\99
+.
+_D1
+
+
+       )
+
+14656 \ 1
+       #PPCR17_D0
+µü17
+.
+b\99
+.
+_D0
+
+
+       )
+
+14657 
+__IO_EXTERN
+PPCR18STR
+       gµü18
+;
+
+14658 \ 1
+       #PPCR18
+µü18
+.
+by\8b
+
+
+       )
+
+14659 \ 1
+       #PPCR18_D6
+µü18
+.
+b\99
+.
+_D6
+
+
+       )
+
+14660 \ 1
+       #PPCR18_D2
+µü18
+.
+b\99
+.
+_D2
+
+
+       )
+
+14661 
+__IO_EXTERN
+PPCR19STR
+       gµü19
+;
+
+14662 \ 1
+       #PPCR19
+µü19
+.
+by\8b
+
+
+       )
+
+14663 \ 1
+       #PPCR19_D6
+µü19
+.
+b\99
+.
+_D6
+
+
+       )
+
+14664 \ 1
+       #PPCR19_D2
+µü19
+.
+b\99
+.
+_D2
+
+
+       )
+
+14665 \ 1
+       #PPCR19_D1
+µü19
+.
+b\99
+.
+_D1
+
+
+       )
+
+14666 \ 1
+       #PPCR19_D0
+µü19
+.
+b\99
+.
+_D0
+
+
+       )
+
+14667 
+__IO_EXTERN
+PPCR20STR
+       gµü20
+;
+
+14668 \ 1
+       #PPCR20
+µü20
+.
+by\8b
+
+
+       )
+
+14669 \ 1
+       #PPCR20_D7
+µü20
+.
+b\99
+.
+_D7
+
+
+       )
+
+14670 \ 1
+       #PPCR20_D6
+µü20
+.
+b\99
+.
+_D6
+
+
+       )
+
+14671 \ 1
+       #PPCR20_D5
+µü20
+.
+b\99
+.
+_D5
+
+
+       )
+
+14672 \ 1
+       #PPCR20_D4
+µü20
+.
+b\99
+.
+_D4
+
+
+       )
+
+14673 \ 1
+       #PPCR20_D3
+µü20
+.
+b\99
+.
+_D3
+
+
+       )
+
+14674 \ 1
+       #PPCR20_D2
+µü20
+.
+b\99
+.
+_D2
+
+
+       )
+
+14675 \ 1
+       #PPCR20_D1
+µü20
+.
+b\99
+.
+_D1
+
+
+       )
+
+14676 \ 1
+       #PPCR20_D0
+µü20
+.
+b\99
+.
+_D0
+
+
+       )
+
+14677 
+__IO_EXTERN
+PPCR21STR
+       gµü21
+;
+
+14678 \ 1
+       #PPCR21
+µü21
+.
+by\8b
+
+
+       )
+
+14679 \ 1
+       #PPCR21_D7
+µü21
+.
+b\99
+.
+_D7
+
+
+       )
+
+14680 \ 1
+       #PPCR21_D6
+µü21
+.
+b\99
+.
+_D6
+
+
+       )
+
+14681 \ 1
+       #PPCR21_D5
+µü21
+.
+b\99
+.
+_D5
+
+
+       )
+
+14682 \ 1
+       #PPCR21_D4
+µü21
+.
+b\99
+.
+_D4
+
+
+       )
+
+14683 \ 1
+       #PPCR21_D3
+µü21
+.
+b\99
+.
+_D3
+
+
+       )
+
+14684 \ 1
+       #PPCR21_D2
+µü21
+.
+b\99
+.
+_D2
+
+
+       )
+
+14685 \ 1
+       #PPCR21_D1
+µü21
+.
+b\99
+.
+_D1
+
+
+       )
+
+14686 \ 1
+       #PPCR21_D0
+µü21
+.
+b\99
+.
+_D0
+
+
+       )
+
+14687 
+__IO_EXTERN
+PPCR22STR
+       gµü22
+;
+
+14688 \ 1
+       #PPCR22
+µü22
+.
+by\8b
+
+
+       )
+
+14689 \ 1
+       #PPCR22_D5
+µü22
+.
+b\99
+.
+_D5
+
+
+       )
+
+14690 \ 1
+       #PPCR22_D4
+µü22
+.
+b\99
+.
+_D4
+
+
+       )
+
+14691 \ 1
+       #PPCR22_D1
+µü22
+.
+b\99
+.
+_D1
+
+
+       )
+
+14692 \ 1
+       #PPCR22_D0
+µü22
+.
+b\99
+.
+_D0
+
+
+       )
+
+14693 
+__IO_EXTERN
+PPCR24STR
+       gµü24
+;
+
+14694 \ 1
+       #PPCR24
+µü24
+.
+by\8b
+
+
+       )
+
+14695 \ 1
+       #PPCR24_D7
+µü24
+.
+b\99
+.
+_D7
+
+
+       )
+
+14696 \ 1
+       #PPCR24_D6
+µü24
+.
+b\99
+.
+_D6
+
+
+       )
+
+14697 \ 1
+       #PPCR24_D5
+µü24
+.
+b\99
+.
+_D5
+
+
+       )
+
+14698 \ 1
+       #PPCR24_D4
+µü24
+.
+b\99
+.
+_D4
+
+
+       )
+
+14699 \ 1
+       #PPCR24_D3
+µü24
+.
+b\99
+.
+_D3
+
+
+       )
+
+14700 \ 1
+       #PPCR24_D2
+µü24
+.
+b\99
+.
+_D2
+
+
+       )
+
+14701 \ 1
+       #PPCR24_D1
+µü24
+.
+b\99
+.
+_D1
+
+
+       )
+
+14702 \ 1
+       #PPCR24_D0
+µü24
+.
+b\99
+.
+_D0
+
+
+       )
+
+14703 
+__IO_EXTERN
+PPCR26STR
+       gµü26
+;
+
+14704 \ 1
+       #PPCR26
+µü26
+.
+by\8b
+
+
+       )
+
+14705 \ 1
+       #PPCR26_D1
+µü26
+.
+b\99
+.
+_D1
+
+
+       )
+
+14706 \ 1
+       #PPCR26_D0
+µü26
+.
+b\99
+.
+_D0
+
+
+       )
+
+14707 
+__IO_EXTERN
+PPCR27STR
+       gµü27
+;
+
+14708 \ 1
+       #PPCR27
+µü27
+.
+by\8b
+
+
+       )
+
+14709 \ 1
+       #PPCR27_D7
+µü27
+.
+b\99
+.
+_D7
+
+
+       )
+
+14710 \ 1
+       #PPCR27_D6
+µü27
+.
+b\99
+.
+_D6
+
+
+       )
+
+14711 \ 1
+       #PPCR27_D5
+µü27
+.
+b\99
+.
+_D5
+
+
+       )
+
+14712 \ 1
+       #PPCR27_D4
+µü27
+.
+b\99
+.
+_D4
+
+
+       )
+
+14713 \ 1
+       #PPCR27_D3
+µü27
+.
+b\99
+.
+_D3
+
+
+       )
+
+14714 \ 1
+       #PPCR27_D2
+µü27
+.
+b\99
+.
+_D2
+
+
+       )
+
+14715 \ 1
+       #PPCR27_D1
+µü27
+.
+b\99
+.
+_D1
+
+
+       )
+
+14716 \ 1
+       #PPCR27_D0
+µü27
+.
+b\99
+.
+_D0
+
+
+       )
+
+14717 
+__IO_EXTERN
+PPCR28STR
+       gµü28
+;
+
+14718 \ 1
+       #PPCR28
+µü28
+.
+by\8b
+
+
+       )
+
+14719 \ 1
+       #PPCR28_D7
+µü28
+.
+b\99
+.
+_D7
+
+
+       )
+
+14720 \ 1
+       #PPCR28_D6
+µü28
+.
+b\99
+.
+_D6
+
+
+       )
+
+14721 \ 1
+       #PPCR28_D5
+µü28
+.
+b\99
+.
+_D5
+
+
+       )
+
+14722 \ 1
+       #PPCR28_D4
+µü28
+.
+b\99
+.
+_D4
+
+
+       )
+
+14723 \ 1
+       #PPCR28_D3
+µü28
+.
+b\99
+.
+_D3
+
+
+       )
+
+14724 \ 1
+       #PPCR28_D2
+µü28
+.
+b\99
+.
+_D2
+
+
+       )
+
+14725 \ 1
+       #PPCR28_D1
+µü28
+.
+b\99
+.
+_D1
+
+
+       )
+
+14726 \ 1
+       #PPCR28_D0
+µü28
+.
+b\99
+.
+_D0
+
+
+       )
+
+14727 
+__IO_EXTERN
+PPCR29STR
+       gµü29
+;
+
+14728 \ 1
+       #PPCR29
+µü29
+.
+by\8b
+
+
+       )
+
+14729 \ 1
+       #PPCR29_D7
+µü29
+.
+b\99
+.
+_D7
+
+
+       )
+
+14730 \ 1
+       #PPCR29_D6
+µü29
+.
+b\99
+.
+_D6
+
+
+       )
+
+14731 \ 1
+       #PPCR29_D5
+µü29
+.
+b\99
+.
+_D5
+
+
+       )
+
+14732 \ 1
+       #PPCR29_D4
+µü29
+.
+b\99
+.
+_D4
+
+
+       )
+
+14733 \ 1
+       #PPCR29_D3
+µü29
+.
+b\99
+.
+_D3
+
+
+       )
+
+14734 \ 1
+       #PPCR29_D2
+µü29
+.
+b\99
+.
+_D2
+
+
+       )
+
+14735 \ 1
+       #PPCR29_D1
+µü29
+.
+b\99
+.
+_D1
+
+
+       )
+
+14736 \ 1
+       #PPCR29_D0
+µü29
+.
+b\99
+.
+_D0
+
+
+       )
+
+14737 
+__IO_EXTERN
+IO_LWORD
+       gdma§0
+;
+
+14738 \ 1
+       #DMASA0
+dma§0
+
+
+       )
+
+14739 
+__IO_EXTERN
+IO_LWORD
+       gdmada0
+;
+
+14740 \ 1
+       #DMADA0
+dmada0
+
+
+       )
+
+14741 
+__IO_EXTERN
+IO_LWORD
+       gdma§1
+;
+
+14742 \ 1
+       #DMASA1
+dma§1
+
+
+       )
+
+14743 
+__IO_EXTERN
+IO_LWORD
+       gdmada1
+;
+
+14744 \ 1
+       #DMADA1
+dmada1
+
+
+       )
+
+14745 
+__IO_EXTERN
+IO_LWORD
+       gdma§2
+;
+
+14746 \ 1
+       #DMASA2
+dma§2
+
+
+       )
+
+14747 
+__IO_EXTERN
+IO_LWORD
+       gdmada2
+;
+
+14748 \ 1
+       #DMADA2
+dmada2
+
+
+       )
+
+14749 
+__IO_EXTERN
+IO_LWORD
+       gdma§3
+;
+
+14750 \ 1
+       #DMASA3
+dma§3
+
+
+       )
+
+14751 
+__IO_EXTERN
+IO_LWORD
+       gdmada3
+;
+
+14752 \ 1
+       #DMADA3
+dmada3
+
+
+       )
+
+14753 
+__IO_EXTERN
+IO_LWORD
+       gdma§4
+;
+
+14754 \ 1
+       #DMASA4
+dma§4
+
+
+       )
+
+14755 
+__IO_EXTERN
+IO_LWORD
+       gdmada4
+;
+
+14756 \ 1
+       #DMADA4
+dmada4
+
+
+       )
+
+14757 
+__IO_EXTERN
+FMCSSTR
+       gfmcs
+;
+
+14758 \ 1
+       #FMCS
+fmcs
+.
+by\8b
+
+
+       )
+
+14759 \ 1
+       #FMCS_ASYNC
+fmcs
+.
+b\99
+.
+_ASYNC
+
+
+       )
+
+14760 \ 1
+       #FMCS_FIXE
+fmcs
+.
+b\99
+.
+_FIXE
+
+
+       )
+
+14761 \ 1
+       #FMCS_BIRE
+fmcs
+.
+b\99
+.
+_BIRE
+
+
+       )
+
+14762 \ 1
+       #FMCS_RDYEG
+fmcs
+.
+b\99
+.
+_RDYEG
+
+
+       )
+
+14763 \ 1
+       #FMCS_RDY
+fmcs
+.
+b\99
+.
+_RDY
+
+
+       )
+
+14764 \ 1
+       #FMCS_RDYI
+fmcs
+.
+b\99
+.
+_RDYI
+
+
+       )
+
+14765 \ 1
+       #FMCS_RW16
+fmcs
+.
+b\99
+.
+_RW16
+
+
+       )
+
+14766 \ 1
+       #FMCS_LPM
+fmcs
+.
+b\99
+.
+_LPM
+
+
+       )
+
+14767 
+__IO_EXTERN
+FMCRSTR
+       gfmü
+;
+
+14768 \ 1
+       #FMCR
+fmü
+.
+by\8b
+
+
+       )
+
+14769 \ 1
+       #FMCR_LOCK
+fmü
+.
+b\99
+.
+_LOCK
+
+
+       )
+
+14770 \ 1
+       #FMCR_PHASE
+fmü
+.
+b\99
+.
+_PHASE
+
+
+       )
+
+14771 \ 1
+       #FMCR_PF2I
+fmü
+.
+b\99
+.
+_PF2I
+
+
+       )
+
+14772 \ 1
+       #FMCR_RD64
+fmü
+.
+b\99
+.
+_RD64
+
+
+       )
+
+14773 
+__IO_EXTERN
+FCHCRSTR
+       gfchü
+;
+
+14774 \ 1
+       #FCHCR
+fchü
+.
+wÜd
+
+
+       )
+
+14775 \ 1
+       #FCHCR_REN
+fchü
+.
+b\99
+.
+_REN
+
+
+       )
+
+14776 \ 1
+       #FCHCR_TAGE
+fchü
+.
+b\99
+.
+_TAGE
+
+
+       )
+
+14777 \ 1
+       #FCHCR_FLUSH
+fchü
+.
+b\99
+.
+_FLUSH
+
+
+       )
+
+14778 \ 1
+       #FCHCR_DBEN
+fchü
+.
+b\99
+.
+_DBEN
+
+
+       )
+
+14779 \ 1
+       #FCHCR_PFEN
+fchü
+.
+b\99
+.
+_PFEN
+
+
+       )
+
+14780 \ 1
+       #FCHCR_PFMC
+fchü
+.
+b\99
+.
+_PFMC
+
+
+       )
+
+14781 \ 1
+       #FCHCR_LOCK
+fchü
+.
+b\99
+.
+_LOCK
+
+
+       )
+
+14782 \ 1
+       #FCHCR_ENAB
+fchü
+.
+b\99
+.
+_ENAB
+
+
+       )
+
+14783 \ 1
+       #FCHCR_SIZE1
+fchü
+.
+b\99
+.
+_SIZE1
+
+
+       )
+
+14784 \ 1
+       #FCHCR_SIZE0
+fchü
+.
+b\99
+.
+_SIZE0
+
+
+       )
+
+14785 \ 1
+       #FCHCR_SIZE
+fchü
+.
+b\99c
+.
+_SIZE
+
+
+       )
+
+14786 
+__IO_EXTERN
+FMWTSTR
+       gfmwt
+;
+
+14787 \ 1
+       #FMWT
+fmwt
+.
+wÜd
+
+
+       )
+
+14788 \ 1
+       #FMWT_WTP1
+fmwt
+.
+b\99
+.
+_WTP1
+
+
+       )
+
+14789 \ 1
+       #FMWT_WTP0
+fmwt
+.
+b\99
+.
+_WTP0
+
+
+       )
+
+14790 \ 1
+       #FMWT_WEXH1
+fmwt
+.
+b\99
+.
+_WEXH1
+
+
+       )
+
+14791 \ 1
+       #FMWT_WEXH0
+fmwt
+.
+b\99
+.
+_WEXH0
+
+
+       )
+
+14792 \ 1
+       #FMWT_WTC3
+fmwt
+.
+b\99
+.
+_WTC3
+
+
+       )
+
+14793 \ 1
+       #FMWT_WTC2
+fmwt
+.
+b\99
+.
+_WTC2
+
+
+       )
+
+14794 \ 1
+       #FMWT_WTC1
+fmwt
+.
+b\99
+.
+_WTC1
+
+
+       )
+
+14795 \ 1
+       #FMWT_WTC0
+fmwt
+.
+b\99
+.
+_WTC0
+
+
+       )
+
+14796 \ 1
+       #FMWT_FRAM
+fmwt
+.
+b\99
+.
+_FRAM
+
+
+       )
+
+14797 \ 1
+       #FMWT_ATD2
+fmwt
+.
+b\99
+.
+_ATD2
+
+
+       )
+
+14798 \ 1
+       #FMWT_ATD1
+fmwt
+.
+b\99
+.
+_ATD1
+
+
+       )
+
+14799 \ 1
+       #FMWT_ATD0
+fmwt
+.
+b\99
+.
+_ATD0
+
+
+       )
+
+14800 \ 1
+       #FMWT_EQ3
+fmwt
+.
+b\99
+.
+_EQ3
+
+
+       )
+
+14801 \ 1
+       #FMWT_EQ2
+fmwt
+.
+b\99
+.
+_EQ2
+
+
+       )
+
+14802 \ 1
+       #FMWT_EQ1
+fmwt
+.
+b\99
+.
+_EQ1
+
+
+       )
+
+14803 \ 1
+       #FMWT_EQ0
+fmwt
+.
+b\99
+.
+_EQ0
+
+
+       )
+
+14804 \ 1
+       #FMWT_WTP
+fmwt
+.
+b\99c
+.
+_WTP
+
+
+       )
+
+14805 \ 1
+       #FMWT_WEXH
+fmwt
+.
+b\99c
+.
+_WEXH
+
+
+       )
+
+14806 \ 1
+       #FMWT_WTC
+fmwt
+.
+b\99c
+.
+_WTC
+
+
+       )
+
+14807 \ 1
+       #FMWT_ATD
+fmwt
+.
+b\99c
+.
+_ATD
+
+
+       )
+
+14808 \ 1
+       #FMWT_EQ
+fmwt
+.
+b\99c
+.
+_EQ
+
+
+       )
+
+14809 
+__IO_EXTERN
+FMWT2STR
+       gfmwt2
+;
+
+14810 \ 1
+       #FMWT2
+fmwt2
+.
+by\8b
+
+
+       )
+
+14811 \ 1
+       #FMWT2_ALEH2
+fmwt2
+.
+b\99
+.
+_ALEH2
+
+
+       )
+
+14812 \ 1
+       #FMWT2_ALEH1
+fmwt2
+.
+b\99
+.
+_ALEH1
+
+
+       )
+
+14813 \ 1
+       #FMWT2_ALEH0
+fmwt2
+.
+b\99
+.
+_ALEH0
+
+
+       )
+
+14814 \ 1
+       #FMWT2_ALEH
+fmwt2
+.
+b\99c
+.
+_ALEH
+
+
+       )
+
+14815 
+__IO_EXTERN
+FMPSSTR
+       gfmps
+;
+
+14816 \ 1
+       #FMPS
+fmps
+.
+by\8b
+
+
+       )
+
+14817 \ 1
+       #FMPS_PS2
+fmps
+.
+b\99
+.
+_PS2
+
+
+       )
+
+14818 \ 1
+       #FMPS_PS1
+fmps
+.
+b\99
+.
+_PS1
+
+
+       )
+
+14819 \ 1
+       #FMPS_PS0
+fmps
+.
+b\99
+.
+_PS0
+
+
+       )
+
+14820 \ 1
+       #FMPS_PS
+fmps
+.
+b\99c
+.
+_PS
+
+
+       )
+
+14821 
+__IO_EXTERN
+IO_LWORD
+       gfmac
+;
+
+14822 \ 1
+       #FMAC
+fmac
+
+
+       )
+
+14823 
+__IO_EXTERN
+IO_LWORD
+       gfcha0
+;
+
+14824 \ 1
+       #FCHA0
+fcha0
+
+
+       )
+
+14825 
+__IO_EXTERN
+IO_LWORD
+       gfcha1
+;
+
+14826 \ 1
+       #FCHA1
+fcha1
+
+
+       )
+
+14827 
+__IO_EXTERN
+FSCR0STR
+       gfsü0
+;
+
+14828 \ 1
+       #FSCR0
+fsü0
+.
+lwÜd
+
+
+       )
+
+14829 \ 1
+       #FSCR0_CRC31
+fsü0
+.
+b\99
+.
+_CRC31
+
+
+       )
+
+14830 \ 1
+       #FSCR0_CRC30
+fsü0
+.
+b\99
+.
+_CRC30
+
+
+       )
+
+14831 \ 1
+       #FSCR0_CRC29
+fsü0
+.
+b\99
+.
+_CRC29
+
+
+       )
+
+14832 \ 1
+       #FSCR0_CRC28
+fsü0
+.
+b\99
+.
+_CRC28
+
+
+       )
+
+14833 \ 1
+       #FSCR0_CRC27
+fsü0
+.
+b\99
+.
+_CRC27
+
+
+       )
+
+14834 \ 1
+       #FSCR0_CRC26
+fsü0
+.
+b\99
+.
+_CRC26
+
+
+       )
+
+14835 \ 1
+       #FSCR0_CRC25
+fsü0
+.
+b\99
+.
+_CRC25
+
+
+       )
+
+14836 \ 1
+       #FSCR0_CRC24
+fsü0
+.
+b\99
+.
+_CRC24
+
+
+       )
+
+14837 \ 1
+       #FSCR0_CRC23
+fsü0
+.
+b\99
+.
+_CRC23
+
+
+       )
+
+14838 \ 1
+       #FSCR0_CRC22
+fsü0
+.
+b\99
+.
+_CRC22
+
+
+       )
+
+14839 \ 1
+       #FSCR0_CRC21
+fsü0
+.
+b\99
+.
+_CRC21
+
+
+       )
+
+14840 \ 1
+       #FSCR0_CRC20
+fsü0
+.
+b\99
+.
+_CRC20
+
+
+       )
+
+14841 \ 1
+       #FSCR0_CRC19
+fsü0
+.
+b\99
+.
+_CRC19
+
+
+       )
+
+14842 \ 1
+       #FSCR0_CRC18
+fsü0
+.
+b\99
+.
+_CRC18
+
+
+       )
+
+14843 \ 1
+       #FSCR0_CRC17
+fsü0
+.
+b\99
+.
+_CRC17
+
+
+       )
+
+14844 \ 1
+       #FSCR0_CRC16
+fsü0
+.
+b\99
+.
+_CRC16
+
+
+       )
+
+14845 \ 1
+       #FSCR0_CRC15
+fsü0
+.
+b\99
+.
+_CRC15
+
+
+       )
+
+14846 \ 1
+       #FSCR0_CRC14
+fsü0
+.
+b\99
+.
+_CRC14
+
+
+       )
+
+14847 \ 1
+       #FSCR0_CRC13
+fsü0
+.
+b\99
+.
+_CRC13
+
+
+       )
+
+14848 \ 1
+       #FSCR0_CRC12
+fsü0
+.
+b\99
+.
+_CRC12
+
+
+       )
+
+14849 \ 1
+       #FSCR0_CRC11
+fsü0
+.
+b\99
+.
+_CRC11
+
+
+       )
+
+14850 \ 1
+       #FSCR0_CRC10
+fsü0
+.
+b\99
+.
+_CRC10
+
+
+       )
+
+14851 \ 1
+       #FSCR0_CRC9
+fsü0
+.
+b\99
+.
+_CRC9
+
+
+       )
+
+14852 \ 1
+       #FSCR0_CRC8
+fsü0
+.
+b\99
+.
+_CRC8
+
+
+       )
+
+14853 \ 1
+       #FSCR0_CRC7
+fsü0
+.
+b\99
+.
+_CRC7
+
+
+       )
+
+14854 \ 1
+       #FSCR0_CRC6
+fsü0
+.
+b\99
+.
+_CRC6
+
+
+       )
+
+14855 \ 1
+       #FSCR0_CRC5
+fsü0
+.
+b\99
+.
+_CRC5
+
+
+       )
+
+14856 \ 1
+       #FSCR0_CRC4
+fsü0
+.
+b\99
+.
+_CRC4
+
+
+       )
+
+14857 \ 1
+       #FSCR0_CRC3
+fsü0
+.
+b\99
+.
+_CRC3
+
+
+       )
+
+14858 \ 1
+       #FSCR0_CRC2
+fsü0
+.
+b\99
+.
+_CRC2
+
+
+       )
+
+14859 \ 1
+       #FSCR0_CRC1
+fsü0
+.
+b\99
+.
+_CRC1
+
+
+       )
+
+14860 \ 1
+       #FSCR0_CRC0
+fsü0
+.
+b\99
+.
+_CRC0
+
+
+       )
+
+14861 
+__IO_EXTERN
+FSCR1STR
+       gfsü1
+;
+
+14862 \ 1
+       #FSCR1
+fsü1
+.
+lwÜd
+
+
+       )
+
+14863 \ 1
+       #FSCR1_RDY
+fsü1
+.
+b\99
+.
+_RDY
+
+
+       )
+
+14864 \ 1
+       #FSCR1_CSZ3
+fsü1
+.
+b\99
+.
+_CSZ3
+
+
+       )
+
+14865 \ 1
+       #FSCR1_CSZ2
+fsü1
+.
+b\99
+.
+_CSZ2
+
+
+       )
+
+14866 \ 1
+       #FSCR1_CSZ1
+fsü1
+.
+b\99
+.
+_CSZ1
+
+
+       )
+
+14867 \ 1
+       #FSCR1_CSZ0
+fsü1
+.
+b\99
+.
+_CSZ0
+
+
+       )
+
+14868 \ 1
+       #FSCR1_CSA15
+fsü1
+.
+b\99
+.
+_CSA15
+
+
+       )
+
+14869 \ 1
+       #FSCR1_CSA14
+fsü1
+.
+b\99
+.
+_CSA14
+
+
+       )
+
+14870 \ 1
+       #FSCR1_CSA13
+fsü1
+.
+b\99
+.
+_CSA13
+
+
+       )
+
+14871 \ 1
+       #FSCR1_CSA12
+fsü1
+.
+b\99
+.
+_CSA12
+
+
+       )
+
+14872 \ 1
+       #FSCR1_CSA11
+fsü1
+.
+b\99
+.
+_CSA11
+
+
+       )
+
+14873 \ 1
+       #FSCR1_CSA10
+fsü1
+.
+b\99
+.
+_CSA10
+
+
+       )
+
+14874 \ 1
+       #FSCR1_CSA9
+fsü1
+.
+b\99
+.
+_CSA9
+
+
+       )
+
+14875 \ 1
+       #FSCR1_CSA8
+fsü1
+.
+b\99
+.
+_CSA8
+
+
+       )
+
+14876 \ 1
+       #FSCR1_CSA7
+fsü1
+.
+b\99
+.
+_CSA7
+
+
+       )
+
+14877 \ 1
+       #FSCR1_CSA6
+fsü1
+.
+b\99
+.
+_CSA6
+
+
+       )
+
+14878 \ 1
+       #FSCR1_CSA5
+fsü1
+.
+b\99
+.
+_CSA5
+
+
+       )
+
+14879 \ 1
+       #FSCR1_CSA4
+fsü1
+.
+b\99
+.
+_CSA4
+
+
+       )
+
+14880 \ 1
+       #FSCR1_CSA3
+fsü1
+.
+b\99
+.
+_CSA3
+
+
+       )
+
+14881 \ 1
+       #FSCR1_CSA2
+fsü1
+.
+b\99
+.
+_CSA2
+
+
+       )
+
+14882 \ 1
+       #FSCR1_CSA1
+fsü1
+.
+b\99
+.
+_CSA1
+
+
+       )
+
+14883 \ 1
+       #FSCR1_CSA0
+fsü1
+.
+b\99
+.
+_CSA0
+
+
+       )
+
+14884 \ 1
+       #FSCR1_CSZ
+fsü1
+.
+b\99c
+.
+_CSZ
+
+
+       )
+
+14885 
+__IO_EXTERN
+CTRLR4STR
+       gù¾r4
+;
+
+14886 \ 1
+       #CTRLR4
+ù¾r4
+.
+wÜd
+
+
+       )
+
+14887 \ 1
+       #CTRLR4_Te¡
+ù¾r4
+.
+b\99
+.
+_Te¡
+
+
+       )
+
+14888 \ 1
+       #CTRLR4_CCE
+ù¾r4
+.
+b\99
+.
+_CCE
+
+
+       )
+
+14889 \ 1
+       #CTRLR4_DAR
+ù¾r4
+.
+b\99
+.
+_DAR
+
+
+       )
+
+14890 \ 1
+       #CTRLR4_EIE
+ù¾r4
+.
+b\99
+.
+_EIE
+
+
+       )
+
+14891 \ 1
+       #CTRLR4_SIE
+ù¾r4
+.
+b\99
+.
+_SIE
+
+
+       )
+
+14892 \ 1
+       #CTRLR4_IE
+ù¾r4
+.
+b\99
+.
+_IE
+
+
+       )
+
+14893 \ 1
+       #CTRLR4_In\99
+ù¾r4
+.
+b\99
+.
+_In\99
+
+
+       )
+
+14894 
+__IO_EXTERN
+STATR4STR
+       g¡©r4
+;
+
+14895 \ 1
+       #STATR4
+¡©r4
+.
+wÜd
+
+
+       )
+
+14896 \ 1
+       #STATR4_BOff
+¡©r4
+.
+b\99
+.
+_BOff
+
+
+       )
+
+14897 \ 1
+       #STATR4_EW¬n
+¡©r4
+.
+b\99
+.
+_EW¬n
+
+
+       )
+
+14898 \ 1
+       #STATR4_EPass
+¡©r4
+.
+b\99
+.
+_EPass
+
+
+       )
+
+14899 \ 1
+       #STATR4_RxOK
+¡©r4
+.
+b\99
+.
+_RxOK
+
+
+       )
+
+14900 \ 1
+       #STATR4_TxOK
+¡©r4
+.
+b\99
+.
+_TxOK
+
+
+       )
+
+14901 \ 1
+       #STATR4_LEC2
+¡©r4
+.
+b\99
+.
+_LEC2
+
+
+       )
+
+14902 \ 1
+       #STATR4_LEC1
+¡©r4
+.
+b\99
+.
+_LEC1
+
+
+       )
+
+14903 \ 1
+       #STATR4_LEC0
+¡©r4
+.
+b\99
+.
+_LEC0
+
+
+       )
+
+14904 \ 1
+       #STATR4_LEC
+¡©r4
+.
+b\99c
+.
+_LEC
+
+
+       )
+
+14905 
+__IO_EXTERN
+ERRCNT4STR
+       g\94rút4
+;
+
+14906 \ 1
+       #ERRCNT4
+\94rút4
+.
+wÜd
+
+
+       )
+
+14907 \ 1
+       #ERRCNT4_RP
+\94rút4
+.
+b\99
+.
+_RP
+
+
+       )
+
+14908 \ 1
+       #ERRCNT4_REC6
+\94rút4
+.
+b\99
+.
+_REC6
+
+
+       )
+
+14909 \ 1
+       #ERRCNT4_REC5
+\94rút4
+.
+b\99
+.
+_REC5
+
+
+       )
+
+14910 \ 1
+       #ERRCNT4_REC4
+\94rút4
+.
+b\99
+.
+_REC4
+
+
+       )
+
+14911 \ 1
+       #ERRCNT4_REC3
+\94rút4
+.
+b\99
+.
+_REC3
+
+
+       )
+
+14912 \ 1
+       #ERRCNT4_REC2
+\94rút4
+.
+b\99
+.
+_REC2
+
+
+       )
+
+14913 \ 1
+       #ERRCNT4_REC1
+\94rút4
+.
+b\99
+.
+_REC1
+
+
+       )
+
+14914 \ 1
+       #ERRCNT4_REC0
+\94rút4
+.
+b\99
+.
+_REC0
+
+
+       )
+
+14915 \ 1
+       #ERRCNT4_TEC7
+\94rút4
+.
+b\99
+.
+_TEC7
+
+
+       )
+
+14916 \ 1
+       #ERRCNT4_TEC6
+\94rút4
+.
+b\99
+.
+_TEC6
+
+
+       )
+
+14917 \ 1
+       #ERRCNT4_TEC5
+\94rút4
+.
+b\99
+.
+_TEC5
+
+
+       )
+
+14918 \ 1
+       #ERRCNT4_TEC4
+\94rút4
+.
+b\99
+.
+_TEC4
+
+
+       )
+
+14919 \ 1
+       #ERRCNT4_TEC3
+\94rút4
+.
+b\99
+.
+_TEC3
+
+
+       )
+
+14920 \ 1
+       #ERRCNT4_TEC2
+\94rút4
+.
+b\99
+.
+_TEC2
+
+
+       )
+
+14921 \ 1
+       #ERRCNT4_TEC1
+\94rút4
+.
+b\99
+.
+_TEC1
+
+
+       )
+
+14922 \ 1
+       #ERRCNT4_TEC0
+\94rút4
+.
+b\99
+.
+_TEC0
+
+
+       )
+
+14923 \ 1
+       #ERRCNT4_REC
+\94rút4
+.
+b\99c
+.
+_REC
+
+
+       )
+
+14924 \ 1
+       #ERRCNT4_TEC
+\94rút4
+.
+b\99c
+.
+_TEC
+
+
+       )
+
+14925 
+__IO_EXTERN
+BTR4STR
+       gb\8c4
+;
+
+14926 \ 1
+       #BTR4
+b\8c4
+.
+wÜd
+
+
+       )
+
+14927 \ 1
+       #BTR4_T£g22
+b\8c4
+.
+b\99
+.
+_T£g22
+
+
+       )
+
+14928 \ 1
+       #BTR4_T£g21
+b\8c4
+.
+b\99
+.
+_T£g21
+
+
+       )
+
+14929 \ 1
+       #BTR4_T£g20
+b\8c4
+.
+b\99
+.
+_T£g20
+
+
+       )
+
+14930 \ 1
+       #BTR4_T£g13
+b\8c4
+.
+b\99
+.
+_T£g13
+
+
+       )
+
+14931 \ 1
+       #BTR4_T£g12
+b\8c4
+.
+b\99
+.
+_T£g12
+
+
+       )
+
+14932 \ 1
+       #BTR4_T£g11
+b\8c4
+.
+b\99
+.
+_T£g11
+
+
+       )
+
+14933 \ 1
+       #BTR4_T£g10
+b\8c4
+.
+b\99
+.
+_T£g10
+
+
+       )
+
+14934 \ 1
+       #BTR4_SJW1
+b\8c4
+.
+b\99
+.
+_SJW1
+
+
+       )
+
+14935 \ 1
+       #BTR4_SJW0
+b\8c4
+.
+b\99
+.
+_SJW0
+
+
+       )
+
+14936 \ 1
+       #BTR4_BRP5
+b\8c4
+.
+b\99
+.
+_BRP5
+
+
+       )
+
+14937 \ 1
+       #BTR4_BRP4
+b\8c4
+.
+b\99
+.
+_BRP4
+
+
+       )
+
+14938 \ 1
+       #BTR4_BRP3
+b\8c4
+.
+b\99
+.
+_BRP3
+
+
+       )
+
+14939 \ 1
+       #BTR4_BRP2
+b\8c4
+.
+b\99
+.
+_BRP2
+
+
+       )
+
+14940 \ 1
+       #BTR4_BRP1
+b\8c4
+.
+b\99
+.
+_BRP1
+
+
+       )
+
+14941 \ 1
+       #BTR4_BRP0
+b\8c4
+.
+b\99
+.
+_BRP0
+
+
+       )
+
+14942 \ 1
+       #BTR4_T£g2
+b\8c4
+.
+b\99c
+.
+_T£g2
+
+
+       )
+
+14943 \ 1
+       #BTR4_T£g1
+b\8c4
+.
+b\99c
+.
+_T£g1
+
+
+       )
+
+14944 \ 1
+       #BTR4_SJW
+b\8c4
+.
+b\99c
+.
+_SJW
+
+
+       )
+
+14945 \ 1
+       #BTR4_BRP
+b\8c4
+.
+b\99c
+.
+_BRP
+
+
+       )
+
+14946 
+__IO_EXTERN
+IO_WORD
+       g\9a\8c4
+;
+
+14947 \ 1
+       #INTR4
+\9a\8c4
+
+
+       )
+
+14948 
+__IO_EXTERN
+TESTR4STR
+       g\8b¡r4
+;
+
+14949 \ 1
+       #TESTR4
+\8b¡r4
+.
+wÜd
+
+
+       )
+
+14950 \ 1
+       #TESTR4_Rx
+\8b¡r4
+.
+b\99
+.
+_Rx
+
+
+       )
+
+14951 \ 1
+       #TESTR4_Tx1
+\8b¡r4
+.
+b\99
+.
+_Tx1
+
+
+       )
+
+14952 \ 1
+       #TESTR4_Tx0
+\8b¡r4
+.
+b\99
+.
+_Tx0
+
+
+       )
+
+14953 \ 1
+       #TESTR4_LBack
+\8b¡r4
+.
+b\99
+.
+_LBack
+
+
+       )
+
+14954 \ 1
+       #TESTR4_S\9e\92t
+\8b¡r4
+.
+b\99
+.
+_S\9e\92t
+
+
+       )
+
+14955 \ 1
+       #TESTR4_Basic
+\8b¡r4
+.
+b\99
+.
+_Basic
+
+
+       )
+
+14956 \ 1
+       #TESTR4_Tx
+\8b¡r4
+.
+b\99c
+.
+_Tx
+
+
+       )
+
+14957 
+__IO_EXTERN
+BRPER4STR
+       gb½\944
+;
+
+14958 \ 1
+       #BRPER4
+b½\944
+.
+wÜd
+
+
+       )
+
+14959 \ 1
+       #BRPER4_BRPE3
+b½\944
+.
+b\99
+.
+_BRPE3
+
+
+       )
+
+14960 \ 1
+       #BRPER4_BRPE2
+b½\944
+.
+b\99
+.
+_BRPE2
+
+
+       )
+
+14961 \ 1
+       #BRPER4_BRPE1
+b½\944
+.
+b\99
+.
+_BRPE1
+
+
+       )
+
+14962 \ 1
+       #BRPER4_BRPE0
+b½\944
+.
+b\99
+.
+_BRPE0
+
+
+       )
+
+14963 \ 1
+       #BRPER4_BRPE
+b½\944
+.
+b\99c
+.
+_BRPE
+
+
+       )
+
+14964 
+__IO_EXTERN
+BRPE4STR
+       gb½e4
+;
+
+14965 \ 1
+       #BRPE4
+b½e4
+.
+wÜd
+
+
+       )
+
+14966 
+__IO_EXTERN
+IF1CREQ4STR
+       gif1üeq4
+;
+
+14967 \ 1
+       #IF1CREQ4
+if1üeq4
+.
+wÜd
+
+
+       )
+
+14968 \ 1
+       #IF1CREQ4_Busy
+if1üeq4
+.
+b\99
+.
+_Busy
+
+
+       )
+
+14969 \ 1
+       #IF1CREQ4_MN5
+if1üeq4
+.
+b\99
+.
+_MN5
+
+
+       )
+
+14970 \ 1
+       #IF1CREQ4_MN4
+if1üeq4
+.
+b\99
+.
+_MN4
+
+
+       )
+
+14971 \ 1
+       #IF1CREQ4_MN3
+if1üeq4
+.
+b\99
+.
+_MN3
+
+
+       )
+
+14972 \ 1
+       #IF1CREQ4_MN2
+if1üeq4
+.
+b\99
+.
+_MN2
+
+
+       )
+
+14973 \ 1
+       #IF1CREQ4_MN1
+if1üeq4
+.
+b\99
+.
+_MN1
+
+
+       )
+
+14974 \ 1
+       #IF1CREQ4_MN0
+if1üeq4
+.
+b\99
+.
+_MN0
+
+
+       )
+
+14975 \ 1
+       #IF1CREQ4_MN
+if1üeq4
+.
+b\99c
+.
+_MN
+
+
+       )
+
+14976 
+__IO_EXTERN
+IF1CMSK4STR
+       gif1cmsk4
+;
+
+14977 \ 1
+       #IF1CMSK4
+if1cmsk4
+.
+wÜd
+
+
+       )
+
+14978 \ 1
+       #IF1CMSK4_WR
+if1cmsk4
+.
+b\99
+.
+_WR
+
+
+       )
+
+14979 \ 1
+       #IF1CMSK4_Mask
+if1cmsk4
+.
+b\99
+.
+_Mask
+
+
+       )
+
+14980 \ 1
+       #IF1CMSK4_Arb
+if1cmsk4
+.
+b\99
+.
+_Arb
+
+
+       )
+
+14981 \ 1
+       #IF1CMSK4_CÚ\8cÞ
+if1cmsk4
+.
+b\99
+.
+_CÚ\8cÞ
+
+
+       )
+
+14982 \ 1
+       #IF1CMSK4_CIP
+if1cmsk4
+.
+b\99
+.
+_CIP
+
+
+       )
+
+14983 \ 1
+       #IF1CMSK4_TxReq
+if1cmsk4
+.
+b\99
+.
+_TxReq
+
+
+       )
+
+14984 \ 1
+       #IF1CMSK4_D©aA
+if1cmsk4
+.
+b\99
+.
+_D©aA
+
+
+       )
+
+14985 \ 1
+       #IF1CMSK4_D©aB
+if1cmsk4
+.
+b\99
+.
+_D©aB
+
+
+       )
+
+14986 
+__IO_EXTERN
+IO_LWORD
+       gif1msk124
+;
+
+14987 \ 1
+       #IF1MSK124
+if1msk124
+
+
+       )
+
+14988 
+__IO_EXTERN
+IF1MSK24STR
+       gif1msk24
+;
+
+14989 \ 1
+       #IF1MSK24
+if1msk24
+.
+wÜd
+
+
+       )
+
+14990 \ 1
+       #IF1MSK24_MXtd
+if1msk24
+.
+b\99
+.
+_MXtd
+
+
+       )
+
+14991 \ 1
+       #IF1MSK24_MD\9c
+if1msk24
+.
+b\99
+.
+_MD\9c
+
+
+       )
+
+14992 
+__IO_EXTERN
+IO_WORD
+       gif1msk14
+;
+
+14993 \ 1
+       #IF1MSK14
+if1msk14
+
+
+       )
+
+14994 
+__IO_EXTERN
+IO_LWORD
+       gif1¬b124
+;
+
+14995 \ 1
+       #IF1ARB124
+if1¬b124
+
+
+       )
+
+14996 
+__IO_EXTERN
+IF1ARB24STR
+       gif1¬b24
+;
+
+14997 \ 1
+       #IF1ARB24
+if1¬b24
+.
+wÜd
+
+
+       )
+
+14998 \ 1
+       #IF1ARB24_MsgV®
+if1¬b24
+.
+b\99
+.
+_MsgV®
+
+
+       )
+
+14999 \ 1
+       #IF1ARB24_Xtd
+if1¬b24
+.
+b\99
+.
+_Xtd
+
+
+       )
+
+15000 \ 1
+       #IF1ARB24_DIR
+if1¬b24
+.
+b\99
+.
+_DIR
+
+
+       )
+
+15001 
+__IO_EXTERN
+IO_WORD
+       gif1¬b14
+;
+
+15002 \ 1
+       #IF1ARB14
+if1¬b14
+
+
+       )
+
+15003 
+__IO_EXTERN
+IF1MCTR4STR
+       gif1mùr4
+;
+
+15004 \ 1
+       #IF1MCTR4
+if1mùr4
+.
+wÜd
+
+
+       )
+
+15005 \ 1
+       #IF1MCTR4_NewD©
+if1mùr4
+.
+b\99
+.
+_NewD©
+
+
+       )
+
+15006 \ 1
+       #IF1MCTR4_MsgL¡
+if1mùr4
+.
+b\99
+.
+_MsgL¡
+
+
+       )
+
+15007 \ 1
+       #IF1MCTR4_IÁPnd
+if1mùr4
+.
+b\99
+.
+_IÁPnd
+
+
+       )
+
+15008 \ 1
+       #IF1MCTR4_UMask
+if1mùr4
+.
+b\99
+.
+_UMask
+
+
+       )
+
+15009 \ 1
+       #IF1MCTR4_TxIE
+if1mùr4
+.
+b\99
+.
+_TxIE
+
+
+       )
+
+15010 \ 1
+       #IF1MCTR4_RxIE
+if1mùr4
+.
+b\99
+.
+_RxIE
+
+
+       )
+
+15011 \ 1
+       #IF1MCTR4_RmtEn
+if1mùr4
+.
+b\99
+.
+_RmtEn
+
+
+       )
+
+15012 \ 1
+       #IF1MCTR4_TxRq¡
+if1mùr4
+.
+b\99
+.
+_TxRq¡
+
+
+       )
+
+15013 \ 1
+       #IF1MCTR4_EoB
+if1mùr4
+.
+b\99
+.
+_EoB
+
+
+       )
+
+15014 \ 1
+       #IF1MCTR4_DLC3
+if1mùr4
+.
+b\99
+.
+_DLC3
+
+
+       )
+
+15015 \ 1
+       #IF1MCTR4_DLC2
+if1mùr4
+.
+b\99
+.
+_DLC2
+
+
+       )
+
+15016 \ 1
+       #IF1MCTR4_DLC1
+if1mùr4
+.
+b\99
+.
+_DLC1
+
+
+       )
+
+15017 \ 1
+       #IF1MCTR4_DLC0
+if1mùr4
+.
+b\99
+.
+_DLC0
+
+
+       )
+
+15018 \ 1
+       #IF1MCTR4_DLC
+if1mùr4
+.
+b\99c
+.
+_DLC
+
+
+       )
+
+15019 
+__IO_EXTERN
+IO_LWORD
+       gif1d\8f124
+;
+
+15020 \ 1
+       #IF1DTA124
+if1d\8f124
+
+
+       )
+
+15021 
+__IO_EXTERN
+IO_WORD
+       gif1d\8f14
+;
+
+15022 \ 1
+       #IF1DTA14
+if1d\8f14
+
+
+       )
+
+15023 
+__IO_EXTERN
+IO_WORD
+       gif1d\8f24
+;
+
+15024 \ 1
+       #IF1DTA24
+if1d\8f24
+
+
+       )
+
+15025 
+__IO_EXTERN
+IO_LWORD
+       gif1dtb124
+;
+
+15026 \ 1
+       #IF1DTB124
+if1dtb124
+
+
+       )
+
+15027 
+__IO_EXTERN
+IO_WORD
+       gif1dtb14
+;
+
+15028 \ 1
+       #IF1DTB14
+if1dtb14
+
+
+       )
+
+15029 
+__IO_EXTERN
+IO_WORD
+       gif1dtb24
+;
+
+15030 \ 1
+       #IF1DTB24
+if1dtb24
+
+
+       )
+
+15031 
+__IO_EXTERN
+IO_LWORD
+       gif1d\8f_swp124
+;
+
+15032 \ 1
+       #IF1DTA_SWP124
+if1d\8f_swp124
+
+
+       )
+
+15033 
+__IO_EXTERN
+IO_WORD
+       gif1d\8f_swp24
+;
+
+15034 \ 1
+       #IF1DTA_SWP24
+if1d\8f_swp24
+
+
+       )
+
+15035 
+__IO_EXTERN
+IO_WORD
+       gif1d\8f_swp14
+;
+
+15036 \ 1
+       #IF1DTA_SWP14
+if1d\8f_swp14
+
+
+       )
+
+15037 
+__IO_EXTERN
+IO_LWORD
+       gif1dtb_swp124
+;
+
+15038 \ 1
+       #IF1DTB_SWP124
+if1dtb_swp124
+
+
+       )
+
+15039 
+__IO_EXTERN
+IO_WORD
+       gif1dtb_swp24
+;
+
+15040 \ 1
+       #IF1DTB_SWP24
+if1dtb_swp24
+
+
+       )
+
+15041 
+__IO_EXTERN
+IO_WORD
+       gif1dtb_swp14
+;
+
+15042 \ 1
+       #IF1DTB_SWP14
+if1dtb_swp14
+
+
+       )
+
+15043 
+__IO_EXTERN
+IF2CREQ4STR
+       gif2üeq4
+;
+
+15044 \ 1
+       #IF2CREQ4
+if2üeq4
+.
+wÜd
+
+
+       )
+
+15045 \ 1
+       #IF2CREQ4_Busy
+if2üeq4
+.
+b\99
+.
+_Busy
+
+
+       )
+
+15046 \ 1
+       #IF2CREQ4_MN5
+if2üeq4
+.
+b\99
+.
+_MN5
+
+
+       )
+
+15047 \ 1
+       #IF2CREQ4_MN4
+if2üeq4
+.
+b\99
+.
+_MN4
+
+
+       )
+
+15048 \ 1
+       #IF2CREQ4_MN3
+if2üeq4
+.
+b\99
+.
+_MN3
+
+
+       )
+
+15049 \ 1
+       #IF2CREQ4_MN2
+if2üeq4
+.
+b\99
+.
+_MN2
+
+
+       )
+
+15050 \ 1
+       #IF2CREQ4_MN1
+if2üeq4
+.
+b\99
+.
+_MN1
+
+
+       )
+
+15051 \ 1
+       #IF2CREQ4_MN0
+if2üeq4
+.
+b\99
+.
+_MN0
+
+
+       )
+
+15052 \ 1
+       #IF2CREQ4_MN
+if2üeq4
+.
+b\99c
+.
+_MN
+
+
+       )
+
+15053 
+__IO_EXTERN
+IF2CMSK4STR
+       gif2cmsk4
+;
+
+15054 \ 1
+       #IF2CMSK4
+if2cmsk4
+.
+wÜd
+
+
+       )
+
+15055 \ 1
+       #IF2CMSK4_WR
+if2cmsk4
+.
+b\99
+.
+_WR
+
+
+       )
+
+15056 \ 1
+       #IF2CMSK4_Mask
+if2cmsk4
+.
+b\99
+.
+_Mask
+
+
+       )
+
+15057 \ 1
+       #IF2CMSK4_Arb
+if2cmsk4
+.
+b\99
+.
+_Arb
+
+
+       )
+
+15058 \ 1
+       #IF2CMSK4_CÚ\8cÞ
+if2cmsk4
+.
+b\99
+.
+_CÚ\8cÞ
+
+
+       )
+
+15059 \ 1
+       #IF2CMSK4_CIP
+if2cmsk4
+.
+b\99
+.
+_CIP
+
+
+       )
+
+15060 \ 1
+       #IF2CMSK4_TxReq
+if2cmsk4
+.
+b\99
+.
+_TxReq
+
+
+       )
+
+15061 \ 1
+       #IF2CMSK4_D©aA
+if2cmsk4
+.
+b\99
+.
+_D©aA
+
+
+       )
+
+15062 \ 1
+       #IF2CMSK4_D©aB
+if2cmsk4
+.
+b\99
+.
+_D©aB
+
+
+       )
+
+15063 
+__IO_EXTERN
+IO_LWORD
+       gif2msk124
+;
+
+15064 \ 1
+       #IF2MSK124
+if2msk124
+
+
+       )
+
+15065 
+__IO_EXTERN
+IF2MSK24STR
+       gif2msk24
+;
+
+15066 \ 1
+       #IF2MSK24
+if2msk24
+.
+wÜd
+
+
+       )
+
+15067 \ 1
+       #IF2MSK24_MXtd
+if2msk24
+.
+b\99
+.
+_MXtd
+
+
+       )
+
+15068 \ 1
+       #IF2MSK24_MD\9c
+if2msk24
+.
+b\99
+.
+_MD\9c
+
+
+       )
+
+15069 
+__IO_EXTERN
+IO_WORD
+       gif2msk14
+;
+
+15070 \ 1
+       #IF2MSK14
+if2msk14
+
+
+       )
+
+15071 
+__IO_EXTERN
+IO_LWORD
+       gif2¬b124
+;
+
+15072 \ 1
+       #IF2ARB124
+if2¬b124
+
+
+       )
+
+15073 
+__IO_EXTERN
+IF2ARB24STR
+       gif2¬b24
+;
+
+15074 \ 1
+       #IF2ARB24
+if2¬b24
+.
+wÜd
+
+
+       )
+
+15075 \ 1
+       #IF2ARB24_MsgV®
+if2¬b24
+.
+b\99
+.
+_MsgV®
+
+
+       )
+
+15076 \ 1
+       #IF2ARB24_Xtd
+if2¬b24
+.
+b\99
+.
+_Xtd
+
+
+       )
+
+15077 \ 1
+       #IF2ARB24_DIR
+if2¬b24
+.
+b\99
+.
+_DIR
+
+
+       )
+
+15078 
+__IO_EXTERN
+IO_WORD
+       gif2¬b14
+;
+
+15079 \ 1
+       #IF2ARB14
+if2¬b14
+
+
+       )
+
+15080 
+__IO_EXTERN
+IF2MCTR4STR
+       gif2mùr4
+;
+
+15081 \ 1
+       #IF2MCTR4
+if2mùr4
+.
+wÜd
+
+
+       )
+
+15082 \ 1
+       #IF2MCTR4_NewD©
+if2mùr4
+.
+b\99
+.
+_NewD©
+
+
+       )
+
+15083 \ 1
+       #IF2MCTR4_MsgL¡
+if2mùr4
+.
+b\99
+.
+_MsgL¡
+
+
+       )
+
+15084 \ 1
+       #IF2MCTR4_IÁPnd
+if2mùr4
+.
+b\99
+.
+_IÁPnd
+
+
+       )
+
+15085 \ 1
+       #IF2MCTR4_UMask
+if2mùr4
+.
+b\99
+.
+_UMask
+
+
+       )
+
+15086 \ 1
+       #IF2MCTR4_TxIE
+if2mùr4
+.
+b\99
+.
+_TxIE
+
+
+       )
+
+15087 \ 1
+       #IF2MCTR4_RxIE
+if2mùr4
+.
+b\99
+.
+_RxIE
+
+
+       )
+
+15088 \ 1
+       #IF2MCTR4_RmtEn
+if2mùr4
+.
+b\99
+.
+_RmtEn
+
+
+       )
+
+15089 \ 1
+       #IF2MCTR4_TxRq¡
+if2mùr4
+.
+b\99
+.
+_TxRq¡
+
+
+       )
+
+15090 \ 1
+       #IF2MCTR4_EoB
+if2mùr4
+.
+b\99
+.
+_EoB
+
+
+       )
+
+15091 \ 1
+       #IF2MCTR4_DLC3
+if2mùr4
+.
+b\99
+.
+_DLC3
+
+
+       )
+
+15092 \ 1
+       #IF2MCTR4_DLC2
+if2mùr4
+.
+b\99
+.
+_DLC2
+
+
+       )
+
+15093 \ 1
+       #IF2MCTR4_DLC1
+if2mùr4
+.
+b\99
+.
+_DLC1
+
+
+       )
+
+15094 \ 1
+       #IF2MCTR4_DLC0
+if2mùr4
+.
+b\99
+.
+_DLC0
+
+
+       )
+
+15095 \ 1
+       #IF2MCTR4_DLC
+if2mùr4
+.
+b\99c
+.
+_DLC
+
+
+       )
+
+15096 
+__IO_EXTERN
+IO_LWORD
+       gif2d\8f124
+;
+
+15097 \ 1
+       #IF2DTA124
+if2d\8f124
+
+
+       )
+
+15098 
+__IO_EXTERN
+IO_WORD
+       gif2d\8f14
+;
+
+15099 \ 1
+       #IF2DTA14
+if2d\8f14
+
+
+       )
+
+15100 
+__IO_EXTERN
+IO_WORD
+       gif2d\8f24
+;
+
+15101 \ 1
+       #IF2DTA24
+if2d\8f24
+
+
+       )
+
+15102 
+__IO_EXTERN
+IO_LWORD
+       gif2dtb124
+;
+
+15103 \ 1
+       #IF2DTB124
+if2dtb124
+
+
+       )
+
+15104 
+__IO_EXTERN
+IO_WORD
+       gif2dtb14
+;
+
+15105 \ 1
+       #IF2DTB14
+if2dtb14
+
+
+       )
+
+15106 
+__IO_EXTERN
+IO_WORD
+       gif2dtb24
+;
+
+15107 \ 1
+       #IF2DTB24
+if2dtb24
+
+
+       )
+
+15108 
+__IO_EXTERN
+IO_LWORD
+       gif2d\8f_swp124
+;
+
+15109 \ 1
+       #IF2DTA_SWP124
+if2d\8f_swp124
+
+
+       )
+
+15110 
+__IO_EXTERN
+IO_WORD
+       gif2d\8f_swp24
+;
+
+15111 \ 1
+       #IF2DTA_SWP24
+if2d\8f_swp24
+
+
+       )
+
+15112 
+__IO_EXTERN
+IO_WORD
+       gif2d\8f_swp14
+;
+
+15113 \ 1
+       #IF2DTA_SWP14
+if2d\8f_swp14
+
+
+       )
+
+15114 
+__IO_EXTERN
+IO_LWORD
+       gif2dtb_swp124
+;
+
+15115 \ 1
+       #IF2DTB_SWP124
+if2dtb_swp124
+
+
+       )
+
+15116 
+__IO_EXTERN
+IO_WORD
+       gif2dtb_swp24
+;
+
+15117 \ 1
+       #IF2DTB_SWP24
+if2dtb_swp24
+
+
+       )
+
+15118 
+__IO_EXTERN
+IO_WORD
+       gif2dtb_swp14
+;
+
+15119 \ 1
+       #IF2DTB_SWP14
+if2dtb_swp14
+
+
+       )
+
+15120 
+__IO_EXTERN
+IO_LWORD
+       g\8ceqr124
+;
+
+15121 \ 1
+       #TREQR124
+\8ceqr124
+
+
+       )
+
+15122 
+__IO_EXTERN
+IO_WORD
+       g\8ceqr24
+;
+
+15123 \ 1
+       #TREQR24
+\8ceqr24
+
+
+       )
+
+15124 
+__IO_EXTERN
+IO_WORD
+       g\8ceqr14
+;
+
+15125 \ 1
+       #TREQR14
+\8ceqr14
+
+
+       )
+
+15126 
+__IO_EXTERN
+IO_LWORD
+       g\8ceqr344
+;
+
+15127 \ 1
+       #TREQR344
+\8ceqr344
+
+
+       )
+
+15128 
+__IO_EXTERN
+IO_LWORD
+       gÃwdt124
+;
+
+15129 \ 1
+       #NEWDT124
+Ãwdt124
+
+
+       )
+
+15130 
+__IO_EXTERN
+IO_WORD
+       gÃwdt24
+;
+
+15131 \ 1
+       #NEWDT24
+Ãwdt24
+
+
+       )
+
+15132 
+__IO_EXTERN
+IO_WORD
+       gÃwdt14
+;
+
+15133 \ 1
+       #NEWDT14
+Ãwdt14
+
+
+       )
+
+15134 
+__IO_EXTERN
+IO_LWORD
+       g\9a\8dnd124
+;
+
+15135 \ 1
+       #INTPND124
+\9a\8dnd124
+
+
+       )
+
+15136 
+__IO_EXTERN
+IO_WORD
+       g\9a\8dnd24
+;
+
+15137 \ 1
+       #INTPND24
+\9a\8dnd24
+
+
+       )
+
+15138 
+__IO_EXTERN
+IO_WORD
+       g\9a\8dnd14
+;
+
+15139 \ 1
+       #INTPND14
+\9a\8dnd14
+
+
+       )
+
+15140 
+__IO_EXTERN
+IO_LWORD
+       gmsgv®124
+;
+
+15141 \ 1
+       #MSGVAL124
+msgv®124
+
+
+       )
+
+15142 
+__IO_EXTERN
+IO_WORD
+       gmsgv®24
+;
+
+15143 \ 1
+       #MSGVAL24
+msgv®24
+
+
+       )
+
+15144 
+__IO_EXTERN
+IO_WORD
+       gmsgv®14
+;
+
+15145 \ 1
+       #MSGVAL14
+msgv®14
+
+
+       )
+
+15146 
+__IO_EXTERN
+BCTRLSTR
+       gbù¾
+;
+
+15147 \ 1
+       #BCTRL
+bù¾
+.
+lwÜd
+
+
+       )
+
+15148 \ 1
+       #BCTRL_SR
+bù¾
+.
+b\99
+.
+_SR
+
+
+       )
+
+15149 \ 1
+       #BCTRL_SW
+bù¾
+.
+b\99
+.
+_SW
+
+
+       )
+
+15150 \ 1
+       #BCTRL_SX
+bù¾
+.
+b\99
+.
+_SX
+
+
+       )
+
+15151 \ 1
+       #BCTRL_UR
+bù¾
+.
+b\99
+.
+_UR
+
+
+       )
+
+15152 \ 1
+       #BCTRL_UW
+bù¾
+.
+b\99
+.
+_UW
+
+
+       )
+
+15153 \ 1
+       #BCTRL_UX
+bù¾
+.
+b\99
+.
+_UX
+
+
+       )
+
+15154 \ 1
+       #BCTRL_FCPU
+bù¾
+.
+b\99
+.
+_FCPU
+
+
+       )
+
+15155 \ 1
+       #BCTRL_FDMA
+bù¾
+.
+b\99
+.
+_FDMA
+
+
+       )
+
+15156 \ 1
+       #BCTRL_EEMM
+bù¾
+.
+b\99
+.
+_EEMM
+
+
+       )
+
+15157 \ 1
+       #BCTRL_PFD
+bù¾
+.
+b\99
+.
+_PFD
+
+
+       )
+
+15158 \ 1
+       #BCTRL_SINT1
+bù¾
+.
+b\99
+.
+_SINT1
+
+
+       )
+
+15159 \ 1
+       #BCTRL_SINT0
+bù¾
+.
+b\99
+.
+_SINT0
+
+
+       )
+
+15160 \ 1
+       #BCTRL_EINT1
+bù¾
+.
+b\99
+.
+_EINT1
+
+
+       )
+
+15161 \ 1
+       #BCTRL_EINT0
+bù¾
+.
+b\99
+.
+_EINT0
+
+
+       )
+
+15162 \ 1
+       #BCTRL_EINTT
+bù¾
+.
+b\99
+.
+_EINTT
+
+
+       )
+
+15163 \ 1
+       #BCTRL_EINTR
+bù¾
+.
+b\99
+.
+_EINTR
+
+
+       )
+
+15164 \ 1
+       #BCTRL_SINT
+bù¾
+.
+b\99c
+.
+_SINT
+
+
+       )
+
+15165 \ 1
+       #BCTRL_EINT
+bù¾
+.
+b\99c
+.
+_EINT
+
+
+       )
+
+15166 
+__IO_EXTERN
+BSTATSTR
+       gb¡©
+;
+
+15167 \ 1
+       #BSTAT
+b¡©
+.
+lwÜd
+
+
+       )
+
+15168 \ 1
+       #BSTAT_IDX4
+b¡©
+.
+b\99
+.
+_IDX4
+
+
+       )
+
+15169 \ 1
+       #BSTAT_IDX3
+b¡©
+.
+b\99
+.
+_IDX3
+
+
+       )
+
+15170 \ 1
+       #BSTAT_IDX2
+b¡©
+.
+b\99
+.
+_IDX2
+
+
+       )
+
+15171 \ 1
+       #BSTAT_IDX1
+b¡©
+.
+b\99
+.
+_IDX1
+
+
+       )
+
+15172 \ 1
+       #BSTAT_IDX0
+b¡©
+.
+b\99
+.
+_IDX0
+
+
+       )
+
+15173 \ 1
+       #BSTAT_CDMA
+b¡©
+.
+b\99
+.
+_CDMA
+
+
+       )
+
+15174 \ 1
+       #BSTAT_CSZ1
+b¡©
+.
+b\99
+.
+_CSZ1
+
+
+       )
+
+15175 \ 1
+       #BSTAT_CSZ0
+b¡©
+.
+b\99
+.
+_CSZ0
+
+
+       )
+
+15176 \ 1
+       #BSTAT_CRW1
+b¡©
+.
+b\99
+.
+_CRW1
+
+
+       )
+
+15177 \ 1
+       #BSTAT_CRW0
+b¡©
+.
+b\99
+.
+_CRW0
+
+
+       )
+
+15178 \ 1
+       #BSTAT_PV
+b¡©
+.
+b\99
+.
+_PV
+
+
+       )
+
+15179 \ 1
+       #BSTAT_RST
+b¡©
+.
+b\99
+.
+_RST
+
+
+       )
+
+15180 \ 1
+       #BSTAT_INT1
+b¡©
+.
+b\99
+.
+_INT1
+
+
+       )
+
+15181 \ 1
+       #BSTAT_INT0
+b¡©
+.
+b\99
+.
+_INT0
+
+
+       )
+
+15182 \ 1
+       #BSTAT_INTT
+b¡©
+.
+b\99
+.
+_INTT
+
+
+       )
+
+15183 \ 1
+       #BSTAT_INTR
+b¡©
+.
+b\99
+.
+_INTR
+
+
+       )
+
+15184 \ 1
+       #BSTAT_IDX
+b¡©
+.
+b\99c
+.
+_IDX
+
+
+       )
+
+15185 \ 1
+       #BSTAT_CSZ
+b¡©
+.
+b\99c
+.
+_CSZ
+
+
+       )
+
+15186 \ 1
+       #BSTAT_CRW
+b¡©
+.
+b\99c
+.
+_CRW
+
+
+       )
+
+15187 \ 1
+       #BSTAT_INT
+b¡©
+.
+b\99c
+.
+_INT
+
+
+       )
+
+15188 
+__IO_EXTERN
+IO_LWORD
+       gb\9fc
+;
+
+15189 \ 1
+       #BIAC
+b\9fc
+
+
+       )
+
+15190 
+__IO_EXTERN
+IO_LWORD
+       gbßc
+;
+
+15191 \ 1
+       #BOAC
+bßc
+
+
+       )
+
+15192 
+__IO_EXTERN
+BIRQSTR
+       gb\9cq
+;
+
+15193 \ 1
+       #BIRQ
+b\9cq
+.
+lwÜd
+
+
+       )
+
+15194 \ 1
+       #BIRQ_BD31
+b\9cq
+.
+b\99
+.
+_BD31
+
+
+       )
+
+15195 \ 1
+       #BIRQ_BD30
+b\9cq
+.
+b\99
+.
+_BD30
+
+
+       )
+
+15196 \ 1
+       #BIRQ_BD29
+b\9cq
+.
+b\99
+.
+_BD29
+
+
+       )
+
+15197 \ 1
+       #BIRQ_BD28
+b\9cq
+.
+b\99
+.
+_BD28
+
+
+       )
+
+15198 \ 1
+       #BIRQ_BD27
+b\9cq
+.
+b\99
+.
+_BD27
+
+
+       )
+
+15199 \ 1
+       #BIRQ_BD26
+b\9cq
+.
+b\99
+.
+_BD26
+
+
+       )
+
+15200 \ 1
+       #BIRQ_BD25
+b\9cq
+.
+b\99
+.
+_BD25
+
+
+       )
+
+15201 \ 1
+       #BIRQ_BD24
+b\9cq
+.
+b\99
+.
+_BD24
+
+
+       )
+
+15202 \ 1
+       #BIRQ_BD23
+b\9cq
+.
+b\99
+.
+_BD23
+
+
+       )
+
+15203 \ 1
+       #BIRQ_BD22
+b\9cq
+.
+b\99
+.
+_BD22
+
+
+       )
+
+15204 \ 1
+       #BIRQ_BD21
+b\9cq
+.
+b\99
+.
+_BD21
+
+
+       )
+
+15205 \ 1
+       #BIRQ_BD20
+b\9cq
+.
+b\99
+.
+_BD20
+
+
+       )
+
+15206 \ 1
+       #BIRQ_BD19
+b\9cq
+.
+b\99
+.
+_BD19
+
+
+       )
+
+15207 \ 1
+       #BIRQ_BD18
+b\9cq
+.
+b\99
+.
+_BD18
+
+
+       )
+
+15208 \ 1
+       #BIRQ_BD17
+b\9cq
+.
+b\99
+.
+_BD17
+
+
+       )
+
+15209 \ 1
+       #BIRQ_BD16
+b\9cq
+.
+b\99
+.
+_BD16
+
+
+       )
+
+15210 \ 1
+       #BIRQ_BD15
+b\9cq
+.
+b\99
+.
+_BD15
+
+
+       )
+
+15211 \ 1
+       #BIRQ_BD14
+b\9cq
+.
+b\99
+.
+_BD14
+
+
+       )
+
+15212 \ 1
+       #BIRQ_BD13
+b\9cq
+.
+b\99
+.
+_BD13
+
+
+       )
+
+15213 \ 1
+       #BIRQ_BD12
+b\9cq
+.
+b\99
+.
+_BD12
+
+
+       )
+
+15214 \ 1
+       #BIRQ_BD11
+b\9cq
+.
+b\99
+.
+_BD11
+
+
+       )
+
+15215 \ 1
+       #BIRQ_BD10
+b\9cq
+.
+b\99
+.
+_BD10
+
+
+       )
+
+15216 \ 1
+       #BIRQ_BD9
+b\9cq
+.
+b\99
+.
+_BD9
+
+
+       )
+
+15217 \ 1
+       #BIRQ_BD8
+b\9cq
+.
+b\99
+.
+_BD8
+
+
+       )
+
+15218 \ 1
+       #BIRQ_BD7
+b\9cq
+.
+b\99
+.
+_BD7
+
+
+       )
+
+15219 \ 1
+       #BIRQ_BD6
+b\9cq
+.
+b\99
+.
+_BD6
+
+
+       )
+
+15220 \ 1
+       #BIRQ_BD5
+b\9cq
+.
+b\99
+.
+_BD5
+
+
+       )
+
+15221 \ 1
+       #BIRQ_BD4
+b\9cq
+.
+b\99
+.
+_BD4
+
+
+       )
+
+15222 \ 1
+       #BIRQ_BD3
+b\9cq
+.
+b\99
+.
+_BD3
+
+
+       )
+
+15223 \ 1
+       #BIRQ_BD2
+b\9cq
+.
+b\99
+.
+_BD2
+
+
+       )
+
+15224 \ 1
+       #BIRQ_BD1
+b\9cq
+.
+b\99
+.
+_BD1
+
+
+       )
+
+15225 \ 1
+       #BIRQ_BD0
+b\9cq
+.
+b\99
+.
+_BD0
+
+
+       )
+
+15226 
+__IO_EXTERN
+BCR0STR
+       gbü0
+;
+
+15227 \ 1
+       #BCR0
+bü0
+.
+lwÜd
+
+
+       )
+
+15228 \ 1
+       #BCR0_SRX1
+bü0
+.
+b\99
+.
+_SRX1
+
+
+       )
+
+15229 \ 1
+       #BCR0_SW1
+bü0
+.
+b\99
+.
+_SW1
+
+
+       )
+
+15230 \ 1
+       #BCR0_SRX0
+bü0
+.
+b\99
+.
+_SRX0
+
+
+       )
+
+15231 \ 1
+       #BCR0_SW0
+bü0
+.
+b\99
+.
+_SW0
+
+
+       )
+
+15232 \ 1
+       #BCR0_URX1
+bü0
+.
+b\99
+.
+_URX1
+
+
+       )
+
+15233 \ 1
+       #BCR0_UW1
+bü0
+.
+b\99
+.
+_UW1
+
+
+       )
+
+15234 \ 1
+       #BCR0_URX0
+bü0
+.
+b\99
+.
+_URX0
+
+
+       )
+
+15235 \ 1
+       #BCR0_UW0
+bü0
+.
+b\99
+.
+_UW0
+
+
+       )
+
+15236 \ 1
+       #BCR0_MPE
+bü0
+.
+b\99
+.
+_MPE
+
+
+       )
+
+15237 \ 1
+       #BCR0_COMB
+bü0
+.
+b\99
+.
+_COMB
+
+
+       )
+
+15238 \ 1
+       #BCR0_CTC1
+bü0
+.
+b\99
+.
+_CTC1
+
+
+       )
+
+15239 \ 1
+       #BCR0_CTC0
+bü0
+.
+b\99
+.
+_CTC0
+
+
+       )
+
+15240 \ 1
+       #BCR0_OBS1
+bü0
+.
+b\99
+.
+_OBS1
+
+
+       )
+
+15241 \ 1
+       #BCR0_OBS0
+bü0
+.
+b\99
+.
+_OBS0
+
+
+       )
+
+15242 \ 1
+       #BCR0_OBT1
+bü0
+.
+b\99
+.
+_OBT1
+
+
+       )
+
+15243 \ 1
+       #BCR0_OBT0
+bü0
+.
+b\99
+.
+_OBT0
+
+
+       )
+
+15244 \ 1
+       #BCR0_EP3
+bü0
+.
+b\99
+.
+_EP3
+
+
+       )
+
+15245 \ 1
+       #BCR0_EP2
+bü0
+.
+b\99
+.
+_EP2
+
+
+       )
+
+15246 \ 1
+       #BCR0_EP1
+bü0
+.
+b\99
+.
+_EP1
+
+
+       )
+
+15247 \ 1
+       #BCR0_EP0
+bü0
+.
+b\99
+.
+_EP0
+
+
+       )
+
+15248 \ 1
+       #BCR0_EM1
+bü0
+.
+b\99
+.
+_EM1
+
+
+       )
+
+15249 \ 1
+       #BCR0_EM0
+bü0
+.
+b\99
+.
+_EM0
+
+
+       )
+
+15250 \ 1
+       #BCR0_ER1
+bü0
+.
+b\99
+.
+_ER1
+
+
+       )
+
+15251 \ 1
+       #BCR0_ER0
+bü0
+.
+b\99
+.
+_ER0
+
+
+       )
+
+15252 \ 1
+       #BCR0_CTC
+bü0
+.
+b\99c
+.
+_CTC
+
+
+       )
+
+15253 \ 1
+       #BCR0_OBS
+bü0
+.
+b\99c
+.
+_OBS
+
+
+       )
+
+15254 \ 1
+       #BCR0_OBT
+bü0
+.
+b\99c
+.
+_OBT
+
+
+       )
+
+15255 \ 1
+       #BCR0_EP
+bü0
+.
+b\99c
+.
+_EP
+
+
+       )
+
+15256 \ 1
+       #BCR0_EM
+bü0
+.
+b\99c
+.
+_EM
+
+
+       )
+
+15257 \ 1
+       #BCR0_ER
+bü0
+.
+b\99c
+.
+_ER
+
+
+       )
+
+15258 
+__IO_EXTERN
+BCR1STR
+       gbü1
+;
+
+15259 \ 1
+       #BCR1
+bü1
+.
+lwÜd
+
+
+       )
+
+15260 \ 1
+       #BCR1_SRX1
+bü1
+.
+b\99
+.
+_SRX1
+
+
+       )
+
+15261 \ 1
+       #BCR1_SW1
+bü1
+.
+b\99
+.
+_SW1
+
+
+       )
+
+15262 \ 1
+       #BCR1_SRX0
+bü1
+.
+b\99
+.
+_SRX0
+
+
+       )
+
+15263 \ 1
+       #BCR1_SW0
+bü1
+.
+b\99
+.
+_SW0
+
+
+       )
+
+15264 \ 1
+       #BCR1_URX1
+bü1
+.
+b\99
+.
+_URX1
+
+
+       )
+
+15265 \ 1
+       #BCR1_UW1
+bü1
+.
+b\99
+.
+_UW1
+
+
+       )
+
+15266 \ 1
+       #BCR1_URX0
+bü1
+.
+b\99
+.
+_URX0
+
+
+       )
+
+15267 \ 1
+       #BCR1_UW0
+bü1
+.
+b\99
+.
+_UW0
+
+
+       )
+
+15268 \ 1
+       #BCR1_MPE
+bü1
+.
+b\99
+.
+_MPE
+
+
+       )
+
+15269 \ 1
+       #BCR1_COMB
+bü1
+.
+b\99
+.
+_COMB
+
+
+       )
+
+15270 \ 1
+       #BCR1_CTC1
+bü1
+.
+b\99
+.
+_CTC1
+
+
+       )
+
+15271 \ 1
+       #BCR1_CTC0
+bü1
+.
+b\99
+.
+_CTC0
+
+
+       )
+
+15272 \ 1
+       #BCR1_OBS1
+bü1
+.
+b\99
+.
+_OBS1
+
+
+       )
+
+15273 \ 1
+       #BCR1_OBS0
+bü1
+.
+b\99
+.
+_OBS0
+
+
+       )
+
+15274 \ 1
+       #BCR1_OBT1
+bü1
+.
+b\99
+.
+_OBT1
+
+
+       )
+
+15275 \ 1
+       #BCR1_OBT0
+bü1
+.
+b\99
+.
+_OBT0
+
+
+       )
+
+15276 \ 1
+       #BCR1_EP3
+bü1
+.
+b\99
+.
+_EP3
+
+
+       )
+
+15277 \ 1
+       #BCR1_EP2
+bü1
+.
+b\99
+.
+_EP2
+
+
+       )
+
+15278 \ 1
+       #BCR1_EP1
+bü1
+.
+b\99
+.
+_EP1
+
+
+       )
+
+15279 \ 1
+       #BCR1_EP0
+bü1
+.
+b\99
+.
+_EP0
+
+
+       )
+
+15280 \ 1
+       #BCR1_EM1
+bü1
+.
+b\99
+.
+_EM1
+
+
+       )
+
+15281 \ 1
+       #BCR1_EM0
+bü1
+.
+b\99
+.
+_EM0
+
+
+       )
+
+15282 \ 1
+       #BCR1_ER1
+bü1
+.
+b\99
+.
+_ER1
+
+
+       )
+
+15283 \ 1
+       #BCR1_ER0
+bü1
+.
+b\99
+.
+_ER0
+
+
+       )
+
+15284 \ 1
+       #BCR1_CTC
+bü1
+.
+b\99c
+.
+_CTC
+
+
+       )
+
+15285 \ 1
+       #BCR1_OBS
+bü1
+.
+b\99c
+.
+_OBS
+
+
+       )
+
+15286 \ 1
+       #BCR1_OBT
+bü1
+.
+b\99c
+.
+_OBT
+
+
+       )
+
+15287 \ 1
+       #BCR1_EP
+bü1
+.
+b\99c
+.
+_EP
+
+
+       )
+
+15288 \ 1
+       #BCR1_EM
+bü1
+.
+b\99c
+.
+_EM
+
+
+       )
+
+15289 \ 1
+       #BCR1_ER
+bü1
+.
+b\99c
+.
+_ER
+
+
+       )
+
+15290 
+__IO_EXTERN
+IO_LWORD
+       gbad0
+;
+
+15291 \ 1
+       #BAD0
+bad0
+
+
+       )
+
+15292 
+__IO_EXTERN
+IO_LWORD
+       gbad1
+;
+
+15293 \ 1
+       #BAD1
+bad1
+
+
+       )
+
+15294 
+__IO_EXTERN
+IO_LWORD
+       gbad2
+;
+
+15295 \ 1
+       #BAD2
+bad2
+
+
+       )
+
+15296 
+__IO_EXTERN
+IO_LWORD
+       gbad3
+;
+
+15297 \ 1
+       #BAD3
+bad3
+
+
+       )
+
+15298 
+__IO_EXTERN
+IO_LWORD
+       gbad4
+;
+
+15299 \ 1
+       #BAD4
+bad4
+
+
+       )
+
+15300 
+__IO_EXTERN
+IO_LWORD
+       gbad5
+;
+
+15301 \ 1
+       #BAD5
+bad5
+
+
+       )
+
+15302 
+__IO_EXTERN
+IO_LWORD
+       gbad6
+;
+
+15303 \ 1
+       #BAD6
+bad6
+
+
+       )
+
+15304 
+__IO_EXTERN
+IO_LWORD
+       gbad7
+;
+
+15305 \ 1
+       #BAD7
+bad7
+
+
+       )
+
+15306 
+__IO_EXTERN
+IO_LWORD
+       gfsv1
+;
+
+15307 \ 1
+       #FSV1
+fsv1
+
+
+       )
+
+15308 
+__IO_EXTERN
+IO_LWORD
+       gbsv1
+;
+
+15309 \ 1
+       #BSV1
+bsv1
+
+
+       )
+
+15310 
+__IO_EXTERN
+IO_LWORD
+       gfsv2
+;
+
+15311 \ 1
+       #FSV2
+fsv2
+
+
+       )
+
+15312 
+__IO_EXTERN
+IO_LWORD
+       gbsv2
+;
+
+15313 \ 1
+       #BSV2
+bsv2
+
+
+       )
+
+15318 \ 1
+       #RB_SYNC
+ if(
+RBSYNC
+)
+
+       )
+
+15319 \ 1
+       #CB_SYNC4
+ if(
+CBSYNC4
+)
+
+       )
+
+       @uart.c
+
+7 \ 2
+       ~"mb91465k.h
+"
+
+9 cڡ \ 5
+       gASCII
+[] = "0123456789ABCDEF";
+
+11 \1e
+       $In\99U¬t4
+(\1e)
+
+15 
+BGR04
+ = 832;
+
+25 
+SCR04
+ = 0x17;
+
+26 
+SMR04
+ = 0x0d;
+
+27 
+SSR04
+ = 0x00;
+
+28 
+PFR19
+ = (PFR19 & 0xFC) | 0x03;
+
+29 
+EPFR19
+ = 0x00;
+
+30 
+       }
+}
+
+32 \1e
+       $Putch4
+(\ 5
+ch
+)
+
+34 \1f
+SSR04_TDRE
+ == 0);
+
+35 
+TDR04
+ = 
+ch
+;
+
+36 
+       }
+}
+
+38 \ 5
+       $G\91ch4
+(\1e)
+
+40 vÞ©\9e\90\1d
+ch
+;
+
+45 \1f
+SSR04_RDRF
+ == 0)
+
+46 
+HWWD
+ = 0x00;
+
+48 
+ch
+ = 
+RDR04
+;
+
+50 ià((
+SSR04
+ & 0xE0) != 0)
+
+52 
+SCR04_CRE
+ = 1;
+
+55 \15 (
+ch
+);
+
+57 
+       }
+}
+
+60 \1e
+       $Puts4
+(cڡ \ 5*
+Name2
+)
+
+62 vÞ©\9e\90\12
+i
+,
+Ën
+;
+
+64 
+Ën
+ = 
+       `¡¾\92
+(
+Name2
+);
+
+66 \ f
+i
+=0; i<
+       `¡¾\92
+(
+Name2
+); i++)
+
+68 ià(
+Name2
+[
+i
+] == 10)
+
+69 
+       `Putch4
+(13);
+
+70 
+       `Putch4
+(
+Name2
+[
+i
+]);
+
+72 
+       }
+}
+
+75 \ 5
+       $Echo4
+(\1e)
+
+77 \ 5
+ch
+;
+
+79 
+       `Puts4
+("UART 4\84eceive: ");
+
+80 
+ch
+ = 
+RDR04
+;
+
+81 
+       `Putch4
+(
+ch
+);
+
+82 ià(
+ch
+==13)
+
+83 
+       `Putch4
+(10);
+
+85 \15 (
+ch
+);
+
+86 
+       }
+}
+
+88 \1e
+       $Puthex4
+(\1d\13
+n
+, \1d\ 5
+dig\99s
+)
+
+90 \1d\ 5
+dig\99
+=0,
+div
+=0,
+i
+;
+
+92 
+div
+=(4*(
+dig\99s
+-1));
+
+93 \ f
+i
+=0;i<
+dig\99s
+;i++)
+
+95 
+dig\99
+ = ((
+n
+ >> 
+div
+)&0xF);
+
+96 
+       `Putch4
+(
+dig\99
+ + ((digit < 0xA) ? '0' : 'A' - 0xA));
+
+97 
+div
+-=4;
+
+99 
+       }
+}
+
+101 \1e
+       $Putdec4
+(\1d\13
+x
+, \12
+dig\99s
+)
+
+103 \12
+i
+;
+
+104 \ 5
+buf
+[10],
+sign
+=1;
+
+106 ià(
+dig\99s
+ < 0) {
+
+107 
+dig\99s
+ *= (-1);
+
+108 
+sign
+ =1;
+
+110 
+buf
+[
+dig\99s
+]='\0';
+
+112 \ f
+i
+=
+dig\99s
+; i>0; i--) {
+
+113 
+buf
+[
+i
+-1] = 
+ASCII
+[
+x
+ % 10];
+
+114 
+x
+ = x/10;
+
+117 iàÐ
+sign
+ )
+
+119 \ f
+i
+=0; 
+buf
+[i]=='0'; i++) {
+
+120 iàÐ
+i
+<
+dig\99s
+-1)
+
+121 
+buf
+[
+i
+] = ' ';
+
+125 
+       `Puts4
+(
+buf
+);
+
+126 
+       }
+}
+
+       @vectors.c
+
+18 \ 2
+       ~"mb91465k.h
+"
+
+19 \ 2
+       ~"RLT.h
+"
+
+32 \1e
+       $In\99IrqLev\96s
+(\1e)
+
+38 
+ICR01
+ = 31;
+
+40 
+ICR02
+ = 31;
+
+42 
+ICR03
+ = 31;
+
+44 
+ICR04
+ = 31;
+
+46 
+ICR05
+ = 31;
+
+48 
+ICR06
+ = 31;
+
+50 
+ICR07
+ = 31;
+
+52 
+ICR08
+ = 30;
+
+54 
+ICR09
+ = 31;
+
+56 
+ICR10
+ = 31;
+
+58 
+ICR11
+ = 31;
+
+60 
+ICR12
+ = 31;
+
+62 
+ICR13
+ = 31;
+
+64 
+ICR14
+ = 31;
+
+66 
+ICR15
+ = 31;
+
+68 
+ICR16
+ = 31;
+
+70 
+ICR17
+ = 31;
+
+72 
+ICR18
+ = 31;
+
+74 
+ICR19
+ = 31;
+
+76 
+ICR20
+ = 31;
+
+78 
+ICR21
+ = 31;
+
+80 
+ICR22
+ = 31;
+
+82 
+ICR23
+ = 31;
+
+84 
+ICR24
+ = 31;
+
+86 
+ICR25
+ = 31;
+
+88 
+ICR26
+ = 31;
+
+90 
+ICR27
+ = 31;
+
+92 
+ICR28
+ = 31;
+
+94 
+ICR29
+ = 31;
+
+96 
+ICR30
+ = 31;
+
+98 
+ICR31
+ = 31;
+
+100 
+ICR32
+ = 31;
+
+102 
+ICR33
+ = 31;
+
+104 
+ICR34
+ = 31;
+
+106 
+ICR35
+ = 31;
+
+108 
+ICR36
+ = 31;
+
+110 
+ICR37
+ = 31;
+
+112 
+ICR38
+ = 31;
+
+114 
+ICR39
+ = 31;
+
+116 
+ICR40
+ = 31;
+
+118 
+ICR41
+ = 31;
+
+120 
+ICR42
+ = 31;
+
+122 
+ICR43
+ = 31;
+
+124 
+ICR44
+ = 31;
+
+126 
+ICR45
+ = 31;
+
+128 
+ICR46
+ = 31;
+
+130 
+ICR47
+ = 31;
+
+132 
+ICR48
+ = 31;
+
+134 
+ICR49
+ = 31;
+
+136 
+ICR50
+ = 31;
+
+138 
+ICR51
+ = 31;
+
+140 
+ICR52
+ = 31;
+
+142 
+ICR53
+ = 31;
+
+144 
+ICR54
+ = 31;
+
+146 
+ICR55
+ = 31;
+
+148 
+ICR56
+ = 31;
+
+150 
+ICR57
+ = 31;
+
+152 
+ICR58
+ = 31;
+
+154 
+ICR59
+ = 31;
+
+156 
+ICR60
+ = 31;
+
+158 
+ICR61
+ = 31;
+
+160 
+ICR62
+ = 31;
+
+162 
+ICR63
+ = 31;
+
+164 
+       }
+}
+
+174 
+__\9a\8b¼u±
\1e
+DeçuÉIRQHªdËr
+ (\1e);
+
+175 
+__\9a\8b¼u±
\1e
+RLT_ChªÃl0_ISR
+ (\1e);
+
+185 #´agm¨
+\9atveù
+ 0xBFF8 0
+
+186 #´agm¨
+\9atveù
+ 0x06000000 1
+
+188 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 15
+
+189 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 16
+
+190 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 17
+
+191 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 18
+
+192 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 19
+
+193 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 20
+
+194 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 21
+
+195 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 22
+
+196 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 23
+
+197 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 24
+
+198 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 25
+
+199 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 26
+
+200 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 27
+
+201 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 28
+
+202 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 29
+
+203 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 30
+
+204 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 31
+
+205 #´agm¨
+\9atveù
+RLT_ChªÃl0_ISR
+ 32
+
+206 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 33
+
+207 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 34
+
+208 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 35
+
+209 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 36
+
+210 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 37
+
+211 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 38
+
+212 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 39
+
+213 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 40
+
+214 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 41
+
+215 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 42
+
+216 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 43
+
+217 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 44
+
+218 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 45
+
+219 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 46
+
+220 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 47
+
+221 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 48
+
+222 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 49
+
+223 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 50
+
+224 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 51
+
+225 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 52
+
+226 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 53
+
+227 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 54
+
+228 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 55
+
+229 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 56
+
+230 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 57
+
+231 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 58
+
+232 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 59
+
+233 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 60
+
+234 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 61
+
+235 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 62
+
+236 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 63
+
+237 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 64
+
+238 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 65
+
+239 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 66
+
+240 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 67
+
+241 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 68
+
+242 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 69
+
+243 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 70
+
+244 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 71
+
+245 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 72
+
+246 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 73
+
+247 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 74
+
+248 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 75
+
+249 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 76
+
+250 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 77
+
+251 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 78
+
+252 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 79
+
+253 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 80
+
+254 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 81
+
+255 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 82
+
+256 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 83
+
+257 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 84
+
+258 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 85
+
+259 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 86
+
+260 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 87
+
+261 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 88
+
+262 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 89
+
+263 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 90
+
+264 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 91
+
+265 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 92
+
+266 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 93
+
+267 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 94
+
+268 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 95
+
+269 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 96
+
+270 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 97
+
+271 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 98
+
+272 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 99
+
+273 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 100
+
+274 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 101
+
+275 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 102
+
+276 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 103
+
+277 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 104
+
+278 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 105
+
+279 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 106
+
+280 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 107
+
+281 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 108
+
+282 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 109
+
+283 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 110
+
+284 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 111
+
+285 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 112
+
+286 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 113
+
+287 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 114
+
+288 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 115
+
+289 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 116
+
+290 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 117
+
+291 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 118
+
+292 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 119
+
+293 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 120
+
+294 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 121
+
+295 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 122
+
+296 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 123
+
+297 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 124
+
+298 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 125
+
+299 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 126
+
+300 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 127
+
+301 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 128
+
+302 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 129
+
+303 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 130
+
+304 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 131
+
+305 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 132
+
+306 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 133
+
+307 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 134
+
+308 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 135
+
+309 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 136
+
+310 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 137
+
+311 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 138
+
+312 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 139
+
+313 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 140
+
+314 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 141
+
+315 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 142
+
+316 #´agm¨
+\9atveù
+DeçuÉIRQHªdËr
+ 143
+
+317 #´agm¨
+\9atveù
+ 0xFFFFFFFF 144
+
+327 
+__\9a\8b¼u±
+
+
+328 \1e
+       $DeçuÉIRQHªdËr
+ (\1e)
+
+335 
+       `__DI
+();
+
+337 
+HWWD_CL
+ = 0;
+
+339 
+       }
+}
+
+       @vectors.h
+
+13 \1e
+In\99IrqLev\96s
+(\1e);
+
+       @
+1
+.
+1
+/usr/include
+9
+73
+Flash.c
+Flash.h
+MAIN.c
+RLT.c
+RLT.h
+mb91465k.h
+uart.c
+vectors.c
+vectors.h
diff --git a/mb91465k.asm b/mb91465k.asm
new file mode 100644 (file)
index 0000000..223f637
--- /dev/null
@@ -0,0 +1,14 @@
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*---------------------------------------------------------------------------\r
+  mb91467d.asm\r
+\r
+\r
+            1.00   UMa    Initial Version\r
+-----------------------------------------------------------------------------*/\r
+\r
+\r
+#define __IO_DEFINE\r
+#include "mb91465k.h"\r
diff --git a/mb91465k.h b/mb91465k.h
new file mode 100644 (file)
index 0000000..0a9b916
--- /dev/null
@@ -0,0 +1,15323 @@
+/*  FR IO-MAP HEADER FILE      */\r
+/*  =====================      */\r
+/* CREATED BY IO-WIZARD V2.26    */\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU     */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR     */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                                 */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                      */\r
+/*  */\r
+/* ************************************************************************* */\r
+/*                   Fujitsu Microelectronics Europe GmbH                    */\r
+/*                    Pittlerstrasse 47, 63225 Langen */\r
+/*                     Tel.: +49 (6103) 690-0, Fax -122                      */\r
+/*                                                                           */\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES                                              */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/* ************************************************************************* */\r
+/* ---------------------------------------------------------------------- */\r
+/*  Id: MB91465K.h,v 1.5 2007/01/04 11:04:48 meffen Exp   */\r
+/* ----------------------------------------------------------------------                                  */\r
+/*  Id: MB91465K.h,v 1.5 2007/01/04 11:04:48 meffen Exp   */\r
+/*      - removed LCD and Sound Controller */\r
+/* Id: MB91465K.h,v 1.4 2006/11/30 14:39:18 meffen Exp  */\r
+/*      - -added registers: ADER (32Bit access)         */\r
+/*                          ADCS (16Bit access)         */\r
+/*                          ADCR (16Bit access)         */\r
+/*                          ADCT (16Bit access)         */\r
+\r
+/* ASSEMBLER DEFINITIONS : */\r
+\r
+#ifdef  __IO_DEFINE\r
+#define __IO_EXTERN\r
+#else\r
+#define __IO_EXTERN    extern volatile\r
+#endif\r
+#ifdef __IO_DEFINE\r
+#pragma asm\r
+ .GLOBAL _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19\r
+ .GLOBAL _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27\r
+ .GLOBAL _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1\r
+ .GLOBAL _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00\r
+ .GLOBAL _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00\r
+ .GLOBAL _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01\r
+ .GLOBAL _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02\r
+ .GLOBAL _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03\r
+ .GLOBAL _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04\r
+ .GLOBAL _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04\r
+ .GLOBAL _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001\r
+ .GLOBAL _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003\r
+ .GLOBAL _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0\r
+ .GLOBAL _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0\r
+ .GLOBAL _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11\r
+ .GLOBAL _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00\r
+ .GLOBAL _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01\r
+ .GLOBAL _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02\r
+ .GLOBAL _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03\r
+ .GLOBAL _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04\r
+ .GLOBAL _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05\r
+ .GLOBAL _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06\r
+ .GLOBAL _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07\r
+ .GLOBAL _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08\r
+ .GLOBAL _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09\r
+ .GLOBAL _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10\r
+ .GLOBAL _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11\r
+ .GLOBAL _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0\r
+ .GLOBAL _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0\r
+ .GLOBAL _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader\r
+ .GLOBAL _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr\r
+ .GLOBAL _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0\r
+ .GLOBAL _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1\r
+ .GLOBAL _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2\r
+ .GLOBAL _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3\r
+ .GLOBAL _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4\r
+ .GLOBAL _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6\r
+ .GLOBAL _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7\r
+ .GLOBAL _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1\r
+ .GLOBAL _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0\r
+ .GLOBAL _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3\r
+ .GLOBAL _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67\r
+ .GLOBAL _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67\r
+ .GLOBAL _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4\r
+ .GLOBAL _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7\r
+ .GLOBAL _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00\r
+ .GLOBAL _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06\r
+ .GLOBAL _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12\r
+ .GLOBAL _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18\r
+ .GLOBAL _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24\r
+ .GLOBAL _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30\r
+ .GLOBAL _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36\r
+ .GLOBAL _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42\r
+ .GLOBAL _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48\r
+ .GLOBAL _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54\r
+ .GLOBAL _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60\r
+ .GLOBAL _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr\r
+ .GLOBAL _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm\r
+ .GLOBAL _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1\r
+ .GLOBAL _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr\r
+ .GLOBAL _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg\r
+ .GLOBAL _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr\r
+ .GLOBAL _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel\r
+ .GLOBAL _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh\r
+ .GLOBAL _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14\r
+ .GLOBAL _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20\r
+ .GLOBAL _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28\r
+ .GLOBAL _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18\r
+ .GLOBAL _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26\r
+ .GLOBAL _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16\r
+ .GLOBAL _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22\r
+ .GLOBAL _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14\r
+ .GLOBAL _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20\r
+ .GLOBAL _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29\r
+ .GLOBAL _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19\r
+ .GLOBAL _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27\r
+ .GLOBAL _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17\r
+ .GLOBAL _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24\r
+ .GLOBAL _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15\r
+ .GLOBAL _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21\r
+ .GLOBAL _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29\r
+ .GLOBAL _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19\r
+ .GLOBAL _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27\r
+ .GLOBAL _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17\r
+ .GLOBAL _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24\r
+ .GLOBAL _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0\r
+ .GLOBAL _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3\r
+ .GLOBAL _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt\r
+ .GLOBAL _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0\r
+ .GLOBAL _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4\r
+ .GLOBAL _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124\r
+ .GLOBAL _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4\r
+ .GLOBAL _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24\r
+ .GLOBAL _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14\r
+ .GLOBAL _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124\r
+ .GLOBAL _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24\r
+ .GLOBAL _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14\r
+ .GLOBAL _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14\r
+ .GLOBAL _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24\r
+ .GLOBAL _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat\r
+ .GLOBAL _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0\r
+ .GLOBAL _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6\r
+ .GLOBAL _bad7,     _fsv1,     _bsv1,     _fsv2,     _bsv2\r
+\r
+_pdr14     .EQU 0x00000E\r
+PDR14      .EQU 0x00000E /* Port Data Register */\r
+_pdr15     .EQU 0x00000F\r
+PDR15      .EQU 0x00000F\r
+_pdr16     .EQU 0x000010\r
+PDR16      .EQU 0x000010\r
+_pdr17     .EQU 0x000011\r
+PDR17      .EQU 0x000011\r
+_pdr18     .EQU 0x000012\r
+PDR18      .EQU 0x000012\r
+_pdr19     .EQU 0x000013\r
+PDR19      .EQU 0x000013\r
+_pdr20     .EQU 0x000014\r
+PDR20      .EQU 0x000014\r
+_pdr21     .EQU 0x000015\r
+PDR21      .EQU 0x000015\r
+_pdr22     .EQU 0x000016\r
+PDR22      .EQU 0x000016\r
+_pdr24     .EQU 0x000018\r
+PDR24      .EQU 0x000018\r
+_pdr26     .EQU 0x00001A\r
+PDR26      .EQU 0x00001A\r
+_pdr27     .EQU 0x00001B\r
+PDR27      .EQU 0x00001B\r
+_pdr28     .EQU 0x00001C\r
+PDR28      .EQU 0x00001C\r
+_pdr29     .EQU 0x00001D\r
+PDR29      .EQU 0x00001D\r
+_eirr0     .EQU 0x000030\r
+EIRR0      .EQU 0x000030 /* External Interrupt 0-7 */\r
+_enir0     .EQU 0x000031\r
+ENIR0      .EQU 0x000031\r
+_elvr0     .EQU 0x000032\r
+ELVR0      .EQU 0x000032\r
+_eirr1     .EQU 0x000034\r
+EIRR1      .EQU 0x000034 /* External Interrupt 8-15 */\r
+_enir1     .EQU 0x000035\r
+ENIR1      .EQU 0x000035\r
+_elvr1     .EQU 0x000036\r
+ELVR1      .EQU 0x000036\r
+_dicr      .EQU 0x000038\r
+DICR       .EQU 0x000038 /* DLYI/I-unit */\r
+_hrcl      .EQU 0x000039\r
+HRCL       .EQU 0x000039\r
+_rbsync    .EQU 0x00003A\r
+RBSYNC     .EQU 0x00003A /* R-Bus Sync */\r
+_scr00     .EQU 0x000040\r
+SCR00      .EQU 0x000040 /* USART (LIN) 0 */\r
+_smr00     .EQU 0x000041\r
+SMR00      .EQU 0x000041\r
+_ssr00     .EQU 0x000042\r
+SSR00      .EQU 0x000042\r
+_rdr00     .EQU 0x000043\r
+RDR00      .EQU 0x000043\r
+_tdr00     .EQU 0x000043\r
+TDR00      .EQU 0x000043\r
+_escr00    .EQU 0x000044\r
+ESCR00     .EQU 0x000044\r
+_eccr00    .EQU 0x000045\r
+ECCR00     .EQU 0x000045\r
+_scr01     .EQU 0x000048\r
+SCR01      .EQU 0x000048 /* USART (LIN) 1 */\r
+_smr01     .EQU 0x000049\r
+SMR01      .EQU 0x000049\r
+_ssr01     .EQU 0x00004A\r
+SSR01      .EQU 0x00004A\r
+_rdr01     .EQU 0x00004B\r
+RDR01      .EQU 0x00004B\r
+_tdr01     .EQU 0x00004B\r
+TDR01      .EQU 0x00004B\r
+_escr01    .EQU 0x00004C\r
+ESCR01     .EQU 0x00004C\r
+_eccr01    .EQU 0x00004D\r
+ECCR01     .EQU 0x00004D\r
+_scr02     .EQU 0x000050\r
+SCR02      .EQU 0x000050 /* USART (LIN) 2 */\r
+_smr02     .EQU 0x000051\r
+SMR02      .EQU 0x000051\r
+_ssr02     .EQU 0x000052\r
+SSR02      .EQU 0x000052\r
+_rdr02     .EQU 0x000053\r
+RDR02      .EQU 0x000053\r
+_tdr02     .EQU 0x000053\r
+TDR02      .EQU 0x000053\r
+_escr02    .EQU 0x000054\r
+ESCR02     .EQU 0x000054\r
+_eccr02    .EQU 0x000055\r
+ECCR02     .EQU 0x000055\r
+_scr03     .EQU 0x000058\r
+SCR03      .EQU 0x000058 /* USART (LIN) 3 */\r
+_smr03     .EQU 0x000059\r
+SMR03      .EQU 0x000059\r
+_ssr03     .EQU 0x00005A\r
+SSR03      .EQU 0x00005A\r
+_rdr03     .EQU 0x00005B\r
+RDR03      .EQU 0x00005B\r
+_tdr03     .EQU 0x00005B\r
+TDR03      .EQU 0x00005B\r
+_escr03    .EQU 0x00005C\r
+ESCR03     .EQU 0x00005C\r
+_eccr03    .EQU 0x00005D\r
+ECCR03     .EQU 0x00005D\r
+_scr04     .EQU 0x000060\r
+SCR04      .EQU 0x000060 /* USART (LIN) 4 with FIFO */\r
+_smr04     .EQU 0x000061\r
+SMR04      .EQU 0x000061\r
+_ssr04     .EQU 0x000062\r
+SSR04      .EQU 0x000062\r
+_rdr04     .EQU 0x000063\r
+RDR04      .EQU 0x000063\r
+_tdr04     .EQU 0x000063\r
+TDR04      .EQU 0x000063\r
+_escr04    .EQU 0x000064\r
+ESCR04     .EQU 0x000064\r
+_eccr04    .EQU 0x000065\r
+ECCR04     .EQU 0x000065\r
+_fsr04     .EQU 0x000066\r
+FSR04      .EQU 0x000066\r
+_fcr04     .EQU 0x000067\r
+FCR04      .EQU 0x000067\r
+_bgr00     .EQU 0x000080\r
+BGR00      .EQU 0x000080 /* Bauderate Generator USART (LIN) 0-7 */\r
+_bgr100    .EQU 0x000080\r
+BGR100     .EQU 0x000080\r
+_bgr000    .EQU 0x000081\r
+BGR000     .EQU 0x000081\r
+_bgr01     .EQU 0x000082\r
+BGR01      .EQU 0x000082\r
+_bgr101    .EQU 0x000082\r
+BGR101     .EQU 0x000082\r
+_bgr001    .EQU 0x000083\r
+BGR001     .EQU 0x000083\r
+_bgr02     .EQU 0x000084\r
+BGR02      .EQU 0x000084\r
+_bgr102    .EQU 0x000084\r
+BGR102     .EQU 0x000084\r
+_bgr002    .EQU 0x000085\r
+BGR002     .EQU 0x000085\r
+_bgr03     .EQU 0x000086\r
+BGR03      .EQU 0x000086\r
+_bgr103    .EQU 0x000086\r
+BGR103     .EQU 0x000086\r
+_bgr003    .EQU 0x000087\r
+BGR003     .EQU 0x000087\r
+_bgr04     .EQU 0x000088\r
+BGR04      .EQU 0x000088\r
+_bgr104    .EQU 0x000088\r
+BGR104     .EQU 0x000088\r
+_bgr004    .EQU 0x000089\r
+BGR004     .EQU 0x000089\r
+_ibcr0     .EQU 0x0000D0\r
+IBCR0      .EQU 0x0000D0 /* I2C 0 */\r
+_ibsr0     .EQU 0x0000D1\r
+IBSR0      .EQU 0x0000D1\r
+_itba0     .EQU 0x0000D2\r
+ITBA0      .EQU 0x0000D2\r
+_itbah0    .EQU 0x0000D2\r
+ITBAH0     .EQU 0x0000D2\r
+_itbal0    .EQU 0x0000D3\r
+ITBAL0     .EQU 0x0000D3\r
+_itmk0     .EQU 0x0000D4\r
+ITMK0      .EQU 0x0000D4\r
+_itmkh0    .EQU 0x0000D4\r
+ITMKH0     .EQU 0x0000D4\r
+_itmkl0    .EQU 0x0000D5\r
+ITMKL0     .EQU 0x0000D5\r
+_ismk0     .EQU 0x0000D6\r
+ISMK0      .EQU 0x0000D6\r
+_isba0     .EQU 0x0000D7\r
+ISBA0      .EQU 0x0000D7\r
+_idar0     .EQU 0x0000D9\r
+IDAR0      .EQU 0x0000D9\r
+_iccr0     .EQU 0x0000DA\r
+ICCR0      .EQU 0x0000DA\r
+_gcn10     .EQU 0x000100\r
+GCN10      .EQU 0x000100 /* PPG Control 0-3 */\r
+_gcn20     .EQU 0x000103\r
+GCN20      .EQU 0x000103\r
+_gcn11     .EQU 0x000104\r
+GCN11      .EQU 0x000104 /* PPG Control 4-7 */\r
+_gcn21     .EQU 0x000107\r
+GCN21      .EQU 0x000107\r
+_gcn12     .EQU 0x000108\r
+GCN12      .EQU 0x000108 /* PPG Control 8-11 */\r
+_gcn22     .EQU 0x00010B\r
+GCN22      .EQU 0x00010B\r
+_ptmr00    .EQU 0x000110\r
+PTMR00     .EQU 0x000110 /* PPG 0 */\r
+_pcsr00    .EQU 0x000112\r
+PCSR00     .EQU 0x000112\r
+_pdut00    .EQU 0x000114\r
+PDUT00     .EQU 0x000114\r
+_pcn00     .EQU 0x000116\r
+PCN00      .EQU 0x000116\r
+_pcnh00    .EQU 0x000116\r
+PCNH00     .EQU 0x000116\r
+_pcnl00    .EQU 0x000117\r
+PCNL00     .EQU 0x000117\r
+_ptmr01    .EQU 0x000118\r
+PTMR01     .EQU 0x000118 /* PPG 1 */\r
+_pcsr01    .EQU 0x00011A\r
+PCSR01     .EQU 0x00011A\r
+_pdut01    .EQU 0x00011C\r
+PDUT01     .EQU 0x00011C\r
+_pcn01     .EQU 0x00011E\r
+PCN01      .EQU 0x00011E\r
+_pcnh01    .EQU 0x00011E\r
+PCNH01     .EQU 0x00011E\r
+_pcnl01    .EQU 0x00011F\r
+PCNL01     .EQU 0x00011F\r
+_ptmr02    .EQU 0x000120\r
+PTMR02     .EQU 0x000120 /* PPG 2 */\r
+_pcsr02    .EQU 0x000122\r
+PCSR02     .EQU 0x000122\r
+_pdut02    .EQU 0x000124\r
+PDUT02     .EQU 0x000124\r
+_pcn02     .EQU 0x000126\r
+PCN02      .EQU 0x000126\r
+_pcnh02    .EQU 0x000126\r
+PCNH02     .EQU 0x000126\r
+_pcnl02    .EQU 0x000127\r
+PCNL02     .EQU 0x000127\r
+_ptmr03    .EQU 0x000128\r
+PTMR03     .EQU 0x000128 /* PPG 3 */\r
+_pcsr03    .EQU 0x00012A\r
+PCSR03     .EQU 0x00012A\r
+_pdut03    .EQU 0x00012C\r
+PDUT03     .EQU 0x00012C\r
+_pcn03     .EQU 0x00012E\r
+PCN03      .EQU 0x00012E\r
+_pcnh03    .EQU 0x00012E\r
+PCNH03     .EQU 0x00012E\r
+_pcnl03    .EQU 0x00012F\r
+PCNL03     .EQU 0x00012F\r
+_ptmr04    .EQU 0x000130\r
+PTMR04     .EQU 0x000130 /* PPG 4 */\r
+_pcsr04    .EQU 0x000132\r
+PCSR04     .EQU 0x000132\r
+_pdut04    .EQU 0x000134\r
+PDUT04     .EQU 0x000134\r
+_pcn04     .EQU 0x000136\r
+PCN04      .EQU 0x000136\r
+_pcnh04    .EQU 0x000136\r
+PCNH04     .EQU 0x000136\r
+_pcnl04    .EQU 0x000137\r
+PCNL04     .EQU 0x000137\r
+_ptmr05    .EQU 0x000138\r
+PTMR05     .EQU 0x000138 /* PPG 5 */\r
+_pcsr05    .EQU 0x00013A\r
+PCSR05     .EQU 0x00013A\r
+_pdut05    .EQU 0x00013C\r
+PDUT05     .EQU 0x00013C\r
+_pcn05     .EQU 0x00013E\r
+PCN05      .EQU 0x00013E\r
+_pcnh05    .EQU 0x00013E\r
+PCNH05     .EQU 0x00013E\r
+_pcnl05    .EQU 0x00013F\r
+PCNL05     .EQU 0x00013F\r
+_ptmr06    .EQU 0x000140\r
+PTMR06     .EQU 0x000140 /* PPG 6 */\r
+_pcsr06    .EQU 0x000142\r
+PCSR06     .EQU 0x000142\r
+_pdut06    .EQU 0x000144\r
+PDUT06     .EQU 0x000144\r
+_pcn06     .EQU 0x000146\r
+PCN06      .EQU 0x000146\r
+_pcnh06    .EQU 0x000146\r
+PCNH06     .EQU 0x000146\r
+_pcnl06    .EQU 0x000147\r
+PCNL06     .EQU 0x000147\r
+_ptmr07    .EQU 0x000148\r
+PTMR07     .EQU 0x000148 /* PPG 7 */\r
+_pcsr07    .EQU 0x00014A\r
+PCSR07     .EQU 0x00014A\r
+_pdut07    .EQU 0x00014C\r
+PDUT07     .EQU 0x00014C\r
+_pcn07     .EQU 0x00014E\r
+PCN07      .EQU 0x00014E\r
+_pcnh07    .EQU 0x00014E\r
+PCNH07     .EQU 0x00014E\r
+_pcnl07    .EQU 0x00014F\r
+PCNL07     .EQU 0x00014F\r
+_ptmr08    .EQU 0x000150\r
+PTMR08     .EQU 0x000150 /* PPG 8 */\r
+_pcsr08    .EQU 0x000152\r
+PCSR08     .EQU 0x000152\r
+_pdut08    .EQU 0x000154\r
+PDUT08     .EQU 0x000154\r
+_pcn08     .EQU 0x000156\r
+PCN08      .EQU 0x000156\r
+_pcnh08    .EQU 0x000156\r
+PCNH08     .EQU 0x000156\r
+_pcnl08    .EQU 0x000157\r
+PCNL08     .EQU 0x000157\r
+_ptmr09    .EQU 0x000158\r
+PTMR09     .EQU 0x000158 /* PPG 9 */\r
+_pcsr09    .EQU 0x00015A\r
+PCSR09     .EQU 0x00015A\r
+_pdut09    .EQU 0x00015C\r
+PDUT09     .EQU 0x00015C\r
+_pcn09     .EQU 0x00015E\r
+PCN09      .EQU 0x00015E\r
+_pcnh09    .EQU 0x00015E\r
+PCNH09     .EQU 0x00015E\r
+_pcnl09    .EQU 0x00015F\r
+PCNL09     .EQU 0x00015F\r
+_ptmr10    .EQU 0x000160\r
+PTMR10     .EQU 0x000160 /* PPG 10 */\r
+_pcsr10    .EQU 0x000162\r
+PCSR10     .EQU 0x000162\r
+_pdut10    .EQU 0x000164\r
+PDUT10     .EQU 0x000164\r
+_pcn10     .EQU 0x000166\r
+PCN10      .EQU 0x000166\r
+_pcnh10    .EQU 0x000166\r
+PCNH10     .EQU 0x000166\r
+_pcnl10    .EQU 0x000167\r
+PCNL10     .EQU 0x000167\r
+_ptmr11    .EQU 0x000168\r
+PTMR11     .EQU 0x000168 /* PPG 11 */\r
+_pcsr11    .EQU 0x00016A\r
+PCSR11     .EQU 0x00016A\r
+_pdut11    .EQU 0x00016C\r
+PDUT11     .EQU 0x00016C\r
+_pcn11     .EQU 0x00016E\r
+PCN11      .EQU 0x00016E\r
+_pcnh11    .EQU 0x00016E\r
+PCNH11     .EQU 0x00016E\r
+_pcnl11    .EQU 0x00016F\r
+PCNL11     .EQU 0x00016F\r
+_ics01     .EQU 0x000181\r
+ICS01      .EQU 0x000181 /* Input Capture 0-3 */\r
+_ics23     .EQU 0x000183\r
+ICS23      .EQU 0x000183\r
+_ipcp0     .EQU 0x000184\r
+IPCP0      .EQU 0x000184\r
+_ipcp1     .EQU 0x000186\r
+IPCP1      .EQU 0x000186\r
+_ipcp2     .EQU 0x000188\r
+IPCP2      .EQU 0x000188\r
+_ipcp3     .EQU 0x00018A\r
+IPCP3      .EQU 0x00018A\r
+_ocs01     .EQU 0x00018C\r
+OCS01      .EQU 0x00018C /* Output Compare 0-3 */\r
+_ocs23     .EQU 0x00018E\r
+OCS23      .EQU 0x00018E\r
+_occp0     .EQU 0x000190\r
+OCCP0      .EQU 0x000190\r
+_occp1     .EQU 0x000192\r
+OCCP1      .EQU 0x000192\r
+_occp2     .EQU 0x000194\r
+OCCP2      .EQU 0x000194\r
+_occp3     .EQU 0x000196\r
+OCCP3      .EQU 0x000196\r
+_aderh     .EQU 0x0001A0\r
+ADERH      .EQU 0x0001A0 /* ADC */\r
+_aderl     .EQU 0x0001A2\r
+ADERL      .EQU 0x0001A2\r
+_ader  .EQU 0x0001A0\r
+ADER   .EQU 0x0001A0\r
+_adcs1     .EQU 0x0001A4\r
+ADCS1      .EQU 0x0001A4\r
+_adcs0     .EQU 0x0001A5\r
+ADCS0      .EQU 0x0001A5\r
+_adcs  .EQU 0x0001A4\r
+ADCS   .EQU 0x0001A4\r
+_adcr1     .EQU 0x0001A6\r
+ADCR1      .EQU 0x0001A6\r
+_adcr0     .EQU 0x0001A7\r
+ADCR0      .EQU 0x0001A7\r
+_adcr  .EQU 0x0001A6\r
+ADCR   .EQU 0x0001A6\r
+_adct1     .EQU 0x0001A8\r
+ADCT1      .EQU 0x0001A8\r
+_adct0     .EQU 0x0001A9\r
+ADCT0      .EQU 0x0001A9\r
+_adct  .EQU 0x0001A8\r
+ADCT   .EQU 0x0001A8\r
+_adsch     .EQU 0x0001AA\r
+ADSCH      .EQU 0x0001AA\r
+_adech     .EQU 0x0001AB\r
+ADECH      .EQU 0x0001AB\r
+_tmrlr0    .EQU 0x0001B0\r
+TMRLR0     .EQU 0x0001B0 /* Reload Timer 0 */\r
+_tmr0      .EQU 0x0001B2\r
+TMR0       .EQU 0x0001B2\r
+_tmcsr0    .EQU 0x0001B6\r
+TMCSR0     .EQU 0x0001B6\r
+_tmcsrh0  .EQU 0x0001B6\r
+TMCSRH0   .EQU 0x0001B6\r
+_tmcsrl0  .EQU 0x0001B7\r
+TMCSRL0   .EQU 0x0001B7\r
+_tmrlr1    .EQU 0x0001B8\r
+TMRLR1     .EQU 0x0001B8 /* Reload Timer 1 */\r
+_tmr1      .EQU 0x0001BA\r
+TMR1       .EQU 0x0001BA\r
+_tmcsr1    .EQU 0x0001BE\r
+TMCSR1     .EQU 0x0001BE\r
+_tmcsrh1  .EQU 0x0001BE\r
+TMCSRH1   .EQU 0x0001BE\r
+_tmcsrl1  .EQU 0x0001BF\r
+TMCSRL1   .EQU 0x0001BF\r
+_tmrlr2    .EQU 0x0001C0\r
+TMRLR2     .EQU 0x0001C0 /* Reload Timer 2 */\r
+_tmr2      .EQU 0x0001C2\r
+TMR2       .EQU 0x0001C2\r
+_tmcsr2    .EQU 0x0001C6\r
+TMCSR2     .EQU 0x0001C6\r
+_tmcsrh2  .EQU 0x0001C6\r
+TMCSRH2   .EQU 0x0001C6\r
+_tmcsrl2  .EQU 0x0001C7\r
+TMCSRL2   .EQU 0x0001C7\r
+_tmrlr3    .EQU 0x0001C8\r
+TMRLR3     .EQU 0x0001C8 /* Reload Timer 3 */\r
+_tmr3      .EQU 0x0001CA\r
+TMR3       .EQU 0x0001CA\r
+_tmcsr3    .EQU 0x0001CE\r
+TMCSR3     .EQU 0x0001CE\r
+_tmcsrh3  .EQU 0x0001CE\r
+TMCSRH3   .EQU 0x0001CE\r
+_tmcsrl3  .EQU 0x0001CF\r
+TMCSRL3   .EQU 0x0001CF\r
+_tmrlr4    .EQU 0x0001D0\r
+TMRLR4     .EQU 0x0001D0 /* Reload Timer 4 */\r
+_tmr4      .EQU 0x0001D2\r
+TMR4       .EQU 0x0001D2\r
+_tmcsr4    .EQU 0x0001D6\r
+TMCSR4     .EQU 0x0001D6\r
+_tmcsrh4  .EQU 0x0001D6\r
+TMCSRH4   .EQU 0x0001D6\r
+_tmcsrl4  .EQU 0x0001D7\r
+TMCSRL4   .EQU 0x0001D7\r
+_tmrlr5    .EQU 0x0001D8\r
+TMRLR5     .EQU 0x0001D8 /* Reload Timer 5 */\r
+_tmr5      .EQU 0x0001DA\r
+TMR5       .EQU 0x0001DA\r
+_tmcsr5    .EQU 0x0001DE\r
+TMCSR5     .EQU 0x0001DE\r
+_tmcsrh5  .EQU 0x0001DE\r
+TMCSRH5   .EQU 0x0001DE\r
+_tmcsrl5  .EQU 0x0001DF\r
+TMCSRL5   .EQU 0x0001DF\r
+_tmrlr6    .EQU 0x0001E0\r
+TMRLR6     .EQU 0x0001E0 /* Reload Timer 6 */\r
+_tmr6      .EQU 0x0001E2\r
+TMR6       .EQU 0x0001E2\r
+_tmcsr6    .EQU 0x0001E6\r
+TMCSR6     .EQU 0x0001E6\r
+_tmcsrh6  .EQU 0x0001E6\r
+TMCSRH6   .EQU 0x0001E6\r
+_tmcsrl6  .EQU 0x0001E7\r
+TMCSRL6   .EQU 0x0001E7\r
+_tmrlr7    .EQU 0x0001E8\r
+TMRLR7     .EQU 0x0001E8 /* Reload Timer 7 */\r
+_tmr7      .EQU 0x0001EA\r
+TMR7       .EQU 0x0001EA\r
+_tmcsr7    .EQU 0x0001EE\r
+TMCSR7     .EQU 0x0001EE\r
+_tmcsrh7  .EQU 0x0001EE\r
+TMCSRH7   .EQU 0x0001EE\r
+_tmcsrl7  .EQU 0x0001EF\r
+TMCSRL7   .EQU 0x0001EF\r
+_tcdt0     .EQU 0x0001F0\r
+TCDT0      .EQU 0x0001F0 /* Free Running Timer0 */\r
+_tccs0     .EQU 0x0001F3\r
+TCCS0      .EQU 0x0001F3\r
+_tcdt1     .EQU 0x0001F4\r
+TCDT1      .EQU 0x0001F4 /* Free Running Timer1 */\r
+_tccs1     .EQU 0x0001F7\r
+TCCS1      .EQU 0x0001F7\r
+_tcdt2     .EQU 0x0001F8\r
+TCDT2      .EQU 0x0001F8 /* Free Running Timer2 */\r
+_tccs2     .EQU 0x0001FB\r
+TCCS2      .EQU 0x0001FB\r
+_tcdt3     .EQU 0x0001FC\r
+TCDT3      .EQU 0x0001FC /* Free Running Timer3 */\r
+_tccs3     .EQU 0x0001FF\r
+TCCS3      .EQU 0x0001FF\r
+_dmaca0    .EQU 0x000200\r
+DMACA0     .EQU 0x000200 /* DMAC */\r
+_dmacb0    .EQU 0x000204\r
+DMACB0     .EQU 0x000204\r
+_dmaca1    .EQU 0x000208\r
+DMACA1     .EQU 0x000208\r
+_dmacb1    .EQU 0x00020C\r
+DMACB1     .EQU 0x00020C\r
+_dmaca2    .EQU 0x000210\r
+DMACA2     .EQU 0x000210\r
+_dmacb2    .EQU 0x000214\r
+DMACB2     .EQU 0x000214\r
+_dmaca3    .EQU 0x000218\r
+DMACA3     .EQU 0x000218\r
+_dmacb3    .EQU 0x00021C\r
+DMACB3     .EQU 0x00021C\r
+_dmaca4    .EQU 0x000220\r
+DMACA4     .EQU 0x000220\r
+_dmacb4    .EQU 0x000224\r
+DMACB4     .EQU 0x000224\r
+_dmacr     .EQU 0x000240\r
+DMACR      .EQU 0x000240\r
+_ics45     .EQU 0x0002D1\r
+ICS45      .EQU 0x0002D1 /* Input Capture 4-7 */\r
+_ics67     .EQU 0x0002D3\r
+ICS67      .EQU 0x0002D3\r
+_ipcp4     .EQU 0x0002D4\r
+IPCP4      .EQU 0x0002D4\r
+_ipcp5     .EQU 0x0002D6\r
+IPCP5      .EQU 0x0002D6\r
+_ipcp6     .EQU 0x0002D8\r
+IPCP6      .EQU 0x0002D8\r
+_ipcp7     .EQU 0x0002DA\r
+IPCP7      .EQU 0x0002DA\r
+_ocs45     .EQU 0x0002DC\r
+OCS45      .EQU 0x0002DC /* Output Compare 4-7 */\r
+_ocs67     .EQU 0x0002DE\r
+OCS67      .EQU 0x0002DE\r
+_occp4     .EQU 0x0002E0\r
+OCCP4      .EQU 0x0002E0\r
+_occp5     .EQU 0x0002E2\r
+OCCP5      .EQU 0x0002E2\r
+_occp6     .EQU 0x0002E4\r
+OCCP6      .EQU 0x0002E4\r
+_occp7     .EQU 0x0002E6\r
+OCCP7      .EQU 0x0002E6\r
+_tcdt4     .EQU 0x0002F0\r
+TCDT4      .EQU 0x0002F0 /* Free Running Timer4 */\r
+_tccs4     .EQU 0x0002F3\r
+TCCS4      .EQU 0x0002F3\r
+_tcdt5     .EQU 0x0002F4\r
+TCDT5      .EQU 0x0002F4 /* Free Running Timer5 */\r
+_tccs5     .EQU 0x0002F7\r
+TCCS5      .EQU 0x0002F7\r
+_tcdt6     .EQU 0x0002F8\r
+TCDT6      .EQU 0x0002F8 /* Free Running Timer6 */\r
+_tccs6     .EQU 0x0002FB\r
+TCCS6      .EQU 0x0002FB\r
+_tcdt7     .EQU 0x0002FC\r
+TCDT7      .EQU 0x0002FC /* Free Running Timer7 */\r
+_tccs7     .EQU 0x0002FF\r
+TCCS7      .EQU 0x0002FF\r
+_roms      .EQU 0x000390\r
+ROMS       .EQU 0x000390 /* ROM Select Register */\r
+_bsd0      .EQU 0x0003F0\r
+BSD0       .EQU 0x0003F0 /* Bit Search Module */\r
+_bsd1      .EQU 0x0003F4\r
+BSD1       .EQU 0x0003F4\r
+_bsdc      .EQU 0x0003F8\r
+BSDC       .EQU 0x0003F8\r
+_bsrr      .EQU 0x0003FC\r
+BSRR       .EQU 0x0003FC\r
+_icr00     .EQU 0x000440\r
+ICR00      .EQU 0x000440 /* Interrupt Control Unit */\r
+_icr01     .EQU 0x000441\r
+ICR01      .EQU 0x000441\r
+_icr02     .EQU 0x000442\r
+ICR02      .EQU 0x000442\r
+_icr03     .EQU 0x000443\r
+ICR03      .EQU 0x000443\r
+_icr04     .EQU 0x000444\r
+ICR04      .EQU 0x000444\r
+_icr05     .EQU 0x000445\r
+ICR05      .EQU 0x000445\r
+_icr06     .EQU 0x000446\r
+ICR06      .EQU 0x000446\r
+_icr07     .EQU 0x000447\r
+ICR07      .EQU 0x000447\r
+_icr08     .EQU 0x000448\r
+ICR08      .EQU 0x000448\r
+_icr09     .EQU 0x000449\r
+ICR09      .EQU 0x000449\r
+_icr10     .EQU 0x00044A\r
+ICR10      .EQU 0x00044A\r
+_icr11     .EQU 0x00044B\r
+ICR11      .EQU 0x00044B\r
+_icr12     .EQU 0x00044C\r
+ICR12      .EQU 0x00044C\r
+_icr13     .EQU 0x00044D\r
+ICR13      .EQU 0x00044D\r
+_icr14     .EQU 0x00044E\r
+ICR14      .EQU 0x00044E\r
+_icr15     .EQU 0x00044F\r
+ICR15      .EQU 0x00044F\r
+_icr16     .EQU 0x000450\r
+ICR16      .EQU 0x000450\r
+_icr17     .EQU 0x000451\r
+ICR17      .EQU 0x000451\r
+_icr18     .EQU 0x000452\r
+ICR18      .EQU 0x000452\r
+_icr19     .EQU 0x000453\r
+ICR19      .EQU 0x000453\r
+_icr20     .EQU 0x000454\r
+ICR20      .EQU 0x000454\r
+_icr21     .EQU 0x000455\r
+ICR21      .EQU 0x000455\r
+_icr22     .EQU 0x000456\r
+ICR22      .EQU 0x000456\r
+_icr23     .EQU 0x000457\r
+ICR23      .EQU 0x000457\r
+_icr24     .EQU 0x000458\r
+ICR24      .EQU 0x000458\r
+_icr25     .EQU 0x000459\r
+ICR25      .EQU 0x000459\r
+_icr26     .EQU 0x00045A\r
+ICR26      .EQU 0x00045A\r
+_icr27     .EQU 0x00045B\r
+ICR27      .EQU 0x00045B\r
+_icr28     .EQU 0x00045C\r
+ICR28      .EQU 0x00045C\r
+_icr29     .EQU 0x00045D\r
+ICR29      .EQU 0x00045D\r
+_icr30     .EQU 0x00045E\r
+ICR30      .EQU 0x00045E\r
+_icr31     .EQU 0x00045F\r
+ICR31      .EQU 0x00045F\r
+_icr32     .EQU 0x000460\r
+ICR32      .EQU 0x000460\r
+_icr33     .EQU 0x000461\r
+ICR33      .EQU 0x000461\r
+_icr34     .EQU 0x000462\r
+ICR34      .EQU 0x000462\r
+_icr35     .EQU 0x000463\r
+ICR35      .EQU 0x000463\r
+_icr36     .EQU 0x000464\r
+ICR36      .EQU 0x000464\r
+_icr37     .EQU 0x000465\r
+ICR37      .EQU 0x000465\r
+_icr38     .EQU 0x000466\r
+ICR38      .EQU 0x000466\r
+_icr39     .EQU 0x000467\r
+ICR39      .EQU 0x000467\r
+_icr40     .EQU 0x000468\r
+ICR40      .EQU 0x000468\r
+_icr41     .EQU 0x000469\r
+ICR41      .EQU 0x000469\r
+_icr42     .EQU 0x00046A\r
+ICR42      .EQU 0x00046A\r
+_icr43     .EQU 0x00046B\r
+ICR43      .EQU 0x00046B\r
+_icr44     .EQU 0x00046C\r
+ICR44      .EQU 0x00046C\r
+_icr45     .EQU 0x00046D\r
+ICR45      .EQU 0x00046D\r
+_icr46     .EQU 0x00046E\r
+ICR46      .EQU 0x00046E\r
+_icr47     .EQU 0x00046F\r
+ICR47      .EQU 0x00046F\r
+_icr48     .EQU 0x000470\r
+ICR48      .EQU 0x000470\r
+_icr49     .EQU 0x000471\r
+ICR49      .EQU 0x000471\r
+_icr50     .EQU 0x000472\r
+ICR50      .EQU 0x000472\r
+_icr51     .EQU 0x000473\r
+ICR51      .EQU 0x000473\r
+_icr52     .EQU 0x000474\r
+ICR52      .EQU 0x000474\r
+_icr53     .EQU 0x000475\r
+ICR53      .EQU 0x000475\r
+_icr54     .EQU 0x000476\r
+ICR54      .EQU 0x000476\r
+_icr55     .EQU 0x000477\r
+ICR55      .EQU 0x000477\r
+_icr56     .EQU 0x000478\r
+ICR56      .EQU 0x000478\r
+_icr57     .EQU 0x000479\r
+ICR57      .EQU 0x000479\r
+_icr58     .EQU 0x00047A\r
+ICR58      .EQU 0x00047A\r
+_icr59     .EQU 0x00047B\r
+ICR59      .EQU 0x00047B\r
+_icr60     .EQU 0x00047C\r
+ICR60      .EQU 0x00047C\r
+_icr61     .EQU 0x00047D\r
+ICR61      .EQU 0x00047D\r
+_icr62     .EQU 0x00047E\r
+ICR62      .EQU 0x00047E\r
+_icr63     .EQU 0x00047F\r
+ICR63      .EQU 0x00047F\r
+_rsrr      .EQU 0x000480\r
+RSRR       .EQU 0x000480 /* Clock Control Unit */\r
+_stcr      .EQU 0x000481\r
+STCR       .EQU 0x000481\r
+_tbcr      .EQU 0x000482\r
+TBCR       .EQU 0x000482\r
+_ctbr      .EQU 0x000483\r
+CTBR       .EQU 0x000483\r
+_clkr      .EQU 0x000484\r
+CLKR       .EQU 0x000484\r
+_wpr       .EQU 0x000485\r
+WPR        .EQU 0x000485\r
+_divr0     .EQU 0x000486\r
+DIVR0      .EQU 0x000486\r
+_divr1     .EQU 0x000487\r
+DIVR1      .EQU 0x000487\r
+_plldivm   .EQU 0x00048C\r
+PLLDIVM    .EQU 0x00048C /* PLL - Clock Gear Unit: */\r
+_plldivn   .EQU 0x00048D\r
+PLLDIVN    .EQU 0x00048D\r
+_plldivg   .EQU 0x00048E\r
+PLLDIVG    .EQU 0x00048E\r
+_pllmulg   .EQU 0x00048F\r
+PLLMULG    .EQU 0x00048F\r
+_pllctrl   .EQU 0x000490\r
+PLLCTRL    .EQU 0x000490\r
+_oscc1     .EQU 0x000494\r
+OSCC1      .EQU 0x000494 /* Main/Sub Oscillator Control */\r
+_oscs1     .EQU 0x000495\r
+OSCS1      .EQU 0x000495\r
+_oscc2     .EQU 0x000496\r
+OSCC2      .EQU 0x000496\r
+_oscs2     .EQU 0x000497\r
+OSCS2      .EQU 0x000497\r
+_porten  .EQU 0x000498\r
+PORTEN   .EQU 0x000498 /* Port Input Enable Control */\r
+_wtcer     .EQU 0x0004A1\r
+WTCER      .EQU 0x0004A1 /* Real Time Clock (Watch Timer) */\r
+_wtcr      .EQU 0x0004A2\r
+WTCR       .EQU 0x0004A2\r
+_wtbr      .EQU 0x0004A4\r
+WTBR       .EQU 0x0004A4\r
+_wthr      .EQU 0x0004A8\r
+WTHR       .EQU 0x0004A8\r
+_wtmr      .EQU 0x0004A9\r
+WTMR       .EQU 0x0004A9\r
+_wtsr      .EQU 0x0004AA\r
+WTSR       .EQU 0x0004AA\r
+_csvtr     .EQU 0x0004AC\r
+CSVTR      .EQU 0x0004AC /* Clock-Supervisor / Selecor / Monitor */\r
+_csvcr     .EQU 0x0004AD\r
+CSVCR      .EQU 0x0004AD\r
+_cscfg  .EQU 0x0004AE\r
+CSCFG   .EQU 0x0004AE\r
+_cmcfg  .EQU 0x0004AF\r
+CMCFG   .EQU 0x0004AF\r
+_cucr      .EQU 0x0004B0\r
+CUCR       .EQU 0x0004B0 /* Calibration Unit of Sub Oszillation */\r
+_cutd      .EQU 0x0004B2\r
+CUTD       .EQU 0x0004B2\r
+_cutr1     .EQU 0x0004B4\r
+CUTR1      .EQU 0x0004B4\r
+_cutr2     .EQU 0x0004B6\r
+CUTR2      .EQU 0x0004B6\r
+_cmpr      .EQU 0x0004B8\r
+CMPR       .EQU 0x0004B8 /* Clock Modulator */\r
+_cmcr  .EQU 0x0004BB\r
+CMCR   .EQU 0x0004BB\r
+_cmt1      .EQU 0x0004BC\r
+CMT1       .EQU 0x0004BC\r
+_cmt2      .EQU 0x0004BE\r
+CMT2       .EQU 0x0004BE\r
+_canpre  .EQU 0x0004C0\r
+CANPRE   .EQU 0x0004C0 /* CAN clock control */\r
+_canckd  .EQU 0x0004C1\r
+CANCKD   .EQU 0x0004C1\r
+_lvsel  .EQU 0x0004C4\r
+LVSEL   .EQU 0x0004C4 /* LV Detection / Hardware-Watchdog */\r
+_lvdet     .EQU 0x0004C5\r
+LVDET      .EQU 0x0004C5\r
+_hwwde     .EQU 0x0004C6\r
+HWWDE      .EQU 0x0004C6\r
+_hwwd      .EQU 0x0004C7\r
+HWWD       .EQU 0x0004C7\r
+_oscrh     .EQU 0x0004C8\r
+OSCRH      .EQU 0x0004C8 /* Main-/Sub-Oscillatio Stabilization Timer */\r
+_oscrl     .EQU 0x0004C9\r
+OSCRL      .EQU 0x0004C9\r
+_wpcrh     .EQU 0x0004CA\r
+WPCRH      .EQU 0x0004CA\r
+_wpcrl     .EQU 0x0004CB\r
+WPCRL      .EQU 0x0004CB\r
+_osccr     .EQU 0x0004CC\r
+OSCCR      .EQU 0x0004CC /* Main-/Sub-Oscillatio Standby Control */\r
+_regsel  .EQU 0x0004CE\r
+REGSEL   .EQU 0x0004CE\r
+_regctr  .EQU 0x0004CF\r
+REGCTR   .EQU 0x0004CF\r
+_modr      .EQU 0x0007FD\r
+MODR       .EQU 0x0007FD /* Mode Register */\r
+_pdrd14    .EQU 0x000D0E\r
+PDRD14     .EQU 0x000D0E /* R-bus Port Data Direct Read Register */\r
+_pdrd15    .EQU 0x000D0F\r
+PDRD15     .EQU 0x000D0F\r
+_pdrd16    .EQU 0x000D10\r
+PDRD16     .EQU 0x000D10\r
+_pdrd17    .EQU 0x000D11\r
+PDRD17     .EQU 0x000D11\r
+_pdrd18    .EQU 0x000D12\r
+PDRD18     .EQU 0x000D12\r
+_pdrd19    .EQU 0x000D13\r
+PDRD19     .EQU 0x000D13\r
+_pdrd20    .EQU 0x000D14\r
+PDRD20     .EQU 0x000D14\r
+_pdrd21    .EQU 0x000D15\r
+PDRD21     .EQU 0x000D15\r
+_pdrd22    .EQU 0x000D16\r
+PDRD22     .EQU 0x000D16\r
+_pdrd24    .EQU 0x000D18\r
+PDRD24     .EQU 0x000D18\r
+_pdrd26    .EQU 0x000D1A\r
+PDRD26     .EQU 0x000D1A\r
+_pdrd27    .EQU 0x000D1B\r
+PDRD27     .EQU 0x000D1B\r
+_pdrd28    .EQU 0x000D1C\r
+PDRD28     .EQU 0x000D1C\r
+_pdrd29    .EQU 0x000D1D\r
+PDRD29     .EQU 0x000D1D\r
+_ddr14     .EQU 0x000D4E\r
+DDR14      .EQU 0x000D4E /* R-bus Port Direction Register */\r
+_ddr15     .EQU 0x000D4F\r
+DDR15      .EQU 0x000D4F\r
+_ddr16     .EQU 0x000D50\r
+DDR16      .EQU 0x000D50\r
+_ddr17     .EQU 0x000D51\r
+DDR17      .EQU 0x000D51\r
+_ddr18     .EQU 0x000D52\r
+DDR18      .EQU 0x000D52\r
+_ddr19     .EQU 0x000D53\r
+DDR19      .EQU 0x000D53\r
+_ddr20     .EQU 0x000D54\r
+DDR20      .EQU 0x000D54\r
+_ddr21     .EQU 0x000D55\r
+DDR21      .EQU 0x000D55\r
+_ddr22     .EQU 0x000D56\r
+DDR22      .EQU 0x000D56\r
+_ddr24     .EQU 0x000D58\r
+DDR24      .EQU 0x000D58\r
+_ddr26     .EQU 0x000D5A\r
+DDR26      .EQU 0x000D5A\r
+_ddr27     .EQU 0x000D5B\r
+DDR27      .EQU 0x000D5B\r
+_ddr28     .EQU 0x000D5C\r
+DDR28      .EQU 0x000D5C\r
+_ddr29     .EQU 0x000D5D\r
+DDR29      .EQU 0x000D5D\r
+_pfr14     .EQU 0x000D8E\r
+PFR14      .EQU 0x000D8E /* R-bus Port Function Register */\r
+_pfr15     .EQU 0x000D8F\r
+PFR15      .EQU 0x000D8F\r
+_pfr16     .EQU 0x000D90\r
+PFR16      .EQU 0x000D90\r
+_pfr17     .EQU 0x000D91\r
+PFR17      .EQU 0x000D91\r
+_pfr18     .EQU 0x000D92\r
+PFR18      .EQU 0x000D92\r
+_pfr19     .EQU 0x000D93\r
+PFR19      .EQU 0x000D93\r
+_pfr20     .EQU 0x000D94\r
+PFR20      .EQU 0x000D94\r
+_pfr21     .EQU 0x000D95\r
+PFR21      .EQU 0x000D95\r
+_pfr22     .EQU 0x000D96\r
+PFR22      .EQU 0x000D96\r
+_pfr24     .EQU 0x000D98\r
+PFR24      .EQU 0x000D98\r
+_pfr26     .EQU 0x000D9A\r
+PFR26      .EQU 0x000D9A\r
+_pfr27     .EQU 0x000D9B\r
+PFR27      .EQU 0x000D9B\r
+_pfr28     .EQU 0x000D9C\r
+PFR28      .EQU 0x000D9C\r
+_pfr29     .EQU 0x000D9D\r
+PFR29      .EQU 0x000D9D\r
+_epfr14    .EQU 0x000DCE\r
+EPFR14     .EQU 0x000DCE /* R-bus Port Extra Function Register */\r
+_epfr15    .EQU 0x000DCF\r
+EPFR15     .EQU 0x000DCF\r
+_epfr16    .EQU 0x000DD0\r
+EPFR16     .EQU 0x000DD0\r
+_epfr17    .EQU 0x000DD1\r
+EPFR17     .EQU 0x000DD1\r
+_epfr18    .EQU 0x000DD2\r
+EPFR18     .EQU 0x000DD2\r
+_epfr19    .EQU 0x000DD3\r
+EPFR19     .EQU 0x000DD3\r
+_epfr20    .EQU 0x000DD4\r
+EPFR20     .EQU 0x000DD4\r
+_epfr21    .EQU 0x000DD5\r
+EPFR21     .EQU 0x000DD5\r
+_epfr22    .EQU 0x000DD6\r
+EPFR22     .EQU 0x000DD6\r
+_epfr24    .EQU 0x000DD8\r
+EPFR24     .EQU 0x000DD8\r
+_epfr26    .EQU 0x000DDA\r
+EPFR26     .EQU 0x000DDA\r
+_epfr27    .EQU 0x000DDB\r
+EPFR27     .EQU 0x000DDB\r
+_epfr29    .EQU 0x000DDD\r
+EPFR29     .EQU 0x000DDD\r
+_podr14    .EQU 0x000E0E\r
+PODR14     .EQU 0x000E0E /* R-bus Port Output Drive Select Register */\r
+_podr15    .EQU 0x000E0F\r
+PODR15     .EQU 0x000E0F\r
+_podr16    .EQU 0x000E10\r
+PODR16     .EQU 0x000E10\r
+_podr17    .EQU 0x000E11\r
+PODR17     .EQU 0x000E11\r
+_podr18    .EQU 0x000E12\r
+PODR18     .EQU 0x000E12\r
+_podr19    .EQU 0x000E13\r
+PODR19     .EQU 0x000E13\r
+_podr20    .EQU 0x000E14\r
+PODR20     .EQU 0x000E14\r
+_podr21    .EQU 0x000E15\r
+PODR21     .EQU 0x000E15\r
+_podr22    .EQU 0x000E16\r
+PODR22     .EQU 0x000E16\r
+_podr24    .EQU 0x000E18\r
+PODR24     .EQU 0x000E18\r
+_podr26    .EQU 0x000E1A\r
+PODR26     .EQU 0x000E1A\r
+_podr27    .EQU 0x000E1B\r
+PODR27     .EQU 0x000E1B\r
+_podr28    .EQU 0x000E1C\r
+PODR28     .EQU 0x000E1C\r
+_podr29    .EQU 0x000E1D\r
+PODR29     .EQU 0x000E1D\r
+_pilr14    .EQU 0x000E4E\r
+PILR14     .EQU 0x000E4E /* R-bus Port Input Level Select Register */\r
+_pilr15    .EQU 0x000E4F\r
+PILR15     .EQU 0x000E4F\r
+_pilr16    .EQU 0x000E50\r
+PILR16     .EQU 0x000E50\r
+_pilr17    .EQU 0x000E51\r
+PILR17     .EQU 0x000E51\r
+_pilr18    .EQU 0x000E52\r
+PILR18     .EQU 0x000E52\r
+_pilr19    .EQU 0x000E53\r
+PILR19     .EQU 0x000E53\r
+_pilr20    .EQU 0x000E54\r
+PILR20     .EQU 0x000E54\r
+_pilr21    .EQU 0x000E55\r
+PILR21     .EQU 0x000E55\r
+_pilr22    .EQU 0x000E56\r
+PILR22     .EQU 0x000E56\r
+_pilr24    .EQU 0x000E58\r
+PILR24     .EQU 0x000E58\r
+_pilr26    .EQU 0x000E5A\r
+PILR26     .EQU 0x000E5A\r
+_pilr27    .EQU 0x000E5B\r
+PILR27     .EQU 0x000E5B\r
+_pilr28    .EQU 0x000E5C\r
+PILR28     .EQU 0x000E5C\r
+_pilr29    .EQU 0x000E5D\r
+PILR29     .EQU 0x000E5D\r
+_epilr14   .EQU 0x000E8E\r
+EPILR14    .EQU 0x000E8E /* R-bus Port Extra Input Level Select Register */\r
+_epilr15   .EQU 0x000E8F\r
+EPILR15    .EQU 0x000E8F\r
+_epilr16   .EQU 0x000E90\r
+EPILR16    .EQU 0x000E90\r
+_epilr17   .EQU 0x000E91\r
+EPILR17    .EQU 0x000E91\r
+_epilr18   .EQU 0x000E92\r
+EPILR18    .EQU 0x000E92\r
+_epilr19   .EQU 0x000E93\r
+EPILR19    .EQU 0x000E93\r
+_epilr20   .EQU 0x000E94\r
+EPILR20    .EQU 0x000E94\r
+_epilr21   .EQU 0x000E95\r
+EPILR21    .EQU 0x000E95\r
+_epilr22   .EQU 0x000E96\r
+EPILR22    .EQU 0x000E96\r
+_epilr24   .EQU 0x000E98\r
+EPILR24    .EQU 0x000E98\r
+_epilr26   .EQU 0x000E9A\r
+EPILR26    .EQU 0x000E9A\r
+_epilr27   .EQU 0x000E9B\r
+EPILR27    .EQU 0x000E9B\r
+_epilr28   .EQU 0x000E9C\r
+EPILR28    .EQU 0x000E9C\r
+_epilr29   .EQU 0x000E9D\r
+EPILR29    .EQU 0x000E9D\r
+_pper14    .EQU 0x000ECE\r
+PPER14     .EQU 0x000ECE /* R-bus Port Pull-Up/Down  Enable Register */\r
+_pper15    .EQU 0x000ECF\r
+PPER15     .EQU 0x000ECF\r
+_pper16    .EQU 0x000ED0\r
+PPER16     .EQU 0x000ED0\r
+_pper17    .EQU 0x000ED1\r
+PPER17     .EQU 0x000ED1\r
+_pper18    .EQU 0x000ED1\r
+PPER18     .EQU 0x000ED1\r
+_pper19    .EQU 0x000ED2\r
+PPER19     .EQU 0x000ED2\r
+_pper20    .EQU 0x000ED4\r
+PPER20     .EQU 0x000ED4\r
+_pper21    .EQU 0x000ED5\r
+PPER21     .EQU 0x000ED5\r
+_pper22    .EQU 0x000ED6\r
+PPER22     .EQU 0x000ED6\r
+_pper24    .EQU 0x000ED8\r
+PPER24     .EQU 0x000ED8\r
+_pper26    .EQU 0x000EDA\r
+PPER26     .EQU 0x000EDA\r
+_pper27    .EQU 0x000EDB\r
+PPER27     .EQU 0x000EDB\r
+_pper28    .EQU 0x000EDC\r
+PPER28     .EQU 0x000EDC\r
+_pper29    .EQU 0x000EDD\r
+PPER29     .EQU 0x000EDD\r
+_ppcr14    .EQU 0x000F0E\r
+PPCR14     .EQU 0x000F0E /* R-bus Port Pull-Up/Down Control Register */\r
+_ppcr15    .EQU 0x000F0F\r
+PPCR15     .EQU 0x000F0F\r
+_ppcr16    .EQU 0x000F10\r
+PPCR16     .EQU 0x000F10\r
+_ppcr17    .EQU 0x000F11\r
+PPCR17     .EQU 0x000F11\r
+_ppcr18    .EQU 0x000F12\r
+PPCR18     .EQU 0x000F12\r
+_ppcr19    .EQU 0x000F13\r
+PPCR19     .EQU 0x000F13\r
+_ppcr20    .EQU 0x000F14\r
+PPCR20     .EQU 0x000F14\r
+_ppcr21    .EQU 0x000F15\r
+PPCR21     .EQU 0x000F15\r
+_ppcr22    .EQU 0x000F16\r
+PPCR22     .EQU 0x000F16\r
+_ppcr24    .EQU 0x000F18\r
+PPCR24     .EQU 0x000F18\r
+_ppcr26    .EQU 0x000F1A\r
+PPCR26     .EQU 0x000F1A\r
+_ppcr27    .EQU 0x000F1B\r
+PPCR27     .EQU 0x000F1B\r
+_ppcr28    .EQU 0x000F1C\r
+PPCR28     .EQU 0x000F1C\r
+_ppcr29    .EQU 0x000F1D\r
+PPCR29     .EQU 0x000F1D\r
+_dmasa0    .EQU 0x001000\r
+DMASA0     .EQU 0x001000 /* DMAC */\r
+_dmada0    .EQU 0x001004\r
+DMADA0     .EQU 0x001004\r
+_dmasa1    .EQU 0x001008\r
+DMASA1     .EQU 0x001008\r
+_dmada1    .EQU 0x00100C\r
+DMADA1     .EQU 0x00100C\r
+_dmasa2    .EQU 0x001010\r
+DMASA2     .EQU 0x001010\r
+_dmada2    .EQU 0x001014\r
+DMADA2     .EQU 0x001014\r
+_dmasa3    .EQU 0x001018\r
+DMASA3     .EQU 0x001018\r
+_dmada3    .EQU 0x00101C\r
+DMADA3     .EQU 0x00101C\r
+_dmasa4    .EQU 0x001020\r
+DMASA4     .EQU 0x001020\r
+_dmada4    .EQU 0x001024\r
+DMADA4     .EQU 0x001024\r
+_fmcs      .EQU 0x007000\r
+FMCS       .EQU 0x007000 /* Flash Memory/I-Cache Control Register */\r
+_fmcr      .EQU 0x007001\r
+FMCR       .EQU 0x007001\r
+_fchcr     .EQU 0x007002\r
+FCHCR      .EQU 0x007002\r
+_fmwt      .EQU 0x007004\r
+FMWT       .EQU 0x007004\r
+_fmwt2     .EQU 0x007006\r
+FMWT2      .EQU 0x007006\r
+_fmps      .EQU 0x007007\r
+FMPS       .EQU 0x007007\r
+_fmac      .EQU 0x007008\r
+FMAC       .EQU 0x007008\r
+_fcha0     .EQU 0x00700C\r
+FCHA0      .EQU 0x00700C /* I_Cache Nonchachable area settings Register */\r
+_fcha1     .EQU 0x007010\r
+FCHA1      .EQU 0x007010\r
+_fscr0     .EQU 0x007100\r
+FSCR0      .EQU 0x007100 /* Flash Security Control Register */\r
+_fscr1     .EQU 0x007104\r
+FSCR1      .EQU 0x007104\r
+_ctrlr4    .EQU 0x00C400\r
+CTRLR4     .EQU 0x00C400 /* CAN 4 Control Register */\r
+_statr4    .EQU 0x00C402\r
+STATR4     .EQU 0x00C402\r
+_errcnt4   .EQU 0x00C404\r
+ERRCNT4    .EQU 0x00C404\r
+_btr4  .EQU 0x00C406\r
+BTR4   .EQU 0x00C406\r
+_intr4     .EQU 0x00C408\r
+INTR4      .EQU 0x00C408\r
+_testr4    .EQU 0x00C40A\r
+TESTR4     .EQU 0x00C40A\r
+_brper4    .EQU 0x00C40C\r
+BRPER4     .EQU 0x00C40C\r
+_brpe4     .EQU 0x00C40C\r
+BRPE4      .EQU 0x00C40C\r
+_if1creq4  .EQU 0x00C410\r
+IF1CREQ4   .EQU 0x00C410 /* CAN 4 IF 1 */\r
+_if1cmsk4  .EQU 0x00C412\r
+IF1CMSK4   .EQU 0x00C412\r
+_if1msk124  .EQU 0x00C414\r
+IF1MSK124   .EQU 0x00C414\r
+_if1msk24  .EQU 0x00C414\r
+IF1MSK24   .EQU 0x00C414\r
+_if1msk14  .EQU 0x00C416\r
+IF1MSK14   .EQU 0x00C416\r
+_if1arb124  .EQU 0x00C418\r
+IF1ARB124   .EQU 0x00C418\r
+_if1arb24  .EQU 0x00C418\r
+IF1ARB24   .EQU 0x00C418\r
+_if1arb14  .EQU 0x00C41A\r
+IF1ARB14   .EQU 0x00C41A\r
+_if1mctr4  .EQU 0x00C41C\r
+IF1MCTR4   .EQU 0x00C41C\r
+_if1dta124  .EQU 0x00C420\r
+IF1DTA124   .EQU 0x00C420\r
+_if1dta14  .EQU 0x00C420\r
+IF1DTA14   .EQU 0x00C420\r
+_if1dta24  .EQU 0x00C422\r
+IF1DTA24   .EQU 0x00C422\r
+_if1dtb124  .EQU 0x00C424\r
+IF1DTB124   .EQU 0x00C424\r
+_if1dtb14  .EQU 0x00C424\r
+IF1DTB14   .EQU 0x00C424\r
+_if1dtb24  .EQU 0x00C426\r
+IF1DTB24   .EQU 0x00C426\r
+_if1dta_swp124  .EQU 0x00C430\r
+IF1DTA_SWP124   .EQU 0x00C430\r
+_if1dta_swp24  .EQU 0x00C430\r
+IF1DTA_SWP24   .EQU 0x00C430\r
+_if1dta_swp14  .EQU 0x00C432\r
+IF1DTA_SWP14   .EQU 0x00C432\r
+_if1dtb_swp124  .EQU 0x00C434\r
+IF1DTB_SWP124   .EQU 0x00C434\r
+_if1dtb_swp24  .EQU 0x00C434\r
+IF1DTB_SWP24   .EQU 0x00C434\r
+_if1dtb_swp14  .EQU 0x00C436\r
+IF1DTB_SWP14   .EQU 0x00C436\r
+_if2creq4  .EQU 0x00C440\r
+IF2CREQ4   .EQU 0x00C440 /* CAN 4 IF 2 */\r
+_if2cmsk4  .EQU 0x00C442\r
+IF2CMSK4   .EQU 0x00C442\r
+_if2msk124  .EQU 0x00C444\r
+IF2MSK124   .EQU 0x00C444\r
+_if2msk24  .EQU 0x00C444\r
+IF2MSK24   .EQU 0x00C444\r
+_if2msk14  .EQU 0x00C446\r
+IF2MSK14   .EQU 0x00C446\r
+_if2arb124  .EQU 0x00C448\r
+IF2ARB124   .EQU 0x00C448\r
+_if2arb24  .EQU 0x00C448\r
+IF2ARB24   .EQU 0x00C448\r
+_if2arb14  .EQU 0x00C44A\r
+IF2ARB14   .EQU 0x00C44A\r
+_if2mctr4  .EQU 0x00C44C\r
+IF2MCTR4   .EQU 0x00C44C\r
+_if2dta124  .EQU 0x00C450\r
+IF2DTA124   .EQU 0x00C450\r
+_if2dta14  .EQU 0x00C450\r
+IF2DTA14   .EQU 0x00C450\r
+_if2dta24  .EQU 0x00C452\r
+IF2DTA24   .EQU 0x00C452\r
+_if2dtb124  .EQU 0x00C454\r
+IF2DTB124   .EQU 0x00C454\r
+_if2dtb14  .EQU 0x00C454\r
+IF2DTB14   .EQU 0x00C454\r
+_if2dtb24  .EQU 0x00C456\r
+IF2DTB24   .EQU 0x00C456\r
+_if2dta_swp124  .EQU 0x00C460\r
+IF2DTA_SWP124   .EQU 0x00C460\r
+_if2dta_swp24  .EQU 0x00C460\r
+IF2DTA_SWP24   .EQU 0x00C460\r
+_if2dta_swp14  .EQU 0x00C462\r
+IF2DTA_SWP14   .EQU 0x00C462\r
+_if2dtb_swp124  .EQU 0x00C464\r
+IF2DTB_SWP124   .EQU 0x00C464\r
+_if2dtb_swp24  .EQU 0x00C464\r
+IF2DTB_SWP24   .EQU 0x00C464\r
+_if2dtb_swp14  .EQU 0x00C466\r
+IF2DTB_SWP14   .EQU 0x00C466\r
+_treqr124  .EQU 0x00C480\r
+TREQR124   .EQU 0x00C480 /* CAN 4 Status Flags */\r
+_treqr24   .EQU 0x00C480\r
+TREQR24    .EQU 0x00C480\r
+_treqr14   .EQU 0x00C482\r
+TREQR14    .EQU 0x00C482\r
+_treqr344  .EQU 0x00C484\r
+TREQR344   .EQU 0x00C484\r
+_newdt124  .EQU 0x00C490\r
+NEWDT124   .EQU 0x00C490\r
+_newdt24   .EQU 0x00C490\r
+NEWDT24    .EQU 0x00C490\r
+_newdt14   .EQU 0x00C492\r
+NEWDT14    .EQU 0x00C492\r
+_intpnd124  .EQU 0x00C4A0\r
+INTPND124   .EQU 0x00C4A0\r
+_intpnd24  .EQU 0x00C4A0\r
+INTPND24   .EQU 0x00C4A0\r
+_intpnd14  .EQU 0x00C4A2\r
+INTPND14   .EQU 0x00C4A2\r
+_msgval124  .EQU 0x00C4B0\r
+MSGVAL124   .EQU 0x00C4B0\r
+_msgval24  .EQU 0x00C4B0\r
+MSGVAL24   .EQU 0x00C4B0\r
+_msgval14  .EQU 0x00C4B2\r
+MSGVAL14   .EQU 0x00C4B2\r
+_bctrl     .EQU 0x00F000\r
+BCTRL      .EQU 0x00F000 /* EDSU/MPU Registers */\r
+_bstat     .EQU 0x00F004\r
+BSTAT      .EQU 0x00F004\r
+_biac      .EQU 0x00F008\r
+BIAC       .EQU 0x00F008\r
+_boac      .EQU 0x00F00C\r
+BOAC       .EQU 0x00F00C\r
+_birq      .EQU 0x00F010\r
+BIRQ       .EQU 0x00F010\r
+_bcr0      .EQU 0x00F020\r
+BCR0       .EQU 0x00F020\r
+_bcr1      .EQU 0x00F024\r
+BCR1       .EQU 0x00F024\r
+_bad0      .EQU 0x00F080\r
+BAD0       .EQU 0x00F080\r
+_bad1      .EQU 0x00F084\r
+BAD1       .EQU 0x00F084\r
+_bad2      .EQU 0x00F088\r
+BAD2       .EQU 0x00F088\r
+_bad3      .EQU 0x00F08C\r
+BAD3       .EQU 0x00F08C\r
+_bad4      .EQU 0x00F090\r
+BAD4       .EQU 0x00F090\r
+_bad5      .EQU 0x00F094\r
+BAD5       .EQU 0x00F094\r
+_bad6      .EQU 0x00F098\r
+BAD6       .EQU 0x00F098\r
+_bad7      .EQU 0x00F09C\r
+BAD7       .EQU 0x00F09C\r
+_fsv1      .EQU 0x148000\r
+FSV1       .EQU 0x148000 /* FSV & BSV Registers */\r
+_bsv1      .EQU 0x148004\r
+BSV1       .EQU 0x148004\r
+_fsv2      .EQU 0x148008\r
+FSV2       .EQU 0x148008\r
+_bsv2      .EQU 0x14800C\r
+BSV2       .EQU 0x14800C\r
+#pragma endasm\r
+#else\r
+\r
+#ifndef _MB91XXX_H\r
+#define _MB91XXX_H\r
+\r
+#ifdef  __FASM__ \r
+#pragma asm\r
+ .IMPORT _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19\r
+ .IMPORT _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27\r
+ .IMPORT _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1\r
+ .IMPORT _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00\r
+ .IMPORT _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00\r
+ .IMPORT _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01\r
+ .IMPORT _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02\r
+ .IMPORT _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03\r
+ .IMPORT _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04\r
+ .IMPORT _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04\r
+ .IMPORT _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001\r
+ .IMPORT _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003\r
+ .IMPORT _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0\r
+ .IMPORT _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0\r
+ .IMPORT _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11\r
+ .IMPORT _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00\r
+ .IMPORT _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01\r
+ .IMPORT _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02\r
+ .IMPORT _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03\r
+ .IMPORT _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04\r
+ .IMPORT _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05\r
+ .IMPORT _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06\r
+ .IMPORT _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07\r
+ .IMPORT _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08\r
+ .IMPORT _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09\r
+ .IMPORT _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10\r
+ .IMPORT _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11\r
+ .IMPORT _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0\r
+ .IMPORT _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0\r
+ .IMPORT _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader\r
+ .IMPORT _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr\r
+ .IMPORT _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0\r
+ .IMPORT _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1\r
+ .IMPORT _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2\r
+ .IMPORT _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3\r
+ .IMPORT _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4\r
+ .IMPORT _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6\r
+ .IMPORT _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7\r
+ .IMPORT _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1\r
+ .IMPORT _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0\r
+ .IMPORT _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3\r
+ .IMPORT _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67\r
+ .IMPORT _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67\r
+ .IMPORT _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4\r
+ .IMPORT _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7\r
+ .IMPORT _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00\r
+ .IMPORT _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06\r
+ .IMPORT _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12\r
+ .IMPORT _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18\r
+ .IMPORT _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24\r
+ .IMPORT _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30\r
+ .IMPORT _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36\r
+ .IMPORT _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42\r
+ .IMPORT _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48\r
+ .IMPORT _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54\r
+ .IMPORT _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60\r
+ .IMPORT _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr\r
+ .IMPORT _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm\r
+ .IMPORT _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1\r
+ .IMPORT _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr\r
+ .IMPORT _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg\r
+ .IMPORT _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr\r
+ .IMPORT _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel\r
+ .IMPORT _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh\r
+ .IMPORT _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14\r
+ .IMPORT _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20\r
+ .IMPORT _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28\r
+ .IMPORT _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18\r
+ .IMPORT _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26\r
+ .IMPORT _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16\r
+ .IMPORT _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22\r
+ .IMPORT _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14\r
+ .IMPORT _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20\r
+ .IMPORT _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29\r
+ .IMPORT _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19\r
+ .IMPORT _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27\r
+ .IMPORT _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17\r
+ .IMPORT _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24\r
+ .IMPORT _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15\r
+ .IMPORT _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21\r
+ .IMPORT _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29\r
+ .IMPORT _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19\r
+ .IMPORT _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27\r
+ .IMPORT _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17\r
+ .IMPORT _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24\r
+ .IMPORT _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0\r
+ .IMPORT _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3\r
+ .IMPORT _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt\r
+ .IMPORT _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0\r
+ .IMPORT _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4\r
+ .IMPORT _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124\r
+ .IMPORT _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4\r
+ .IMPORT _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24\r
+ .IMPORT _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14\r
+ .IMPORT _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124\r
+ .IMPORT _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24\r
+ .IMPORT _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14\r
+ .IMPORT _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14\r
+ .IMPORT _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24\r
+ .IMPORT _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat\r
+ .IMPORT _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0\r
+ .IMPORT _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6\r
+ .IMPORT _bad7,     _fsv1,     _bsv1,     _fsv2,     _bsv2\r
+#pragma endasm\r
+#else  /* __FASM__  */ \r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU     */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR     */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                                 */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                      */\r
+/*  */\r
+/* ************************************************************************* */\r
+/*                   Fujitsu Microelectronics Europe GmbH                    */\r
+/*                    Pittlerstrasse 47, 63225 Langen */\r
+/*                     Tel.: +49 (6103) 690-0, Fax -122                      */\r
+/*                                                                           */\r
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES                                              */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/* ************************************************************************* */\r
+/* ---------------------------------------------------------------------- */\r
+/*  Id: MB91465K.h,v 1.5 2007/01/04 11:04:48 meffen Exp   */\r
+/* ----------------------------------------------------------------------                                  */\r
+/*  Id: MB91465K.h,v 1.5 2007/01/04 11:04:48 meffen Exp   */\r
+/*      - removed LCD and Sound Controller */\r
+/* Id: MB91465K.iow,v 1.5 2006/11/30 14:39:18 meffen Exp  */\r
+/*      - format of comment lines adapted */\r
+/* BIT-STRUCTURE-DEFINITIONS */\r
+\r
+typedef unsigned char          IO_BYTE;\r
+typedef unsigned short         IO_WORD;\r
+typedef unsigned long          IO_LWORD;\r
+typedef const unsigned short   IO_WORD_READ;\r
+\r
+typedef union{   /* Port Data Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PDR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDR29STR;\r
+typedef union{   /* External Interrupt 0-7 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ER7 :1;\r
+    IO_BYTE _ER6 :1;\r
+    IO_BYTE _ER5 :1;\r
+    IO_BYTE _ER4 :1;\r
+    IO_BYTE _ER3 :1;\r
+    IO_BYTE _ER2 :1;\r
+    IO_BYTE _ER1 :1;\r
+    IO_BYTE _ER0 :1;\r
+  }bit;\r
+ }EIRR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EN7 :1;\r
+    IO_BYTE _EN6 :1;\r
+    IO_BYTE _EN5 :1;\r
+    IO_BYTE _EN4 :1;\r
+    IO_BYTE _EN3 :1;\r
+    IO_BYTE _EN2 :1;\r
+    IO_BYTE _EN1 :1;\r
+    IO_BYTE _EN0 :1;\r
+  }bit;\r
+ }ENIR0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _LB7 :1;\r
+    IO_WORD _LA7 :1;\r
+    IO_WORD _LB6 :1;\r
+    IO_WORD _LA6 :1;\r
+    IO_WORD _LB5 :1;\r
+    IO_WORD _LA5 :1;\r
+    IO_WORD _LB4 :1;\r
+    IO_WORD _LA4 :1;\r
+    IO_WORD _LB3 :1;\r
+    IO_WORD _LA3 :1;\r
+    IO_WORD _LB2 :1;\r
+    IO_WORD _LA2 :1;\r
+    IO_WORD _LB1 :1;\r
+    IO_WORD _LA1 :1;\r
+    IO_WORD _LB0 :1;\r
+    IO_WORD _LA0 :1;\r
+  }bit;\r
+ }ELVR0STR;\r
+typedef union{   /* External Interrupt 8-15 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ER15 :1;\r
+    IO_BYTE _ER14 :1;\r
+    IO_BYTE _ER13 :1;\r
+    IO_BYTE _ER12 :1;\r
+    IO_BYTE _ER11 :1;\r
+    IO_BYTE _ER10 :1;\r
+    IO_BYTE _ER9 :1;\r
+    IO_BYTE _ER8 :1;\r
+  }bit;\r
+ }EIRR1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EN15 :1;\r
+    IO_BYTE _EN14 :1;\r
+    IO_BYTE _EN13 :1;\r
+    IO_BYTE _EN12 :1;\r
+    IO_BYTE _EN11 :1;\r
+    IO_BYTE _EN10 :1;\r
+    IO_BYTE _EN9 :1;\r
+    IO_BYTE _EN8 :1;\r
+  }bit;\r
+ }ENIR1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _LB15 :1;\r
+    IO_WORD _LA15 :1;\r
+    IO_WORD _LB14 :1;\r
+    IO_WORD _LA14 :1;\r
+    IO_WORD _LB13 :1;\r
+    IO_WORD _LA13 :1;\r
+    IO_WORD _LB12 :1;\r
+    IO_WORD _LA12 :1;\r
+    IO_WORD _LB11 :1;\r
+    IO_WORD _LA11 :1;\r
+    IO_WORD _LB10 :1;\r
+    IO_WORD _LA10 :1;\r
+    IO_WORD _LB9 :1;\r
+    IO_WORD _LA9 :1;\r
+    IO_WORD _LB8 :1;\r
+    IO_WORD _LA8 :1;\r
+  }bit;\r
+ }ELVR1STR;\r
+typedef union{   /* DLYI/I-unit */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _DLYI :1;\r
+  }bit;\r
+ }DICRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MHALTI :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _LVL4 :1;\r
+    IO_BYTE _LVL3 :1;\r
+    IO_BYTE _LVL2 :1;\r
+    IO_BYTE _LVL1 :1;\r
+    IO_BYTE _LVL0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LVL :5;\r
+  }bitc;\r
+ }HRCLSTR;\r
+typedef union{   /* USART (LIN) 0 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PEN :1;\r
+    IO_BYTE _P :1;\r
+    IO_BYTE _SBL :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE _AD :1;\r
+    IO_BYTE _CRE :1;\r
+    IO_BYTE _RXE :1;\r
+    IO_BYTE _TXE :1;\r
+  }bit;\r
+ }SCR00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _OTO :1;\r
+    IO_BYTE _EXT :1;\r
+    IO_BYTE _REST :1;\r
+    IO_BYTE _UPCL :1;\r
+    IO_BYTE _SCKE :1;\r
+    IO_BYTE _SOE :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+  }bitc;\r
+ }SMR00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PE :1;\r
+    IO_BYTE _ORE :1;\r
+    IO_BYTE _FRE :1;\r
+    IO_BYTE _RDRF :1;\r
+    IO_BYTE _TDRE :1;\r
+    IO_BYTE _BDS :1;\r
+    IO_BYTE _RIE :1;\r
+    IO_BYTE _TIE :1;\r
+  }bit;\r
+ }SSR00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LBIE :1;\r
+    IO_BYTE _LBD :1;\r
+    IO_BYTE _LBL1 :1;\r
+    IO_BYTE _LBL0 :1;\r
+    IO_BYTE _SOPE :1;\r
+    IO_BYTE _SIOP :1;\r
+    IO_BYTE _CCO :1;\r
+    IO_BYTE _SCES :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LBL :2;\r
+  }bitc;\r
+ }ESCR00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INV :1;\r
+    IO_BYTE _LBR :1;\r
+    IO_BYTE _MS :1;\r
+    IO_BYTE _SCDE :1;\r
+    IO_BYTE _SSM :1;\r
+    IO_BYTE _BIE :1;\r
+    IO_BYTE _RBI :1;\r
+    IO_BYTE _TBI :1;\r
+  }bit;\r
+ }ECCR00STR;\r
+typedef union{   /* USART (LIN) 1 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PEN :1;\r
+    IO_BYTE _P :1;\r
+    IO_BYTE _SBL :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE _AD :1;\r
+    IO_BYTE _CRE :1;\r
+    IO_BYTE _RXE :1;\r
+    IO_BYTE _TXE :1;\r
+  }bit;\r
+ }SCR01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _OTO :1;\r
+    IO_BYTE _EXT :1;\r
+    IO_BYTE _REST :1;\r
+    IO_BYTE _UPCL :1;\r
+    IO_BYTE _SCKE :1;\r
+    IO_BYTE _SOE :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+  }bitc;\r
+ }SMR01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PE :1;\r
+    IO_BYTE _ORE :1;\r
+    IO_BYTE _FRE :1;\r
+    IO_BYTE _RDRF :1;\r
+    IO_BYTE _TDRE :1;\r
+    IO_BYTE _BDS :1;\r
+    IO_BYTE _RIE :1;\r
+    IO_BYTE _TIE :1;\r
+  }bit;\r
+ }SSR01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LBIE :1;\r
+    IO_BYTE _LBD :1;\r
+    IO_BYTE _LBL1 :1;\r
+    IO_BYTE _LBL0 :1;\r
+    IO_BYTE _SOPE :1;\r
+    IO_BYTE _SIOP :1;\r
+    IO_BYTE _CCO :1;\r
+    IO_BYTE _SCES :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LBL :2;\r
+  }bitc;\r
+ }ESCR01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INV :1;\r
+    IO_BYTE _LBR :1;\r
+    IO_BYTE _MS :1;\r
+    IO_BYTE _SCDE :1;\r
+    IO_BYTE _SSM :1;\r
+    IO_BYTE _BIE :1;\r
+    IO_BYTE _RBI :1;\r
+    IO_BYTE _TBI :1;\r
+  }bit;\r
+ }ECCR01STR;\r
+typedef union{   /* USART (LIN) 2 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PEN :1;\r
+    IO_BYTE _P :1;\r
+    IO_BYTE _SBL :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE _AD :1;\r
+    IO_BYTE _CRE :1;\r
+    IO_BYTE _RXE :1;\r
+    IO_BYTE _TXE :1;\r
+  }bit;\r
+ }SCR02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _OTO :1;\r
+    IO_BYTE _EXT :1;\r
+    IO_BYTE _REST :1;\r
+    IO_BYTE _UPCL :1;\r
+    IO_BYTE _SCKE :1;\r
+    IO_BYTE _SOE :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+  }bitc;\r
+ }SMR02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PE :1;\r
+    IO_BYTE _ORE :1;\r
+    IO_BYTE _FRE :1;\r
+    IO_BYTE _RDRF :1;\r
+    IO_BYTE _TDRE :1;\r
+    IO_BYTE _BDS :1;\r
+    IO_BYTE _RIE :1;\r
+    IO_BYTE _TIE :1;\r
+  }bit;\r
+ }SSR02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LBIE :1;\r
+    IO_BYTE _LBD :1;\r
+    IO_BYTE _LBL1 :1;\r
+    IO_BYTE _LBL0 :1;\r
+    IO_BYTE _SOPE :1;\r
+    IO_BYTE _SIOP :1;\r
+    IO_BYTE _CCO :1;\r
+    IO_BYTE _SCES :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LBL :2;\r
+  }bitc;\r
+ }ESCR02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INV :1;\r
+    IO_BYTE _LBR :1;\r
+    IO_BYTE _MS :1;\r
+    IO_BYTE _SCDE :1;\r
+    IO_BYTE _SSM :1;\r
+    IO_BYTE _BIE :1;\r
+    IO_BYTE _RBI :1;\r
+    IO_BYTE _TBI :1;\r
+  }bit;\r
+ }ECCR02STR;\r
+typedef union{   /* USART (LIN) 3 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PEN :1;\r
+    IO_BYTE _P :1;\r
+    IO_BYTE _SBL :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE _AD :1;\r
+    IO_BYTE _CRE :1;\r
+    IO_BYTE _RXE :1;\r
+    IO_BYTE _TXE :1;\r
+  }bit;\r
+ }SCR03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _OTO :1;\r
+    IO_BYTE _EXT :1;\r
+    IO_BYTE _REST :1;\r
+    IO_BYTE _UPCL :1;\r
+    IO_BYTE _SCKE :1;\r
+    IO_BYTE _SOE :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+  }bitc;\r
+ }SMR03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PE :1;\r
+    IO_BYTE _ORE :1;\r
+    IO_BYTE _FRE :1;\r
+    IO_BYTE _RDRF :1;\r
+    IO_BYTE _TDRE :1;\r
+    IO_BYTE _BDS :1;\r
+    IO_BYTE _RIE :1;\r
+    IO_BYTE _TIE :1;\r
+  }bit;\r
+ }SSR03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LBIE :1;\r
+    IO_BYTE _LBD :1;\r
+    IO_BYTE _LBL1 :1;\r
+    IO_BYTE _LBL0 :1;\r
+    IO_BYTE _SOPE :1;\r
+    IO_BYTE _SIOP :1;\r
+    IO_BYTE _CCO :1;\r
+    IO_BYTE _SCES :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LBL :2;\r
+  }bitc;\r
+ }ESCR03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INV :1;\r
+    IO_BYTE _LBR :1;\r
+    IO_BYTE _MS :1;\r
+    IO_BYTE _SCDE :1;\r
+    IO_BYTE _SSM :1;\r
+    IO_BYTE _BIE :1;\r
+    IO_BYTE _RBI :1;\r
+    IO_BYTE _TBI :1;\r
+  }bit;\r
+ }ECCR03STR;\r
+typedef union{   /* USART (LIN) 4 with FIFO */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PEN :1;\r
+    IO_BYTE _P :1;\r
+    IO_BYTE _SBL :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE _AD :1;\r
+    IO_BYTE _CRE :1;\r
+    IO_BYTE _RXE :1;\r
+    IO_BYTE _TXE :1;\r
+  }bit;\r
+ }SCR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _OTO :1;\r
+    IO_BYTE _EXT :1;\r
+    IO_BYTE _REST :1;\r
+    IO_BYTE _UPCL :1;\r
+    IO_BYTE _SCKE :1;\r
+    IO_BYTE _SOE :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+  }bitc;\r
+ }SMR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _PE :1;\r
+    IO_BYTE _ORE :1;\r
+    IO_BYTE _FRE :1;\r
+    IO_BYTE _RDRF :1;\r
+    IO_BYTE _TDRE :1;\r
+    IO_BYTE _BDS :1;\r
+    IO_BYTE _RIE :1;\r
+    IO_BYTE _TIE :1;\r
+  }bit;\r
+ }SSR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LBIE :1;\r
+    IO_BYTE _LBD :1;\r
+    IO_BYTE _LBL1 :1;\r
+    IO_BYTE _LBL0 :1;\r
+    IO_BYTE _SOPE :1;\r
+    IO_BYTE _SIOP :1;\r
+    IO_BYTE _CCO :1;\r
+    IO_BYTE _SCES :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _LBL :2;\r
+  }bitc;\r
+ }ESCR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INV :1;\r
+    IO_BYTE _LBR :1;\r
+    IO_BYTE _MS :1;\r
+    IO_BYTE _SCDE :1;\r
+    IO_BYTE _SSM :1;\r
+    IO_BYTE _BIE :1;\r
+    IO_BYTE _RBI :1;\r
+    IO_BYTE _TBI :1;\r
+  }bit;\r
+ }ECCR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _RXL3 :1;\r
+    IO_BYTE _RXL2 :1;\r
+    IO_BYTE _RXL1 :1;\r
+    IO_BYTE _RXL0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ERX :1;\r
+    IO_BYTE _ETX :1;\r
+    IO_BYTE _SVD :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _RXL :4;\r
+  }bitc;\r
+ }FCR04STR;\r
+typedef union{   /* I2C 0 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _BER :1;\r
+    IO_BYTE _BEIE :1;\r
+    IO_BYTE _SCC :1;\r
+    IO_BYTE _MSS :1;\r
+    IO_BYTE _ACK :1;\r
+    IO_BYTE _GCAA :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _INT :1;\r
+  }bit;\r
+ }IBCR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _BB :1;\r
+    IO_BYTE _RSC :1;\r
+    IO_BYTE _AL :1;\r
+    IO_BYTE _LRB :1;\r
+    IO_BYTE _TRX :1;\r
+    IO_BYTE _AAS :1;\r
+    IO_BYTE _GCA :1;\r
+    IO_BYTE _ADT :1;\r
+  }bit;\r
+ }IBSR0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _TA9 :1;\r
+    IO_WORD _TA8 :1;\r
+    IO_WORD _TA7 :1;\r
+    IO_WORD _TA6 :1;\r
+    IO_WORD _TA5 :1;\r
+    IO_WORD _TA4 :1;\r
+    IO_WORD _TA3 :1;\r
+    IO_WORD _TA2 :1;\r
+    IO_WORD _TA1 :1;\r
+    IO_WORD _TA0 :1;\r
+  }bit;\r
+ }ITBA0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _TA9 :1;\r
+    IO_BYTE _TA8 :1;\r
+  }bit;\r
+ }ITBAH0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _TA7 :1;\r
+    IO_BYTE _TA6 :1;\r
+    IO_BYTE _TA5 :1;\r
+    IO_BYTE _TA4 :1;\r
+    IO_BYTE _TA3 :1;\r
+    IO_BYTE _TA2 :1;\r
+    IO_BYTE _TA1 :1;\r
+    IO_BYTE _TA0 :1;\r
+  }bit;\r
+ }ITBAL0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _ENTB :1;\r
+    IO_WORD _RAL :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _TM9 :1;\r
+    IO_WORD _TM8 :1;\r
+    IO_WORD _TM7 :1;\r
+    IO_WORD _TM6 :1;\r
+    IO_WORD _TM5 :1;\r
+    IO_WORD _TM4 :1;\r
+    IO_WORD _TM3 :1;\r
+    IO_WORD _TM2 :1;\r
+    IO_WORD _TM1 :1;\r
+    IO_WORD _TM0 :1;\r
+  }bit;\r
+ }ITMK0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ENTB :1;\r
+    IO_BYTE _RAL :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _TM9 :1;\r
+    IO_BYTE _TM8 :1;\r
+  }bit;\r
+ }ITMKH0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _TM7 :1;\r
+    IO_BYTE _TM6 :1;\r
+    IO_BYTE _TM5 :1;\r
+    IO_BYTE _TM4 :1;\r
+    IO_BYTE _TM3 :1;\r
+    IO_BYTE _TM2 :1;\r
+    IO_BYTE _TM1 :1;\r
+    IO_BYTE _TM0 :1;\r
+  }bit;\r
+ }ITMKL0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ENSB :1;\r
+    IO_BYTE _SM6 :1;\r
+    IO_BYTE _SM5 :1;\r
+    IO_BYTE _SM4 :1;\r
+    IO_BYTE _SM3 :1;\r
+    IO_BYTE _SM2 :1;\r
+    IO_BYTE _SM1 :1;\r
+    IO_BYTE _SM0 :1;\r
+  }bit;\r
+ }ISMK0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _SA6 :1;\r
+    IO_BYTE _SA5 :1;\r
+    IO_BYTE _SA4 :1;\r
+    IO_BYTE _SA3 :1;\r
+    IO_BYTE _SA2 :1;\r
+    IO_BYTE _SA1 :1;\r
+    IO_BYTE _SA0 :1;\r
+  }bit;\r
+ }ISBA0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }IDAR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _NSF :1;\r
+    IO_BYTE _EN :1;\r
+    IO_BYTE _CS4 :1;\r
+    IO_BYTE _CS3 :1;\r
+    IO_BYTE _CS2 :1;\r
+    IO_BYTE _CS1 :1;\r
+    IO_BYTE _CS0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CS :5;\r
+  }bitc;\r
+ }ICCR0STR;\r
+typedef union{   /* PPG Control 0-3 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _TSEL33 :1;\r
+    IO_WORD _TSEL32 :1;\r
+    IO_WORD _TSEL31 :1;\r
+    IO_WORD _TSEL30 :1;\r
+    IO_WORD _TSEL23 :1;\r
+    IO_WORD _TSEL22 :1;\r
+    IO_WORD _TSEL21 :1;\r
+    IO_WORD _TSEL20 :1;\r
+    IO_WORD _TSEL13 :1;\r
+    IO_WORD _TSEL12 :1;\r
+    IO_WORD _TSEL11 :1;\r
+    IO_WORD _TSEL10 :1;\r
+    IO_WORD _TSEL03 :1;\r
+    IO_WORD _TSEL02 :1;\r
+    IO_WORD _TSEL01 :1;\r
+    IO_WORD _TSEL00 :1;\r
+  }bit;\r
+ }GCN10STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _EN3 :1;\r
+    IO_BYTE _EN2 :1;\r
+    IO_BYTE _EN1 :1;\r
+    IO_BYTE _EN0 :1;\r
+  }bit;\r
+ }GCN20STR;\r
+typedef union{   /* PPG Control 4-7 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _TSEL33 :1;\r
+    IO_WORD _TSEL32 :1;\r
+    IO_WORD _TSEL31 :1;\r
+    IO_WORD _TSEL30 :1;\r
+    IO_WORD _TSEL23 :1;\r
+    IO_WORD _TSEL22 :1;\r
+    IO_WORD _TSEL21 :1;\r
+    IO_WORD _TSEL20 :1;\r
+    IO_WORD _TSEL13 :1;\r
+    IO_WORD _TSEL12 :1;\r
+    IO_WORD _TSEL11 :1;\r
+    IO_WORD _TSEL10 :1;\r
+    IO_WORD _TSEL03 :1;\r
+    IO_WORD _TSEL02 :1;\r
+    IO_WORD _TSEL01 :1;\r
+    IO_WORD _TSEL00 :1;\r
+  }bit;\r
+ }GCN11STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _EN3 :1;\r
+    IO_BYTE _EN2 :1;\r
+    IO_BYTE _EN1 :1;\r
+    IO_BYTE _EN0 :1;\r
+  }bit;\r
+ }GCN21STR;\r
+typedef union{   /* PPG Control 8-11 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _TSEL33 :1;\r
+    IO_WORD _TSEL32 :1;\r
+    IO_WORD _TSEL31 :1;\r
+    IO_WORD _TSEL30 :1;\r
+    IO_WORD _TSEL23 :1;\r
+    IO_WORD _TSEL22 :1;\r
+    IO_WORD _TSEL21 :1;\r
+    IO_WORD _TSEL20 :1;\r
+    IO_WORD _TSEL13 :1;\r
+    IO_WORD _TSEL12 :1;\r
+    IO_WORD _TSEL11 :1;\r
+    IO_WORD _TSEL10 :1;\r
+    IO_WORD _TSEL03 :1;\r
+    IO_WORD _TSEL02 :1;\r
+    IO_WORD _TSEL01 :1;\r
+    IO_WORD _TSEL00 :1;\r
+  }bit;\r
+ }GCN12STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _EN3 :1;\r
+    IO_BYTE _EN2 :1;\r
+    IO_BYTE _EN1 :1;\r
+    IO_BYTE _EN0 :1;\r
+  }bit;\r
+ }GCN22STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL00STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL01STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL02STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL03STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL04STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN05STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH05STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL05STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN06STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH06STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL06STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN07STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH07STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL07STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN08STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH08STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL08STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN09STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH09STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL09STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN10STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH10STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL10STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _STGR :1;\r
+    IO_WORD _MDSE :1;\r
+    IO_WORD _RTRG :1;\r
+    IO_WORD _CKS1 :1;\r
+    IO_WORD _CKS0 :1;\r
+    IO_WORD _PGMS :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EGS1 :1;\r
+    IO_WORD _EGS0 :1;\r
+    IO_WORD _IREN :1;\r
+    IO_WORD _IRQF :1;\r
+    IO_WORD _IRS1 :1;\r
+    IO_WORD _IRS0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CKS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _EGS :2;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _IRS :2;\r
+  }bitc;\r
+ }PCN11STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _STGR :1;\r
+    IO_BYTE _MDSE :1;\r
+    IO_BYTE _RTRG :1;\r
+    IO_BYTE _CKS1 :1;\r
+    IO_BYTE _CKS0 :1;\r
+    IO_BYTE _PGMS :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CKS :2;\r
+  }bitc;\r
+ }PCNH11STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EGS1 :1;\r
+    IO_BYTE _EGS0 :1;\r
+    IO_BYTE _IREN :1;\r
+    IO_BYTE _IRQF :1;\r
+    IO_BYTE _IRS1 :1;\r
+    IO_BYTE _IRS0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSEL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _EGS :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _IRS :2;\r
+  }bitc;\r
+ }PCNL11STR;\r
+typedef union{   /* Input Capture 0-3 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ICP1 :1;\r
+    IO_BYTE _ICP0 :1;\r
+    IO_BYTE _ICE1 :1;\r
+    IO_BYTE _ICE0 :1;\r
+    IO_BYTE _EG11 :1;\r
+    IO_BYTE _EG10 :1;\r
+    IO_BYTE _EG01 :1;\r
+    IO_BYTE _EG00 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _EG1 :2;\r
+    IO_BYTE _EG0 :2;\r
+  }bitc;\r
+ }ICS01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ICP3 :1;\r
+    IO_BYTE _ICP2 :1;\r
+    IO_BYTE _ICE3 :1;\r
+    IO_BYTE _ICE2 :1;\r
+    IO_BYTE _EG31 :1;\r
+    IO_BYTE _EG30 :1;\r
+    IO_BYTE _EG21 :1;\r
+    IO_BYTE _EG20 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _EG3 :2;\r
+    IO_BYTE _EG2 :2;\r
+  }bitc;\r
+ }ICS23STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP2STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP3STR;\r
+typedef union{   /* Output Compare 0-3 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CMOD :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OTD1 :1;\r
+    IO_WORD _OTD0 :1;\r
+    IO_WORD _ICP1 :1;\r
+    IO_WORD _ICP0 :1;\r
+    IO_WORD _ICE1 :1;\r
+    IO_WORD _ICE0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CST1 :1;\r
+    IO_WORD _CST0 :1;\r
+  }bit;\r
+ }OCS01STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CMOD :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OTD3 :1;\r
+    IO_WORD _OTD2 :1;\r
+    IO_WORD _ICP3 :1;\r
+    IO_WORD _ICP2 :1;\r
+    IO_WORD _ICE3 :1;\r
+    IO_WORD _ICE2 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CST3 :1;\r
+    IO_WORD _CST2 :1;\r
+  }bit;\r
+ }OCS23STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP2STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP3STR;\r
+typedef union{   /* ADC */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _ADE31 :1;\r
+    IO_WORD _ADE30 :1;\r
+    IO_WORD _ADE29 :1;\r
+    IO_WORD _ADE28 :1;\r
+    IO_WORD _ADE27 :1;\r
+    IO_WORD _ADE26 :1;\r
+    IO_WORD _ADE25 :1;\r
+    IO_WORD _ADE24 :1;\r
+    IO_WORD _ADE23 :1;\r
+    IO_WORD _ADE22 :1;\r
+    IO_WORD _ADE21 :1;\r
+    IO_WORD _ADE20 :1;\r
+    IO_WORD _ADE19 :1;\r
+    IO_WORD _ADE18 :1;\r
+    IO_WORD _ADE17 :1;\r
+    IO_WORD _ADE16 :1;\r
+  }bit;\r
+ }ADERHSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _ADE15 :1;\r
+    IO_WORD _ADE14 :1;\r
+    IO_WORD _ADE13 :1;\r
+    IO_WORD _ADE12 :1;\r
+    IO_WORD _ADE11 :1;\r
+    IO_WORD _ADE10 :1;\r
+    IO_WORD _ADE9 :1;\r
+    IO_WORD _ADE8 :1;\r
+    IO_WORD _ADE7 :1;\r
+    IO_WORD _ADE6 :1;\r
+    IO_WORD _ADE5 :1;\r
+    IO_WORD _ADE4 :1;\r
+    IO_WORD _ADE3 :1;\r
+    IO_WORD _ADE2 :1;\r
+    IO_WORD _ADE1 :1;\r
+    IO_WORD _ADE0 :1;\r
+  }bit;\r
+ }ADERLSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _BUSY :1;\r
+    IO_BYTE _INT :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _PAUS :1;\r
+    IO_BYTE _STS1 :1;\r
+    IO_BYTE _STS0 :1;\r
+    IO_BYTE _STRT :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _STS :2;\r
+  }bitc;\r
+ }ADCS1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MD1 :1;\r
+    IO_BYTE _MD0 :1;\r
+    IO_BYTE _S10 :1;\r
+    IO_BYTE _ACH4 :1;\r
+    IO_BYTE _ACH3 :1;\r
+    IO_BYTE _ACH2 :1;\r
+    IO_BYTE _ACH1 :1;\r
+    IO_BYTE _ACH0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MD :2;\r
+    IO_BYTE :1;\r
+    IO_BYTE _ACH :5;\r
+  }bitc;\r
+ }ADCS0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D9 :1;\r
+    IO_BYTE _D8 :1;\r
+  }bit;\r
+ }ADCR1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }ADCR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CT5 :1;\r
+    IO_BYTE _CT4 :1;\r
+    IO_BYTE _CT3 :1;\r
+    IO_BYTE _CT2 :1;\r
+    IO_BYTE _CT1 :1;\r
+    IO_BYTE _CT0 :1;\r
+    IO_BYTE _ST9 :1;\r
+    IO_BYTE _ST8 :1;\r
+  }bit;\r
+ }ADCT1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ST7 :1;\r
+    IO_BYTE _ST6 :1;\r
+    IO_BYTE _ST5 :1;\r
+    IO_BYTE _ST4 :1;\r
+    IO_BYTE _ST3 :1;\r
+    IO_BYTE _ST2 :1;\r
+    IO_BYTE _ST1 :1;\r
+    IO_BYTE _ST0 :1;\r
+  }bit;\r
+ }ADCT0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ANS4 :1;\r
+    IO_BYTE _ANS3 :1;\r
+    IO_BYTE _ANS2 :1;\r
+    IO_BYTE _ANS1 :1;\r
+    IO_BYTE _ASN0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _ANS :5;\r
+  }bitc;\r
+ }ADSCHSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ANE4 :1;\r
+    IO_BYTE _ANE3 :1;\r
+    IO_BYTE _ANE2 :1;\r
+    IO_BYTE _ANE1 :1;\r
+    IO_BYTE _ANE0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _ANE :5;\r
+  }bitc;\r
+ }ADECHSTR;\r
+typedef union{   /* Reload Timer 0 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR0STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL0STR;\r
+typedef union{   /* Reload Timer 1 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL1STR;\r
+typedef union{   /* Reload Timer 2 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR2STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR2STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR2STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH2STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL2STR;\r
+typedef union{   /* Reload Timer 3 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR3STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR3STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR3STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH3STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL3STR;\r
+typedef union{   /* Reload Timer 4 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR4STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH4STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL4STR;\r
+typedef union{   /* Reload Timer 5 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR5STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR5STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR5STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH5STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL5STR;\r
+typedef union{   /* Reload Timer 6 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR6STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR6STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR6STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH6STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL6STR;\r
+typedef union{   /* Reload Timer 7 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMRLR7STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }TMR7STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CSL2 :1;\r
+    IO_WORD _CSL1 :1;\r
+    IO_WORD _CSL0 :1;\r
+    IO_WORD _MOD2 :1;\r
+    IO_WORD _MOD1 :1;\r
+    IO_WORD _MOD0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OULT :1;\r
+    IO_WORD _RELD :1;\r
+    IO_WORD _INTE :1;\r
+    IO_WORD _UF :1;\r
+    IO_WORD _CNTE :1;\r
+    IO_WORD _TRG :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _CSL :3;\r
+    IO_WORD _MOD :3;\r
+  }bitc;\r
+ }TMCSR7STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CSL2 :1;\r
+    IO_BYTE _CSL1 :1;\r
+    IO_BYTE _CSL0 :1;\r
+    IO_BYTE _MOD2 :1;\r
+    IO_BYTE _MOD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :3;\r
+    IO_BYTE _CSL :3;\r
+  }bitc;\r
+ }TMCSRH7STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MOD0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OULT :1;\r
+    IO_BYTE _RELD :1;\r
+    IO_BYTE _INTE :1;\r
+    IO_BYTE _UF :1;\r
+    IO_BYTE _CNTE :1;\r
+    IO_BYTE _TRG :1;\r
+  }bit;\r
+ }TMCSRL7STR;\r
+typedef union{   /* Free Running Timer0 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS0STR;\r
+typedef union{   /* Free Running Timer1 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS1STR;\r
+typedef union{   /* Free Running Timer2 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT2STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS2STR;\r
+typedef union{   /* Free Running Timer3 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT3STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS3STR;\r
+typedef union{   /* DMAC */\r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _DENB :1;\r
+    IO_LWORD _PAUS :1;\r
+    IO_LWORD _STRG :1;\r
+    IO_LWORD _IS4 :1;\r
+    IO_LWORD _IS3 :1;\r
+    IO_LWORD _IS2 :1;\r
+    IO_LWORD _IS1 :1;\r
+    IO_LWORD _IS0 :1;\r
+    IO_LWORD _EIS3 :1;\r
+    IO_LWORD _EIS2 :1;\r
+    IO_LWORD _EIS1 :1;\r
+    IO_LWORD _EIS0 :1;\r
+    IO_LWORD _BLK3 :1;\r
+    IO_LWORD _BLK2 :1;\r
+    IO_LWORD _BLK1 :1;\r
+    IO_LWORD _BLK0 :1;\r
+    IO_LWORD _DTCF :1;\r
+    IO_LWORD _DTCE :1;\r
+    IO_LWORD _DTCD :1;\r
+    IO_LWORD _DTCC :1;\r
+    IO_LWORD _DTCB :1;\r
+    IO_LWORD _DTCA :1;\r
+    IO_LWORD _DTC9 :1;\r
+    IO_LWORD _DTC8 :1;\r
+    IO_LWORD _DTC7 :1;\r
+    IO_LWORD _DTC6 :1;\r
+    IO_LWORD _DTC5 :1;\r
+    IO_LWORD _DTC4 :1;\r
+    IO_LWORD _DTC3 :1;\r
+    IO_LWORD _DTC2 :1;\r
+    IO_LWORD _DTC1 :1;\r
+    IO_LWORD _DTC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IS :5;\r
+    IO_LWORD _EIS :4;\r
+    IO_LWORD _BLK :4;\r
+    IO_LWORD _DTC :16;\r
+  }bitc;\r
+ }DMACA0STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _TYPE1 :1;\r
+    IO_LWORD _TYPE0 :1;\r
+    IO_LWORD _MOD1 :1;\r
+    IO_LWORD _MOD0 :1;\r
+    IO_LWORD _WS1 :1;\r
+    IO_LWORD _WS0 :1;\r
+    IO_LWORD _SADM :1;\r
+    IO_LWORD _DADM :1;\r
+    IO_LWORD _DTCR :1;\r
+    IO_LWORD _SADR :1;\r
+    IO_LWORD _DADR :1;\r
+    IO_LWORD _ERIE :1;\r
+    IO_LWORD _EDIE :1;\r
+    IO_LWORD _DSS2 :1;\r
+    IO_LWORD _DSS1 :1;\r
+    IO_LWORD _DSS0 :1;\r
+    IO_LWORD _SASZ7 :1;\r
+    IO_LWORD _SASZ6 :1;\r
+    IO_LWORD _SASZ5 :1;\r
+    IO_LWORD _SASZ4 :1;\r
+    IO_LWORD _SASZ3 :1;\r
+    IO_LWORD _SASZ2 :1;\r
+    IO_LWORD _SASZ1 :1;\r
+    IO_LWORD _SASZ0 :1;\r
+    IO_LWORD _DASZ7 :1;\r
+    IO_LWORD _DASZ6 :1;\r
+    IO_LWORD _DASZ5 :1;\r
+    IO_LWORD _DASZ4 :1;\r
+    IO_LWORD _DASZ3 :1;\r
+    IO_LWORD _DASZ2 :1;\r
+    IO_LWORD _DASZ1 :1;\r
+    IO_LWORD _DASZ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD _TYPE :2;\r
+    IO_LWORD _MOD :2;\r
+    IO_LWORD _WS :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _DSS :3;\r
+    IO_LWORD _SASZ :8;\r
+    IO_LWORD _DASZ :8;\r
+  }bitc;\r
+ }DMACB0STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _DENB :1;\r
+    IO_LWORD _PAUS :1;\r
+    IO_LWORD _STRG :1;\r
+    IO_LWORD _IS4 :1;\r
+    IO_LWORD _IS3 :1;\r
+    IO_LWORD _IS2 :1;\r
+    IO_LWORD _IS1 :1;\r
+    IO_LWORD _IS0 :1;\r
+    IO_LWORD _EIS3 :1;\r
+    IO_LWORD _EIS2 :1;\r
+    IO_LWORD _EIS1 :1;\r
+    IO_LWORD _EIS0 :1;\r
+    IO_LWORD _BLK3 :1;\r
+    IO_LWORD _BLK2 :1;\r
+    IO_LWORD _BLK1 :1;\r
+    IO_LWORD _BLK0 :1;\r
+    IO_LWORD _DTCF :1;\r
+    IO_LWORD _DTCE :1;\r
+    IO_LWORD _DTCD :1;\r
+    IO_LWORD _DTCC :1;\r
+    IO_LWORD _DTCB :1;\r
+    IO_LWORD _DTCA :1;\r
+    IO_LWORD _DTC9 :1;\r
+    IO_LWORD _DTC8 :1;\r
+    IO_LWORD _DTC7 :1;\r
+    IO_LWORD _DTC6 :1;\r
+    IO_LWORD _DTC5 :1;\r
+    IO_LWORD _DTC4 :1;\r
+    IO_LWORD _DTC3 :1;\r
+    IO_LWORD _DTC2 :1;\r
+    IO_LWORD _DTC1 :1;\r
+    IO_LWORD _DTC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IS :5;\r
+    IO_LWORD _EIS :4;\r
+    IO_LWORD _BLK :4;\r
+    IO_LWORD _DTC :16;\r
+  }bitc;\r
+ }DMACA1STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _TYPE1 :1;\r
+    IO_LWORD _TYPE0 :1;\r
+    IO_LWORD _MOD1 :1;\r
+    IO_LWORD _MOD0 :1;\r
+    IO_LWORD _WS1 :1;\r
+    IO_LWORD _WS0 :1;\r
+    IO_LWORD _SADM :1;\r
+    IO_LWORD _DADM :1;\r
+    IO_LWORD _DTCR :1;\r
+    IO_LWORD _SADR :1;\r
+    IO_LWORD _DADR :1;\r
+    IO_LWORD _ERIE :1;\r
+    IO_LWORD _EDIE :1;\r
+    IO_LWORD _DSS2 :1;\r
+    IO_LWORD _DSS1 :1;\r
+    IO_LWORD _DSS0 :1;\r
+    IO_LWORD _SASZ7 :1;\r
+    IO_LWORD _SASZ6 :1;\r
+    IO_LWORD _SASZ5 :1;\r
+    IO_LWORD _SASZ4 :1;\r
+    IO_LWORD _SASZ3 :1;\r
+    IO_LWORD _SASZ2 :1;\r
+    IO_LWORD _SASZ1 :1;\r
+    IO_LWORD _SASZ0 :1;\r
+    IO_LWORD _DASZ7 :1;\r
+    IO_LWORD _DASZ6 :1;\r
+    IO_LWORD _DASZ5 :1;\r
+    IO_LWORD _DASZ4 :1;\r
+    IO_LWORD _DASZ3 :1;\r
+    IO_LWORD _DASZ2 :1;\r
+    IO_LWORD _DASZ1 :1;\r
+    IO_LWORD _DASZ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD _TYPE :2;\r
+    IO_LWORD _MOD :2;\r
+    IO_LWORD _WS :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _DSS :3;\r
+    IO_LWORD _SASZ :8;\r
+    IO_LWORD _DASZ :8;\r
+  }bitc;\r
+ }DMACB1STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _DENB :1;\r
+    IO_LWORD _PAUS :1;\r
+    IO_LWORD _STRG :1;\r
+    IO_LWORD _IS4 :1;\r
+    IO_LWORD _IS3 :1;\r
+    IO_LWORD _IS2 :1;\r
+    IO_LWORD _IS1 :1;\r
+    IO_LWORD _IS0 :1;\r
+    IO_LWORD _EIS3 :1;\r
+    IO_LWORD _EIS2 :1;\r
+    IO_LWORD _EIS1 :1;\r
+    IO_LWORD _EIS0 :1;\r
+    IO_LWORD _BLK3 :1;\r
+    IO_LWORD _BLK2 :1;\r
+    IO_LWORD _BLK1 :1;\r
+    IO_LWORD _BLK0 :1;\r
+    IO_LWORD _DTCF :1;\r
+    IO_LWORD _DTCE :1;\r
+    IO_LWORD _DTCD :1;\r
+    IO_LWORD _DTCC :1;\r
+    IO_LWORD _DTCB :1;\r
+    IO_LWORD _DTCA :1;\r
+    IO_LWORD _DTC9 :1;\r
+    IO_LWORD _DTC8 :1;\r
+    IO_LWORD _DTC7 :1;\r
+    IO_LWORD _DTC6 :1;\r
+    IO_LWORD _DTC5 :1;\r
+    IO_LWORD _DTC4 :1;\r
+    IO_LWORD _DTC3 :1;\r
+    IO_LWORD _DTC2 :1;\r
+    IO_LWORD _DTC1 :1;\r
+    IO_LWORD _DTC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IS :5;\r
+    IO_LWORD _EIS :4;\r
+    IO_LWORD _BLK :4;\r
+    IO_LWORD _DTC :16;\r
+  }bitc;\r
+ }DMACA2STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _TYPE1 :1;\r
+    IO_LWORD _TYPE0 :1;\r
+    IO_LWORD _MOD1 :1;\r
+    IO_LWORD _MOD0 :1;\r
+    IO_LWORD _WS1 :1;\r
+    IO_LWORD _WS0 :1;\r
+    IO_LWORD _SADM :1;\r
+    IO_LWORD _DADM :1;\r
+    IO_LWORD _DTCR :1;\r
+    IO_LWORD _SADR :1;\r
+    IO_LWORD _DADR :1;\r
+    IO_LWORD _ERIE :1;\r
+    IO_LWORD _EDIE :1;\r
+    IO_LWORD _DSS2 :1;\r
+    IO_LWORD _DSS1 :1;\r
+    IO_LWORD _DSS0 :1;\r
+    IO_LWORD _SASZ7 :1;\r
+    IO_LWORD _SASZ6 :1;\r
+    IO_LWORD _SASZ5 :1;\r
+    IO_LWORD _SASZ4 :1;\r
+    IO_LWORD _SASZ3 :1;\r
+    IO_LWORD _SASZ2 :1;\r
+    IO_LWORD _SASZ1 :1;\r
+    IO_LWORD _SASZ0 :1;\r
+    IO_LWORD _DASZ7 :1;\r
+    IO_LWORD _DASZ6 :1;\r
+    IO_LWORD _DASZ5 :1;\r
+    IO_LWORD _DASZ4 :1;\r
+    IO_LWORD _DASZ3 :1;\r
+    IO_LWORD _DASZ2 :1;\r
+    IO_LWORD _DASZ1 :1;\r
+    IO_LWORD _DASZ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD _TYPE :2;\r
+    IO_LWORD _MOD :2;\r
+    IO_LWORD _WS :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _DSS :3;\r
+    IO_LWORD _SASZ :8;\r
+    IO_LWORD _DASZ :8;\r
+  }bitc;\r
+ }DMACB2STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _DENB :1;\r
+    IO_LWORD _PAUS :1;\r
+    IO_LWORD _STRG :1;\r
+    IO_LWORD _IS4 :1;\r
+    IO_LWORD _IS3 :1;\r
+    IO_LWORD _IS2 :1;\r
+    IO_LWORD _IS1 :1;\r
+    IO_LWORD _IS0 :1;\r
+    IO_LWORD _EIS3 :1;\r
+    IO_LWORD _EIS2 :1;\r
+    IO_LWORD _EIS1 :1;\r
+    IO_LWORD _EIS0 :1;\r
+    IO_LWORD _BLK3 :1;\r
+    IO_LWORD _BLK2 :1;\r
+    IO_LWORD _BLK1 :1;\r
+    IO_LWORD _BLK0 :1;\r
+    IO_LWORD _DTCF :1;\r
+    IO_LWORD _DTCE :1;\r
+    IO_LWORD _DTCD :1;\r
+    IO_LWORD _DTCC :1;\r
+    IO_LWORD _DTCB :1;\r
+    IO_LWORD _DTCA :1;\r
+    IO_LWORD _DTC9 :1;\r
+    IO_LWORD _DTC8 :1;\r
+    IO_LWORD _DTC7 :1;\r
+    IO_LWORD _DTC6 :1;\r
+    IO_LWORD _DTC5 :1;\r
+    IO_LWORD _DTC4 :1;\r
+    IO_LWORD _DTC3 :1;\r
+    IO_LWORD _DTC2 :1;\r
+    IO_LWORD _DTC1 :1;\r
+    IO_LWORD _DTC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IS :5;\r
+    IO_LWORD _EIS :4;\r
+    IO_LWORD _BLK :4;\r
+    IO_LWORD _DTC :16;\r
+  }bitc;\r
+ }DMACA3STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _TYPE1 :1;\r
+    IO_LWORD _TYPE0 :1;\r
+    IO_LWORD _MOD1 :1;\r
+    IO_LWORD _MOD0 :1;\r
+    IO_LWORD _WS1 :1;\r
+    IO_LWORD _WS0 :1;\r
+    IO_LWORD _SADM :1;\r
+    IO_LWORD _DADM :1;\r
+    IO_LWORD _DTCR :1;\r
+    IO_LWORD _SADR :1;\r
+    IO_LWORD _DADR :1;\r
+    IO_LWORD _ERIE :1;\r
+    IO_LWORD _EDIE :1;\r
+    IO_LWORD _DSS2 :1;\r
+    IO_LWORD _DSS1 :1;\r
+    IO_LWORD _DSS0 :1;\r
+    IO_LWORD _SASZ7 :1;\r
+    IO_LWORD _SASZ6 :1;\r
+    IO_LWORD _SASZ5 :1;\r
+    IO_LWORD _SASZ4 :1;\r
+    IO_LWORD _SASZ3 :1;\r
+    IO_LWORD _SASZ2 :1;\r
+    IO_LWORD _SASZ1 :1;\r
+    IO_LWORD _SASZ0 :1;\r
+    IO_LWORD _DASZ7 :1;\r
+    IO_LWORD _DASZ6 :1;\r
+    IO_LWORD _DASZ5 :1;\r
+    IO_LWORD _DASZ4 :1;\r
+    IO_LWORD _DASZ3 :1;\r
+    IO_LWORD _DASZ2 :1;\r
+    IO_LWORD _DASZ1 :1;\r
+    IO_LWORD _DASZ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD _TYPE :2;\r
+    IO_LWORD _MOD :2;\r
+    IO_LWORD _WS :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _DSS :3;\r
+    IO_LWORD _SASZ :8;\r
+    IO_LWORD _DASZ :8;\r
+  }bitc;\r
+ }DMACB3STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _DENB :1;\r
+    IO_LWORD _PAUS :1;\r
+    IO_LWORD _STRG :1;\r
+    IO_LWORD _IS4 :1;\r
+    IO_LWORD _IS3 :1;\r
+    IO_LWORD _IS2 :1;\r
+    IO_LWORD _IS1 :1;\r
+    IO_LWORD _IS0 :1;\r
+    IO_LWORD _EIS3 :1;\r
+    IO_LWORD _EIS2 :1;\r
+    IO_LWORD _EIS1 :1;\r
+    IO_LWORD _EIS0 :1;\r
+    IO_LWORD _BLK3 :1;\r
+    IO_LWORD _BLK2 :1;\r
+    IO_LWORD _BLK1 :1;\r
+    IO_LWORD _BLK0 :1;\r
+    IO_LWORD _DTCF :1;\r
+    IO_LWORD _DTCE :1;\r
+    IO_LWORD _DTCD :1;\r
+    IO_LWORD _DTCC :1;\r
+    IO_LWORD _DTCB :1;\r
+    IO_LWORD _DTCA :1;\r
+    IO_LWORD _DTC9 :1;\r
+    IO_LWORD _DTC8 :1;\r
+    IO_LWORD _DTC7 :1;\r
+    IO_LWORD _DTC6 :1;\r
+    IO_LWORD _DTC5 :1;\r
+    IO_LWORD _DTC4 :1;\r
+    IO_LWORD _DTC3 :1;\r
+    IO_LWORD _DTC2 :1;\r
+    IO_LWORD _DTC1 :1;\r
+    IO_LWORD _DTC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IS :5;\r
+    IO_LWORD _EIS :4;\r
+    IO_LWORD _BLK :4;\r
+    IO_LWORD _DTC :16;\r
+  }bitc;\r
+ }DMACA4STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _TYPE1 :1;\r
+    IO_LWORD _TYPE0 :1;\r
+    IO_LWORD _MOD1 :1;\r
+    IO_LWORD _MOD0 :1;\r
+    IO_LWORD _WS1 :1;\r
+    IO_LWORD _WS0 :1;\r
+    IO_LWORD _SADM :1;\r
+    IO_LWORD _DADM :1;\r
+    IO_LWORD _DTCR :1;\r
+    IO_LWORD _SADR :1;\r
+    IO_LWORD _DADR :1;\r
+    IO_LWORD _ERIE :1;\r
+    IO_LWORD _EDIE :1;\r
+    IO_LWORD _DSS2 :1;\r
+    IO_LWORD _DSS1 :1;\r
+    IO_LWORD _DSS0 :1;\r
+    IO_LWORD _SASZ7 :1;\r
+    IO_LWORD _SASZ6 :1;\r
+    IO_LWORD _SASZ5 :1;\r
+    IO_LWORD _SASZ4 :1;\r
+    IO_LWORD _SASZ3 :1;\r
+    IO_LWORD _SASZ2 :1;\r
+    IO_LWORD _SASZ1 :1;\r
+    IO_LWORD _SASZ0 :1;\r
+    IO_LWORD _DASZ7 :1;\r
+    IO_LWORD _DASZ6 :1;\r
+    IO_LWORD _DASZ5 :1;\r
+    IO_LWORD _DASZ4 :1;\r
+    IO_LWORD _DASZ3 :1;\r
+    IO_LWORD _DASZ2 :1;\r
+    IO_LWORD _DASZ1 :1;\r
+    IO_LWORD _DASZ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD _TYPE :2;\r
+    IO_LWORD _MOD :2;\r
+    IO_LWORD _WS :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _DSS :3;\r
+    IO_LWORD _SASZ :8;\r
+    IO_LWORD _DASZ :8;\r
+  }bitc;\r
+ }DMACB4STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _DMAE :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _PM01 :1;\r
+    IO_BYTE _DMAH3 :1;\r
+    IO_BYTE _DMAH2 :1;\r
+    IO_BYTE _DMAH1 :1;\r
+    IO_BYTE _DMAH0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _DMAH :4;\r
+  }bitc;\r
+ }DMACRSTR;\r
+typedef union{   /* Input Capture 4-7 */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ICP5 :1;\r
+    IO_BYTE _ICP4 :1;\r
+    IO_BYTE _ICE5 :1;\r
+    IO_BYTE _ICE4 :1;\r
+    IO_BYTE _EG51 :1;\r
+    IO_BYTE _EG50 :1;\r
+    IO_BYTE _EG41 :1;\r
+    IO_BYTE _EG40 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _EG5 :2;\r
+    IO_BYTE _EG4 :2;\r
+  }bitc;\r
+ }ICS45STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ICP7 :1;\r
+    IO_BYTE _ICP6 :1;\r
+    IO_BYTE _ICE7 :1;\r
+    IO_BYTE _ICE6 :1;\r
+    IO_BYTE _EG71 :1;\r
+    IO_BYTE _EG70 :1;\r
+    IO_BYTE _EG61 :1;\r
+    IO_BYTE _EG60 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _EG7 :2;\r
+    IO_BYTE _EG6 :2;\r
+  }bitc;\r
+ }ICS67STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP5STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP6STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _CP15 :1;\r
+    IO_WORD _CP14 :1;\r
+    IO_WORD _CP13 :1;\r
+    IO_WORD _CP12 :1;\r
+    IO_WORD _CP11 :1;\r
+    IO_WORD _CP10 :1;\r
+    IO_WORD _CP9 :1;\r
+    IO_WORD _CP8 :1;\r
+    IO_WORD _CP7 :1;\r
+    IO_WORD _CP6 :1;\r
+    IO_WORD _CP5 :1;\r
+    IO_WORD _CP4 :1;\r
+    IO_WORD _CP3 :1;\r
+    IO_WORD _CP2 :1;\r
+    IO_WORD _CP1 :1;\r
+    IO_WORD _CP0 :1;\r
+  }bit;\r
+ }IPCP7STR;\r
+typedef union{   /* Output Compare 4-7 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CMOD :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OTD5 :1;\r
+    IO_WORD _OTD4 :1;\r
+    IO_WORD _ICP5 :1;\r
+    IO_WORD _ICP4 :1;\r
+    IO_WORD _ICE5 :1;\r
+    IO_WORD _ICE4 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CST5 :1;\r
+    IO_WORD _CST4 :1;\r
+  }bit;\r
+ }OCS45STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CMOD :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _OTD7 :1;\r
+    IO_WORD _OTD6 :1;\r
+    IO_WORD _ICP7 :1;\r
+    IO_WORD _ICP6 :1;\r
+    IO_WORD _ICE7 :1;\r
+    IO_WORD _ICE6 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _CST7 :1;\r
+    IO_WORD _CST6 :1;\r
+  }bit;\r
+ }OCS67STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP5STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP6STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _C15 :1;\r
+    IO_WORD _C14 :1;\r
+    IO_WORD _C13 :1;\r
+    IO_WORD _C12 :1;\r
+    IO_WORD _C11 :1;\r
+    IO_WORD _C10 :1;\r
+    IO_WORD _C9 :1;\r
+    IO_WORD _C8 :1;\r
+    IO_WORD _C7 :1;\r
+    IO_WORD _C6 :1;\r
+    IO_WORD _C5 :1;\r
+    IO_WORD _C4 :1;\r
+    IO_WORD _C3 :1;\r
+    IO_WORD _C2 :1;\r
+    IO_WORD _C1 :1;\r
+    IO_WORD _C0 :1;\r
+  }bit;\r
+ }OCCP7STR;\r
+typedef union{   /* Free Running Timer4 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT4STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS4STR;\r
+typedef union{   /* Free Running Timer5 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT5STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS5STR;\r
+typedef union{   /* Free Running Timer6 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT6STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS6STR;\r
+typedef union{   /* Free Running Timer7 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _T15 :1;\r
+    IO_WORD _T14 :1;\r
+    IO_WORD _T13 :1;\r
+    IO_WORD _T12 :1;\r
+    IO_WORD _T11 :1;\r
+    IO_WORD _T10 :1;\r
+    IO_WORD _T9 :1;\r
+    IO_WORD _T8 :1;\r
+    IO_WORD _T7 :1;\r
+    IO_WORD _T6 :1;\r
+    IO_WORD _T5 :1;\r
+    IO_WORD _T4 :1;\r
+    IO_WORD _T3 :1;\r
+    IO_WORD _T2 :1;\r
+    IO_WORD _T1 :1;\r
+    IO_WORD _T0 :1;\r
+  }bit;\r
+ }TCDT7STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ECLK :1;\r
+    IO_BYTE _IVF :1;\r
+    IO_BYTE _IVFE :1;\r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _MODE :1;\r
+    IO_BYTE _CLR :1;\r
+    IO_BYTE _CLK1 :1;\r
+    IO_BYTE _CLK0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLK :2;\r
+  }bitc;\r
+ }TCCS7STR;\r
+typedef union{   /* ROM Select Register */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _D15 :1;\r
+    IO_WORD _D14 :1;\r
+    IO_WORD _D13 :1;\r
+    IO_WORD _D12 :1;\r
+    IO_WORD _D11 :1;\r
+    IO_WORD _D10 :1;\r
+    IO_WORD _D9 :1;\r
+    IO_WORD _D8 :1;\r
+    IO_WORD _D7 :1;\r
+    IO_WORD _D6 :1;\r
+    IO_WORD _D5 :1;\r
+    IO_WORD _D4 :1;\r
+    IO_WORD _D3 :1;\r
+    IO_WORD _D2 :1;\r
+    IO_WORD _D1 :1;\r
+    IO_WORD _D0 :1;\r
+  }bit;\r
+ }ROMSSTR;\r
+typedef union{   /* Interrupt Control Unit */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR00STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR01STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR02STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR03STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR04STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR05STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR06STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR07STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR08STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR09STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR10STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR11STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR12STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR13STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR23STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR25STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR29STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR30STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR31STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR32STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR33STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR34STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR35STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR36STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR37STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR38STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR39STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR40STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR41STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR42STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR43STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR44STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR45STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR46STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR47STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR48STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR49STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR50STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR51STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR52STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR53STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR54STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR55STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR56STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR57STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR58STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR59STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR60STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR61STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR62STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ICR4 :1;\r
+    IO_BYTE _ICR3 :1;\r
+    IO_BYTE _ICR2 :1;\r
+    IO_BYTE _ICR1 :1;\r
+    IO_BYTE _ICR0 :1;\r
+  }bit;\r
+ }ICR63STR;\r
+typedef union{   /* Clock Control Unit */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _INIT :1;\r
+    IO_BYTE _HSTB :1;\r
+    IO_BYTE _WDOG :1;\r
+    IO_BYTE _ERST :1;\r
+    IO_BYTE _SRST :1;\r
+    IO_BYTE _LINIT :1;\r
+    IO_BYTE _WT1 :1;\r
+    IO_BYTE _WT0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _WT :2;\r
+  }bitc;\r
+ }RSRRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _STOP :1;\r
+    IO_BYTE _SLEEP :1;\r
+    IO_BYTE _HIZ :1;\r
+    IO_BYTE _SRST :1;\r
+    IO_BYTE _OS1 :1;\r
+    IO_BYTE _OS0 :1;\r
+    IO_BYTE _OSCD2 :1;\r
+    IO_BYTE _OSCD1 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _OS :2;\r
+    IO_BYTE _OSCD :2;\r
+  }bitc;\r
+ }STCRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _TBIF :1;\r
+    IO_BYTE _TBIE :1;\r
+    IO_BYTE _TBC2 :1;\r
+    IO_BYTE _TBC1 :1;\r
+    IO_BYTE _TBC0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _SYNCR :1;\r
+    IO_BYTE _SYNCS :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _TBC :3;\r
+  }bitc;\r
+ }TBCRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }CTBRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _SCKEN :1;\r
+    IO_BYTE _PLL1EN :1;\r
+    IO_BYTE _CLKS1 :1;\r
+    IO_BYTE _CLKS0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _CLKS :2;\r
+  }bitc;\r
+ }CLKRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }WPRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _B3 :1;\r
+    IO_BYTE _B2 :1;\r
+    IO_BYTE _B1 :1;\r
+    IO_BYTE _B0 :1;\r
+    IO_BYTE _P3 :1;\r
+    IO_BYTE _P2 :1;\r
+    IO_BYTE _P1 :1;\r
+    IO_BYTE _P0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _B :4;\r
+    IO_BYTE _P :4;\r
+  }bitc;\r
+ }DIVR0STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _T3 :1;\r
+    IO_BYTE _T2 :1;\r
+    IO_BYTE _T1 :1;\r
+    IO_BYTE _T0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _T :4;\r
+  }bitc;\r
+ }DIVR1STR;\r
+typedef union{   /* PLL - Clock Gear Unit: */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _DVM3 :1;\r
+    IO_BYTE _DVM2 :1;\r
+    IO_BYTE _DVM1 :1;\r
+    IO_BYTE _DVM0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _DVM :4;\r
+  }bitc;\r
+ }PLLDIVMSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _DVN5 :1;\r
+    IO_BYTE _DVN4 :1;\r
+    IO_BYTE _DVN3 :1;\r
+    IO_BYTE _DVN2 :1;\r
+    IO_BYTE _DVN1 :1;\r
+    IO_BYTE _DVN0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _DVN :6;\r
+  }bitc;\r
+ }PLLDIVNSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _DVG3 :1;\r
+    IO_BYTE _DVG2 :1;\r
+    IO_BYTE _DVG1 :1;\r
+    IO_BYTE _DVG0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _DVG :4;\r
+  }bitc;\r
+ }PLLDIVGSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _MLG7 :1;\r
+    IO_BYTE _MLG6 :1;\r
+    IO_BYTE _MLG5 :1;\r
+    IO_BYTE _MLG4 :1;\r
+    IO_BYTE _MLG3 :1;\r
+    IO_BYTE _MLG2 :1;\r
+    IO_BYTE _MLG1 :1;\r
+    IO_BYTE _MLG0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _MLG :8;\r
+  }bitc;\r
+ }PLLMULGSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _IEDN :1;\r
+    IO_BYTE _GRDN :1;\r
+    IO_BYTE _IEUP :1;\r
+    IO_BYTE _GRUP :1;\r
+  }bit;\r
+ }PLLCTRLSTR;\r
+typedef union{   /* Main/Sub Oscillator Control */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _FCI :1;\r
+    IO_BYTE _RFBEN :1;\r
+    IO_BYTE _OSCR :1;\r
+  }bit;\r
+ }OSCC1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _OSCS7 :1;\r
+    IO_BYTE _OSCS6 :1;\r
+    IO_BYTE _OSCS5 :1;\r
+    IO_BYTE _OSCS4 :1;\r
+    IO_BYTE _OSCS3 :1;\r
+    IO_BYTE _OSCS2 :1;\r
+    IO_BYTE _OSCS1 :1;\r
+    IO_BYTE _OSCS0 :1;\r
+  }bit;\r
+ }OSCS1STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _FCI :1;\r
+    IO_BYTE _RFBEN :1;\r
+    IO_BYTE _OSCR :1;\r
+  }bit;\r
+ }OSCC2STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _OSCS7 :1;\r
+    IO_BYTE _OSCS6 :1;\r
+    IO_BYTE _OSCS5 :1;\r
+    IO_BYTE _OSCS4 :1;\r
+    IO_BYTE _OSCS3 :1;\r
+    IO_BYTE _OSCS2 :1;\r
+    IO_BYTE _OSCS1 :1;\r
+    IO_BYTE _OSCS0 :1;\r
+  }bit;\r
+ }OSCS2STR;\r
+typedef union{   /* Port Input Enable Control */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CPORTEN :1;\r
+    IO_BYTE _GPORTEN :1;\r
+  }bit;\r
+ }PORTENSTR;\r
+typedef union{   /* Real Time Clock (Watch Timer) */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _INTE4 :1;\r
+    IO_BYTE _INT4 :1;\r
+  }bit;\r
+ }WTCERSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _INTE3 :1;\r
+    IO_WORD _INT3 :1;\r
+    IO_WORD _INTE2 :1;\r
+    IO_WORD _INT2 :1;\r
+    IO_WORD _INTE1 :1;\r
+    IO_WORD _INT1 :1;\r
+    IO_WORD _INTE0 :1;\r
+    IO_WORD _INT0 :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _RUN :1;\r
+    IO_WORD _UPDT :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _ST :1;\r
+  }bit;\r
+ }WTCRSTR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _D20 :1;\r
+    IO_LWORD _D19 :1;\r
+    IO_LWORD _D18 :1;\r
+    IO_LWORD _D17 :1;\r
+    IO_LWORD _D16 :1;\r
+    IO_LWORD _D15 :1;\r
+    IO_LWORD _D14 :1;\r
+    IO_LWORD _D13 :1;\r
+    IO_LWORD _D12 :1;\r
+    IO_LWORD _D11 :1;\r
+    IO_LWORD _D10 :1;\r
+    IO_LWORD _D9 :1;\r
+    IO_LWORD _D8 :1;\r
+    IO_LWORD _D7 :1;\r
+    IO_LWORD _D6 :1;\r
+    IO_LWORD _D5 :1;\r
+    IO_LWORD _D4 :1;\r
+    IO_LWORD _D3 :1;\r
+    IO_LWORD _D2 :1;\r
+    IO_LWORD _D1 :1;\r
+    IO_LWORD _D0 :1;\r
+  }bit;\r
+ }WTBRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _H4 :1;\r
+    IO_BYTE _H3 :1;\r
+    IO_BYTE _H2 :1;\r
+    IO_BYTE _H1 :1;\r
+    IO_BYTE _H0 :1;\r
+  }bit;\r
+ }WTHRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _M5 :1;\r
+    IO_BYTE _M4 :1;\r
+    IO_BYTE _M3 :1;\r
+    IO_BYTE _M2 :1;\r
+    IO_BYTE _M1 :1;\r
+    IO_BYTE _M0 :1;\r
+  }bit;\r
+ }WTMRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _S5 :1;\r
+    IO_BYTE _S4 :1;\r
+    IO_BYTE _S3 :1;\r
+    IO_BYTE _S2 :1;\r
+    IO_BYTE _S1 :1;\r
+    IO_BYTE _S0 :1;\r
+  }bit;\r
+ }WTSRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _SCKS :1;\r
+    IO_BYTE _MM :1;\r
+    IO_BYTE _SM :1;\r
+    IO_BYTE _RCE :1;\r
+    IO_BYTE _MSVE :1;\r
+    IO_BYTE _SSVE :1;\r
+    IO_BYTE _SRST :1;\r
+    IO_BYTE _OUTE :1;\r
+  }bit;\r
+ }CSVCRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _EDSUEN :1;\r
+    IO_BYTE _PLLLOCK :1;\r
+    IO_BYTE _RCSEL :1;\r
+    IO_BYTE _MONCKI :1;\r
+    IO_BYTE _CSC3 :1;\r
+    IO_BYTE _CSC2 :1;\r
+    IO_BYTE _CSC1 :1;\r
+    IO_BYTE _CSC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :4;\r
+    IO_BYTE _CSC :4;\r
+  }bitc;\r
+ }CSCFGSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _CMPRE3 :1;\r
+    IO_BYTE _CMPRE2 :1;\r
+    IO_BYTE _CMPRE1 :1;\r
+    IO_BYTE _CMPRE0 :1;\r
+    IO_BYTE _CMSEL3 :1;\r
+    IO_BYTE _CMSEL2 :1;\r
+    IO_BYTE _CMSEL1 :1;\r
+    IO_BYTE _CMSEL0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _CMPRE :4;\r
+    IO_BYTE _CMSEL :4;\r
+  }bitc;\r
+ }CMCFGSTR;\r
+typedef union{   /* Calibration Unit of Sub Oszillation */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _STRT :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _INT :1;\r
+    IO_WORD _INTEN :1;\r
+  }bit;\r
+ }CUCRSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _TDD15 :1;\r
+    IO_WORD _TDD14 :1;\r
+    IO_WORD _TDD13 :1;\r
+    IO_WORD _TDD12 :1;\r
+    IO_WORD _TDD11 :1;\r
+    IO_WORD _TDD10 :1;\r
+    IO_WORD _TDD9 :1;\r
+    IO_WORD _TDD8 :1;\r
+    IO_WORD _TDD7 :1;\r
+    IO_WORD _TDD6 :1;\r
+    IO_WORD _TDD5 :1;\r
+    IO_WORD _TDD4 :1;\r
+    IO_WORD _TDD3 :1;\r
+    IO_WORD _TDD2 :1;\r
+    IO_WORD _TDD1 :1;\r
+    IO_WORD _TDD0 :1;\r
+  }bit;\r
+ }CUTDSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _TDR23 :1;\r
+    IO_WORD _TDR22 :1;\r
+    IO_WORD _TDR21 :1;\r
+    IO_WORD _TDR20 :1;\r
+    IO_WORD _TDR19 :1;\r
+    IO_WORD _TDR18 :1;\r
+    IO_WORD _TDR17 :1;\r
+    IO_WORD _TDR16 :1;\r
+  }bit;\r
+ }CUTR1STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _TDR15 :1;\r
+    IO_WORD _TDR14 :1;\r
+    IO_WORD _TDR13 :1;\r
+    IO_WORD _TDR12 :1;\r
+    IO_WORD _TDR11 :1;\r
+    IO_WORD _TDR10 :1;\r
+    IO_WORD _TDR9 :1;\r
+    IO_WORD _TDR8 :1;\r
+    IO_WORD _TDR7 :1;\r
+    IO_WORD _TDR6 :1;\r
+    IO_WORD _TDR5 :1;\r
+    IO_WORD _TDR4 :1;\r
+    IO_WORD _TDR3 :1;\r
+    IO_WORD _TDR2 :1;\r
+    IO_WORD _TDR1 :1;\r
+    IO_WORD _TDR0 :1;\r
+  }bit;\r
+ }CUTR2STR;\r
+typedef union{   /* Clock Modulator */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _MP13 :1;\r
+    IO_WORD _MP12 :1;\r
+    IO_WORD _MP11 :1;\r
+    IO_WORD _MP10 :1;\r
+    IO_WORD _MP9 :1;\r
+    IO_WORD _MP8 :1;\r
+    IO_WORD _MP7 :1;\r
+    IO_WORD _MP6 :1;\r
+    IO_WORD _MP5 :1;\r
+    IO_WORD _MP4 :1;\r
+    IO_WORD _MP3 :1;\r
+    IO_WORD _MP2 :1;\r
+    IO_WORD _MP1 :1;\r
+    IO_WORD _MP0 :1;\r
+  }bit;\r
+ }CMPRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _FMODRUN :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _FMOD :1;\r
+    IO_BYTE _PDX :1;\r
+  }bit;\r
+ }CMCRSTR;\r
+typedef union{   /* CAN clock control */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CPCKS1 :1;\r
+    IO_BYTE _CPCKS0 :1;\r
+    IO_BYTE _DVC3 :1;\r
+    IO_BYTE _DVC2 :1;\r
+    IO_BYTE _DVC1 :1;\r
+    IO_BYTE _DVC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :2;\r
+    IO_BYTE _CPCKS :2;\r
+    IO_BYTE _DVC :4;\r
+  }bitc;\r
+ }CANPRESTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CANCKD5 :1;\r
+    IO_BYTE _CANCKD4 :1;\r
+    IO_BYTE _CANCKD3 :1;\r
+    IO_BYTE _CANCKD2 :1;\r
+    IO_BYTE _CANCKD1 :1;\r
+    IO_BYTE _CANCKD0 :1;\r
+  }bit;\r
+ }CANCKDSTR;\r
+typedef union{   /* LV Detection / Hardware-Watchdog */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _LVESEL3 :1;\r
+    IO_BYTE _LVESEL2 :1;\r
+    IO_BYTE _LVESEL1 :1;\r
+    IO_BYTE _LVESEL0 :1;\r
+    IO_BYTE _LVISEL3 :1;\r
+    IO_BYTE _LVISEL2 :1;\r
+    IO_BYTE _LVISEL1 :1;\r
+    IO_BYTE _LVISEL0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE _LVESEL :4;\r
+    IO_BYTE _LVISEL :4;\r
+  }bitc;\r
+ }LVSELSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _LVSEL :1;\r
+    IO_BYTE _LVEPD :1;\r
+    IO_BYTE _LVIPD :1;\r
+    IO_BYTE _LVREN :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _LVIEN :1;\r
+    IO_BYTE _LVIRQ :1;\r
+  }bit;\r
+ }LVDETSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ED1 :1;\r
+    IO_BYTE _ED0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _ED :2;\r
+  }bitc;\r
+ }HWWDESTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CL :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _CPUF :1;\r
+  }bit;\r
+ }HWWDSTR;\r
+typedef union{   /* Main-/Sub-Oscillatio Stabilization Timer */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _WIF :1;\r
+    IO_BYTE _WIE :1;\r
+    IO_BYTE _WEN :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _WS1 :1;\r
+    IO_BYTE _WS0 :1;\r
+    IO_BYTE _WCL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _WS :2;\r
+  }bitc;\r
+ }OSCRHSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _WIF :1;\r
+    IO_BYTE _WIE :1;\r
+    IO_BYTE _WEN :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _WS1 :1;\r
+    IO_BYTE _WS0 :1;\r
+    IO_BYTE _WCL :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _WS :2;\r
+  }bitc;\r
+ }WPCRHSTR;\r
+typedef union{   /* Main-/Sub-Oscillatio Standby Control */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _OSCDS1 :1;\r
+  }bit;\r
+ }OSCCRSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _FLASHSEL :1;\r
+    IO_BYTE _MAINSEL :1;\r
+    IO_BYTE _SUBSEL3 :1;\r
+    IO_BYTE _SUBSEL2 :1;\r
+    IO_BYTE _SUBSEL1 :1;\r
+    IO_BYTE _SUBSEL0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :4;\r
+    IO_BYTE _SUBSEL :4;\r
+  }bitc;\r
+ }REGSELSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _MSTBO :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _MAINKPEN :1;\r
+    IO_BYTE _MAINDSBL :1;\r
+  }bit;\r
+ }REGCTRSTR;\r
+typedef union{   /* Mode Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _ROMA :1;\r
+    IO_BYTE _WTH1 :1;\r
+    IO_BYTE _WTH0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _WTH :2;\r
+  }bitc;\r
+ }MODRSTR;\r
+typedef union{   /* R-bus Port Data Direct Read Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PDRD18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PDRD29STR;\r
+typedef union{   /* R-bus Port Direction Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }DDR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }DDR29STR;\r
+typedef union{   /* R-bus Port Function Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PFR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PFR29STR;\r
+typedef union{   /* R-bus Port Extra Function Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPFR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPFR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPFR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPFR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPFR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPFR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPFR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPFR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPFR27STR;\r
+typedef union{   /* R-bus Port Output Drive Select Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PODR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PODR29STR;\r
+typedef union{   /* R-bus Port Input Level Select Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PILR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PILR29STR;\r
+typedef union{   /* R-bus Port Extra Input Level Select Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }EPILR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }EPILR29STR;\r
+typedef union{   /* R-bus Port Pull-Up/Down  Enable Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PPER18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPER29STR;\r
+typedef union{   /* R-bus Port Pull-Up/Down Control Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR14STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR15STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR16STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR17STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PPCR18STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+ }PPCR19STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR20STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR21STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR22STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR24STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR26STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR27STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR28STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _D7 :1;\r
+    IO_BYTE _D6 :1;\r
+    IO_BYTE _D5 :1;\r
+    IO_BYTE _D4 :1;\r
+    IO_BYTE _D3 :1;\r
+    IO_BYTE _D2 :1;\r
+    IO_BYTE _D1 :1;\r
+    IO_BYTE _D0 :1;\r
+  }bit;\r
+ }PPCR29STR;\r
+typedef union{   /* Flash Memory/I-Cache Control Register */\r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE _ASYNC :1;\r
+    IO_BYTE _FIXE :1;\r
+    IO_BYTE _BIRE :1;\r
+    IO_BYTE _RDYEG :1;\r
+    IO_BYTE _RDY :1;\r
+    IO_BYTE _RDYI :1;\r
+    IO_BYTE _RW16 :1;\r
+    IO_BYTE _LPM :1;\r
+  }bit;\r
+ }FMCSSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _LOCK :1;\r
+    IO_BYTE _PHASE :1;\r
+    IO_BYTE _PF2I :1;\r
+    IO_BYTE _RD64 :1;\r
+  }bit;\r
+ }FMCRSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _REN :1;\r
+    IO_WORD _TAGE :1;\r
+    IO_WORD _FLUSH :1;\r
+    IO_WORD _DBEN :1;\r
+    IO_WORD _PFEN :1;\r
+    IO_WORD _PFMC :1;\r
+    IO_WORD _LOCK :1;\r
+    IO_WORD _ENAB :1;\r
+    IO_WORD _SIZE1 :1;\r
+    IO_WORD _SIZE0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _SIZE :2;\r
+  }bitc;\r
+ }FCHCRSTR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _WTP1 :1;\r
+    IO_WORD _WTP0 :1;\r
+    IO_WORD _WEXH1 :1;\r
+    IO_WORD _WEXH0 :1;\r
+    IO_WORD _WTC3 :1;\r
+    IO_WORD _WTC2 :1;\r
+    IO_WORD _WTC1 :1;\r
+    IO_WORD _WTC0 :1;\r
+    IO_WORD _FRAM :1;\r
+    IO_WORD _ATD2 :1;\r
+    IO_WORD _ATD1 :1;\r
+    IO_WORD _ATD0 :1;\r
+    IO_WORD _EQ3 :1;\r
+    IO_WORD _EQ2 :1;\r
+    IO_WORD _EQ1 :1;\r
+    IO_WORD _EQ0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD _WTP :2;\r
+    IO_WORD _WEXH :2;\r
+    IO_WORD _WTC :4;\r
+    IO_WORD :1;\r
+    IO_WORD _ATD :3;\r
+    IO_WORD _EQ :4;\r
+  }bitc;\r
+ }FMWTSTR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE _ALEH2 :1;\r
+    IO_BYTE _ALEH1 :1;\r
+    IO_BYTE _ALEH0 :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE _ALEH :3;\r
+  }bitc;\r
+ }FMWT2STR;\r
+typedef union{  \r
+    IO_BYTE    byte;\r
+    struct{   \r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE  :1;\r
+    IO_BYTE _PS2 :1;\r
+    IO_BYTE _PS1 :1;\r
+    IO_BYTE _PS0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE :1;\r
+    IO_BYTE _PS :3;\r
+  }bitc;\r
+ }FMPSSTR;\r
+typedef union{   /* Flash Security Control Register */\r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _CRC31 :1;\r
+    IO_LWORD _CRC30 :1;\r
+    IO_LWORD _CRC29 :1;\r
+    IO_LWORD _CRC28 :1;\r
+    IO_LWORD _CRC27 :1;\r
+    IO_LWORD _CRC26 :1;\r
+    IO_LWORD _CRC25 :1;\r
+    IO_LWORD _CRC24 :1;\r
+    IO_LWORD _CRC23 :1;\r
+    IO_LWORD _CRC22 :1;\r
+    IO_LWORD _CRC21 :1;\r
+    IO_LWORD _CRC20 :1;\r
+    IO_LWORD _CRC19 :1;\r
+    IO_LWORD _CRC18 :1;\r
+    IO_LWORD _CRC17 :1;\r
+    IO_LWORD _CRC16 :1;\r
+    IO_LWORD _CRC15 :1;\r
+    IO_LWORD _CRC14 :1;\r
+    IO_LWORD _CRC13 :1;\r
+    IO_LWORD _CRC12 :1;\r
+    IO_LWORD _CRC11 :1;\r
+    IO_LWORD _CRC10 :1;\r
+    IO_LWORD _CRC9 :1;\r
+    IO_LWORD _CRC8 :1;\r
+    IO_LWORD _CRC7 :1;\r
+    IO_LWORD _CRC6 :1;\r
+    IO_LWORD _CRC5 :1;\r
+    IO_LWORD _CRC4 :1;\r
+    IO_LWORD _CRC3 :1;\r
+    IO_LWORD _CRC2 :1;\r
+    IO_LWORD _CRC1 :1;\r
+    IO_LWORD _CRC0 :1;\r
+  }bit;\r
+ }FSCR0STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _RDY :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _CSZ3 :1;\r
+    IO_LWORD _CSZ2 :1;\r
+    IO_LWORD _CSZ1 :1;\r
+    IO_LWORD _CSZ0 :1;\r
+    IO_LWORD _CSA15 :1;\r
+    IO_LWORD _CSA14 :1;\r
+    IO_LWORD _CSA13 :1;\r
+    IO_LWORD _CSA12 :1;\r
+    IO_LWORD _CSA11 :1;\r
+    IO_LWORD _CSA10 :1;\r
+    IO_LWORD _CSA9 :1;\r
+    IO_LWORD _CSA8 :1;\r
+    IO_LWORD _CSA7 :1;\r
+    IO_LWORD _CSA6 :1;\r
+    IO_LWORD _CSA5 :1;\r
+    IO_LWORD _CSA4 :1;\r
+    IO_LWORD _CSA3 :1;\r
+    IO_LWORD _CSA2 :1;\r
+    IO_LWORD _CSA1 :1;\r
+    IO_LWORD _CSA0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _CSZ :4;\r
+  }bitc;\r
+ }FSCR1STR;\r
+typedef union{   /* CAN 4 Control Register */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _Test :1;\r
+    IO_WORD _CCE :1;\r
+    IO_WORD _DAR :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _EIE :1;\r
+    IO_WORD _SIE :1;\r
+    IO_WORD _IE :1;\r
+    IO_WORD _Init :1;\r
+  }bit;\r
+ }CTRLR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _BOff :1;\r
+    IO_WORD _EWarn :1;\r
+    IO_WORD _EPass :1;\r
+    IO_WORD _RxOK :1;\r
+    IO_WORD _TxOK :1;\r
+    IO_WORD _LEC2 :1;\r
+    IO_WORD _LEC1 :1;\r
+    IO_WORD _LEC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _LEC :3;\r
+  }bitc;\r
+ }STATR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _RP :1;\r
+    IO_WORD _REC6 :1;\r
+    IO_WORD _REC5 :1;\r
+    IO_WORD _REC4 :1;\r
+    IO_WORD _REC3 :1;\r
+    IO_WORD _REC2 :1;\r
+    IO_WORD _REC1 :1;\r
+    IO_WORD _REC0 :1;\r
+    IO_WORD _TEC7 :1;\r
+    IO_WORD _TEC6 :1;\r
+    IO_WORD _TEC5 :1;\r
+    IO_WORD _TEC4 :1;\r
+    IO_WORD _TEC3 :1;\r
+    IO_WORD _TEC2 :1;\r
+    IO_WORD _TEC1 :1;\r
+    IO_WORD _TEC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD _REC :7;\r
+    IO_WORD _TEC :8;\r
+  }bitc;\r
+ }ERRCNT4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD _Tseg22 :1;\r
+    IO_WORD _Tseg21 :1;\r
+    IO_WORD _Tseg20 :1;\r
+    IO_WORD _Tseg13 :1;\r
+    IO_WORD _Tseg12 :1;\r
+    IO_WORD _Tseg11 :1;\r
+    IO_WORD _Tseg10 :1;\r
+    IO_WORD _SJW1 :1;\r
+    IO_WORD _SJW0 :1;\r
+    IO_WORD _BRP5 :1;\r
+    IO_WORD _BRP4 :1;\r
+    IO_WORD _BRP3 :1;\r
+    IO_WORD _BRP2 :1;\r
+    IO_WORD _BRP1 :1;\r
+    IO_WORD _BRP0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD _Tseg2 :3;\r
+    IO_WORD _Tseg1 :4;\r
+    IO_WORD _SJW :2;\r
+    IO_WORD _BRP :6;\r
+  }bitc;\r
+ }BTR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _Rx :1;\r
+    IO_WORD _Tx1 :1;\r
+    IO_WORD _Tx0 :1;\r
+    IO_WORD _LBack :1;\r
+    IO_WORD _Silent :1;\r
+    IO_WORD _Basic :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _Tx :2;\r
+  }bitc;\r
+ }TESTR4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _BRPE3 :1;\r
+    IO_WORD _BRPE2 :1;\r
+    IO_WORD _BRPE1 :1;\r
+    IO_WORD _BRPE0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _BRPE :4;\r
+  }bitc;\r
+ }BRPER4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+ }BRPE4STR;\r
+typedef union{   /* CAN 4 IF 1 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _Busy :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _MN5 :1;\r
+    IO_WORD _MN4 :1;\r
+    IO_WORD _MN3 :1;\r
+    IO_WORD _MN2 :1;\r
+    IO_WORD _MN1 :1;\r
+    IO_WORD _MN0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _MN :6;\r
+  }bitc;\r
+ }IF1CREQ4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _WR :1;\r
+    IO_WORD _Mask :1;\r
+    IO_WORD _Arb :1;\r
+    IO_WORD _Control :1;\r
+    IO_WORD _CIP :1;\r
+    IO_WORD _TxReq :1;\r
+    IO_WORD _DataA :1;\r
+    IO_WORD _DataB :1;\r
+  }bit;\r
+ }IF1CMSK4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _MXtd :1;\r
+    IO_WORD _MDir :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+ }IF1MSK24STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _MsgVal :1;\r
+    IO_WORD _Xtd :1;\r
+    IO_WORD _DIR :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+ }IF1ARB24STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _NewDat :1;\r
+    IO_WORD _MsgLst :1;\r
+    IO_WORD _IntPnd :1;\r
+    IO_WORD _UMask :1;\r
+    IO_WORD _TxIE :1;\r
+    IO_WORD _RxIE :1;\r
+    IO_WORD _RmtEn :1;\r
+    IO_WORD _TxRqst :1;\r
+    IO_WORD _EoB :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _DLC3 :1;\r
+    IO_WORD _DLC2 :1;\r
+    IO_WORD _DLC1 :1;\r
+    IO_WORD _DLC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _DLC :4;\r
+  }bitc;\r
+ }IF1MCTR4STR;\r
+typedef union{   /* CAN 4 IF 2 */\r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _Busy :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _MN5 :1;\r
+    IO_WORD _MN4 :1;\r
+    IO_WORD _MN3 :1;\r
+    IO_WORD _MN2 :1;\r
+    IO_WORD _MN1 :1;\r
+    IO_WORD _MN0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _MN :6;\r
+  }bitc;\r
+ }IF2CREQ4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _WR :1;\r
+    IO_WORD _Mask :1;\r
+    IO_WORD _Arb :1;\r
+    IO_WORD _Control :1;\r
+    IO_WORD _CIP :1;\r
+    IO_WORD _TxReq :1;\r
+    IO_WORD _DataA :1;\r
+    IO_WORD _DataB :1;\r
+  }bit;\r
+ }IF2CMSK4STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _MXtd :1;\r
+    IO_WORD _MDir :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+ }IF2MSK24STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _MsgVal :1;\r
+    IO_WORD _Xtd :1;\r
+    IO_WORD _DIR :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+  }bit;\r
+ }IF2ARB24STR;\r
+typedef union{  \r
+    IO_WORD    word;\r
+    struct{   \r
+    IO_WORD _NewDat :1;\r
+    IO_WORD _MsgLst :1;\r
+    IO_WORD _IntPnd :1;\r
+    IO_WORD _UMask :1;\r
+    IO_WORD _TxIE :1;\r
+    IO_WORD _RxIE :1;\r
+    IO_WORD _RmtEn :1;\r
+    IO_WORD _TxRqst :1;\r
+    IO_WORD _EoB :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD  :1;\r
+    IO_WORD _DLC3 :1;\r
+    IO_WORD _DLC2 :1;\r
+    IO_WORD _DLC1 :1;\r
+    IO_WORD _DLC0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD :1;\r
+    IO_WORD _DLC :4;\r
+  }bitc;\r
+ }IF2MCTR4STR;\r
+typedef union{   /* EDSU/MPU Registers */\r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _SR :1;\r
+    IO_LWORD _SW :1;\r
+    IO_LWORD _SX :1;\r
+    IO_LWORD _UR :1;\r
+    IO_LWORD _UW :1;\r
+    IO_LWORD _UX :1;\r
+    IO_LWORD _FCPU :1;\r
+    IO_LWORD _FDMA :1;\r
+    IO_LWORD _EEMM :1;\r
+    IO_LWORD _PFD :1;\r
+    IO_LWORD _SINT1 :1;\r
+    IO_LWORD _SINT0 :1;\r
+    IO_LWORD _EINT1 :1;\r
+    IO_LWORD _EINT0 :1;\r
+    IO_LWORD _EINTT :1;\r
+    IO_LWORD _EINTR :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _SINT :2;\r
+    IO_LWORD _EINT :2;\r
+  }bitc;\r
+ }BCTRLSTR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _IDX4 :1;\r
+    IO_LWORD _IDX3 :1;\r
+    IO_LWORD _IDX2 :1;\r
+    IO_LWORD _IDX1 :1;\r
+    IO_LWORD _IDX0 :1;\r
+    IO_LWORD _CDMA :1;\r
+    IO_LWORD _CSZ1 :1;\r
+    IO_LWORD _CSZ0 :1;\r
+    IO_LWORD _CRW1 :1;\r
+    IO_LWORD _CRW0 :1;\r
+    IO_LWORD _PV :1;\r
+    IO_LWORD _RST :1;\r
+    IO_LWORD _INT1 :1;\r
+    IO_LWORD _INT0 :1;\r
+    IO_LWORD _INTT :1;\r
+    IO_LWORD _INTR :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _IDX :5;\r
+    IO_LWORD :1;\r
+    IO_LWORD _CSZ :2;\r
+    IO_LWORD _CRW :2;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _INT :2;\r
+  }bitc;\r
+ }BSTATSTR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD _BD31 :1;\r
+    IO_LWORD _BD30 :1;\r
+    IO_LWORD _BD29 :1;\r
+    IO_LWORD _BD28 :1;\r
+    IO_LWORD _BD27 :1;\r
+    IO_LWORD _BD26 :1;\r
+    IO_LWORD _BD25 :1;\r
+    IO_LWORD _BD24 :1;\r
+    IO_LWORD _BD23 :1;\r
+    IO_LWORD _BD22 :1;\r
+    IO_LWORD _BD21 :1;\r
+    IO_LWORD _BD20 :1;\r
+    IO_LWORD _BD19 :1;\r
+    IO_LWORD _BD18 :1;\r
+    IO_LWORD _BD17 :1;\r
+    IO_LWORD _BD16 :1;\r
+    IO_LWORD _BD15 :1;\r
+    IO_LWORD _BD14 :1;\r
+    IO_LWORD _BD13 :1;\r
+    IO_LWORD _BD12 :1;\r
+    IO_LWORD _BD11 :1;\r
+    IO_LWORD _BD10 :1;\r
+    IO_LWORD _BD9 :1;\r
+    IO_LWORD _BD8 :1;\r
+    IO_LWORD _BD7 :1;\r
+    IO_LWORD _BD6 :1;\r
+    IO_LWORD _BD5 :1;\r
+    IO_LWORD _BD4 :1;\r
+    IO_LWORD _BD3 :1;\r
+    IO_LWORD _BD2 :1;\r
+    IO_LWORD _BD1 :1;\r
+    IO_LWORD _BD0 :1;\r
+  }bit;\r
+ }BIRQSTR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _SRX1 :1;\r
+    IO_LWORD _SW1 :1;\r
+    IO_LWORD _SRX0 :1;\r
+    IO_LWORD _SW0 :1;\r
+    IO_LWORD _URX1 :1;\r
+    IO_LWORD _UW1 :1;\r
+    IO_LWORD _URX0 :1;\r
+    IO_LWORD _UW0 :1;\r
+    IO_LWORD _MPE :1;\r
+    IO_LWORD _COMB :1;\r
+    IO_LWORD _CTC1 :1;\r
+    IO_LWORD _CTC0 :1;\r
+    IO_LWORD _OBS1 :1;\r
+    IO_LWORD _OBS0 :1;\r
+    IO_LWORD _OBT1 :1;\r
+    IO_LWORD _OBT0 :1;\r
+    IO_LWORD _EP3 :1;\r
+    IO_LWORD _EP2 :1;\r
+    IO_LWORD _EP1 :1;\r
+    IO_LWORD _EP0 :1;\r
+    IO_LWORD _EM1 :1;\r
+    IO_LWORD _EM0 :1;\r
+    IO_LWORD _ER1 :1;\r
+    IO_LWORD _ER0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _CTC :2;\r
+    IO_LWORD _OBS :2;\r
+    IO_LWORD _OBT :2;\r
+    IO_LWORD _EP :4;\r
+    IO_LWORD _EM :2;\r
+    IO_LWORD _ER :2;\r
+  }bitc;\r
+ }BCR0STR;\r
+typedef union{  \r
+    IO_LWORD   lword;\r
+    struct{   \r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD  :1;\r
+    IO_LWORD _SRX1 :1;\r
+    IO_LWORD _SW1 :1;\r
+    IO_LWORD _SRX0 :1;\r
+    IO_LWORD _SW0 :1;\r
+    IO_LWORD _URX1 :1;\r
+    IO_LWORD _UW1 :1;\r
+    IO_LWORD _URX0 :1;\r
+    IO_LWORD _UW0 :1;\r
+    IO_LWORD _MPE :1;\r
+    IO_LWORD _COMB :1;\r
+    IO_LWORD _CTC1 :1;\r
+    IO_LWORD _CTC0 :1;\r
+    IO_LWORD _OBS1 :1;\r
+    IO_LWORD _OBS0 :1;\r
+    IO_LWORD _OBT1 :1;\r
+    IO_LWORD _OBT0 :1;\r
+    IO_LWORD _EP3 :1;\r
+    IO_LWORD _EP2 :1;\r
+    IO_LWORD _EP1 :1;\r
+    IO_LWORD _EP0 :1;\r
+    IO_LWORD _EM1 :1;\r
+    IO_LWORD _EM0 :1;\r
+    IO_LWORD _ER1 :1;\r
+    IO_LWORD _ER0 :1;\r
+  }bit;\r
+  struct{\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD :1;\r
+    IO_LWORD _CTC :2;\r
+    IO_LWORD _OBS :2;\r
+    IO_LWORD _OBT :2;\r
+    IO_LWORD _EP :4;\r
+    IO_LWORD _EM :2;\r
+    IO_LWORD _ER :2;\r
+  }bitc;\r
+ }BCR1STR;\r
+\r
+/* C-DECLARATIONS */\r
+\r
+__IO_EXTERN __io PDR14STR pdr14;   /* Port Data Register */\r
+#define PDR14 pdr14.byte\r
+#define PDR14_D7 pdr14.bit._D7\r
+#define PDR14_D6 pdr14.bit._D6\r
+#define PDR14_D5 pdr14.bit._D5\r
+#define PDR14_D4 pdr14.bit._D4\r
+#define PDR14_D3 pdr14.bit._D3\r
+#define PDR14_D2 pdr14.bit._D2\r
+#define PDR14_D1 pdr14.bit._D1\r
+#define PDR14_D0 pdr14.bit._D0\r
+__IO_EXTERN __io PDR15STR pdr15;  \r
+#define PDR15 pdr15.byte\r
+#define PDR15_D7 pdr15.bit._D7\r
+#define PDR15_D6 pdr15.bit._D6\r
+#define PDR15_D5 pdr15.bit._D5\r
+#define PDR15_D4 pdr15.bit._D4\r
+#define PDR15_D3 pdr15.bit._D3\r
+#define PDR15_D2 pdr15.bit._D2\r
+#define PDR15_D1 pdr15.bit._D1\r
+#define PDR15_D0 pdr15.bit._D0\r
+__IO_EXTERN __io PDR16STR pdr16;  \r
+#define PDR16 pdr16.byte\r
+#define PDR16_D7 pdr16.bit._D7\r
+#define PDR16_D6 pdr16.bit._D6\r
+#define PDR16_D5 pdr16.bit._D5\r
+#define PDR16_D4 pdr16.bit._D4\r
+#define PDR16_D3 pdr16.bit._D3\r
+#define PDR16_D2 pdr16.bit._D2\r
+#define PDR16_D1 pdr16.bit._D1\r
+#define PDR16_D0 pdr16.bit._D0\r
+__IO_EXTERN __io PDR17STR pdr17;  \r
+#define PDR17 pdr17.byte\r
+#define PDR17_D7 pdr17.bit._D7\r
+#define PDR17_D6 pdr17.bit._D6\r
+#define PDR17_D5 pdr17.bit._D5\r
+#define PDR17_D4 pdr17.bit._D4\r
+#define PDR17_D3 pdr17.bit._D3\r
+#define PDR17_D2 pdr17.bit._D2\r
+#define PDR17_D1 pdr17.bit._D1\r
+#define PDR17_D0 pdr17.bit._D0\r
+__IO_EXTERN __io PDR18STR pdr18;  \r
+#define PDR18 pdr18.byte\r
+#define PDR18_D6 pdr18.bit._D6\r
+#define PDR18_D2 pdr18.bit._D2\r
+__IO_EXTERN __io PDR19STR pdr19;  \r
+#define PDR19 pdr19.byte\r
+#define PDR19_D6 pdr19.bit._D6\r
+#define PDR19_D2 pdr19.bit._D2\r
+#define PDR19_D1 pdr19.bit._D1\r
+#define PDR19_D0 pdr19.bit._D0\r
+__IO_EXTERN __io PDR20STR pdr20;  \r
+#define PDR20 pdr20.byte\r
+#define PDR20_D7 pdr20.bit._D7\r
+#define PDR20_D6 pdr20.bit._D6\r
+#define PDR20_D5 pdr20.bit._D5\r
+#define PDR20_D4 pdr20.bit._D4\r
+#define PDR20_D3 pdr20.bit._D3\r
+#define PDR20_D2 pdr20.bit._D2\r
+#define PDR20_D1 pdr20.bit._D1\r
+#define PDR20_D0 pdr20.bit._D0\r
+__IO_EXTERN __io PDR21STR pdr21;  \r
+#define PDR21 pdr21.byte\r
+#define PDR21_D7 pdr21.bit._D7\r
+#define PDR21_D6 pdr21.bit._D6\r
+#define PDR21_D5 pdr21.bit._D5\r
+#define PDR21_D4 pdr21.bit._D4\r
+#define PDR21_D3 pdr21.bit._D3\r
+#define PDR21_D2 pdr21.bit._D2\r
+#define PDR21_D1 pdr21.bit._D1\r
+#define PDR21_D0 pdr21.bit._D0\r
+__IO_EXTERN __io PDR22STR pdr22;  \r
+#define PDR22 pdr22.byte\r
+#define PDR22_D5 pdr22.bit._D5\r
+#define PDR22_D4 pdr22.bit._D4\r
+#define PDR22_D1 pdr22.bit._D1\r
+#define PDR22_D0 pdr22.bit._D0\r
+__IO_EXTERN __io PDR24STR pdr24;  \r
+#define PDR24 pdr24.byte\r
+#define PDR24_D7 pdr24.bit._D7\r
+#define PDR24_D6 pdr24.bit._D6\r
+#define PDR24_D5 pdr24.bit._D5\r
+#define PDR24_D4 pdr24.bit._D4\r
+#define PDR24_D3 pdr24.bit._D3\r
+#define PDR24_D2 pdr24.bit._D2\r
+#define PDR24_D1 pdr24.bit._D1\r
+#define PDR24_D0 pdr24.bit._D0\r
+__IO_EXTERN __io PDR26STR pdr26;  \r
+#define PDR26 pdr26.byte\r
+#define PDR26_D1 pdr26.bit._D1\r
+#define PDR26_D0 pdr26.bit._D0\r
+__IO_EXTERN __io PDR27STR pdr27;  \r
+#define PDR27 pdr27.byte\r
+#define PDR27_D7 pdr27.bit._D7\r
+#define PDR27_D6 pdr27.bit._D6\r
+#define PDR27_D5 pdr27.bit._D5\r
+#define PDR27_D4 pdr27.bit._D4\r
+#define PDR27_D3 pdr27.bit._D3\r
+#define PDR27_D2 pdr27.bit._D2\r
+#define PDR27_D1 pdr27.bit._D1\r
+#define PDR27_D0 pdr27.bit._D0\r
+__IO_EXTERN __io PDR28STR pdr28;  \r
+#define PDR28 pdr28.byte\r
+#define PDR28_D7 pdr28.bit._D7\r
+#define PDR28_D6 pdr28.bit._D6\r
+#define PDR28_D5 pdr28.bit._D5\r
+#define PDR28_D4 pdr28.bit._D4\r
+#define PDR28_D3 pdr28.bit._D3\r
+#define PDR28_D2 pdr28.bit._D2\r
+#define PDR28_D1 pdr28.bit._D1\r
+#define PDR28_D0 pdr28.bit._D0\r
+__IO_EXTERN __io PDR29STR pdr29;  \r
+#define PDR29 pdr29.byte\r
+#define PDR29_D7 pdr29.bit._D7\r
+#define PDR29_D6 pdr29.bit._D6\r
+#define PDR29_D5 pdr29.bit._D5\r
+#define PDR29_D4 pdr29.bit._D4\r
+#define PDR29_D3 pdr29.bit._D3\r
+#define PDR29_D2 pdr29.bit._D2\r
+#define PDR29_D1 pdr29.bit._D1\r
+#define PDR29_D0 pdr29.bit._D0\r
+__IO_EXTERN __io EIRR0STR eirr0;   /* External Interrupt 0-7 */\r
+#define EIRR0 eirr0.byte\r
+#define EIRR0_ER7 eirr0.bit._ER7\r
+#define EIRR0_ER6 eirr0.bit._ER6\r
+#define EIRR0_ER5 eirr0.bit._ER5\r
+#define EIRR0_ER4 eirr0.bit._ER4\r
+#define EIRR0_ER3 eirr0.bit._ER3\r
+#define EIRR0_ER2 eirr0.bit._ER2\r
+#define EIRR0_ER1 eirr0.bit._ER1\r
+#define EIRR0_ER0 eirr0.bit._ER0\r
+__IO_EXTERN __io ENIR0STR enir0;  \r
+#define ENIR0 enir0.byte\r
+#define ENIR0_EN7 enir0.bit._EN7\r
+#define ENIR0_EN6 enir0.bit._EN6\r
+#define ENIR0_EN5 enir0.bit._EN5\r
+#define ENIR0_EN4 enir0.bit._EN4\r
+#define ENIR0_EN3 enir0.bit._EN3\r
+#define ENIR0_EN2 enir0.bit._EN2\r
+#define ENIR0_EN1 enir0.bit._EN1\r
+#define ENIR0_EN0 enir0.bit._EN0\r
+__IO_EXTERN __io ELVR0STR elvr0;  \r
+#define ELVR0 elvr0.word\r
+#define ELVR0_LB7 elvr0.bit._LB7\r
+#define ELVR0_LA7 elvr0.bit._LA7\r
+#define ELVR0_LB6 elvr0.bit._LB6\r
+#define ELVR0_LA6 elvr0.bit._LA6\r
+#define ELVR0_LB5 elvr0.bit._LB5\r
+#define ELVR0_LA5 elvr0.bit._LA5\r
+#define ELVR0_LB4 elvr0.bit._LB4\r
+#define ELVR0_LA4 elvr0.bit._LA4\r
+#define ELVR0_LB3 elvr0.bit._LB3\r
+#define ELVR0_LA3 elvr0.bit._LA3\r
+#define ELVR0_LB2 elvr0.bit._LB2\r
+#define ELVR0_LA2 elvr0.bit._LA2\r
+#define ELVR0_LB1 elvr0.bit._LB1\r
+#define ELVR0_LA1 elvr0.bit._LA1\r
+#define ELVR0_LB0 elvr0.bit._LB0\r
+#define ELVR0_LA0 elvr0.bit._LA0\r
+__IO_EXTERN __io EIRR1STR eirr1;   /* External Interrupt 8-15 */\r
+#define EIRR1 eirr1.byte\r
+#define EIRR1_ER15 eirr1.bit._ER15\r
+#define EIRR1_ER14 eirr1.bit._ER14\r
+#define EIRR1_ER13 eirr1.bit._ER13\r
+#define EIRR1_ER12 eirr1.bit._ER12\r
+#define EIRR1_ER11 eirr1.bit._ER11\r
+#define EIRR1_ER10 eirr1.bit._ER10\r
+#define EIRR1_ER9 eirr1.bit._ER9\r
+#define EIRR1_ER8 eirr1.bit._ER8\r
+__IO_EXTERN __io ENIR1STR enir1;  \r
+#define ENIR1 enir1.byte\r
+#define ENIR1_EN15 enir1.bit._EN15\r
+#define ENIR1_EN14 enir1.bit._EN14\r
+#define ENIR1_EN13 enir1.bit._EN13\r
+#define ENIR1_EN12 enir1.bit._EN12\r
+#define ENIR1_EN11 enir1.bit._EN11\r
+#define ENIR1_EN10 enir1.bit._EN10\r
+#define ENIR1_EN9 enir1.bit._EN9\r
+#define ENIR1_EN8 enir1.bit._EN8\r
+__IO_EXTERN __io ELVR1STR elvr1;  \r
+#define ELVR1 elvr1.word\r
+#define ELVR1_LB15 elvr1.bit._LB15\r
+#define ELVR1_LA15 elvr1.bit._LA15\r
+#define ELVR1_LB14 elvr1.bit._LB14\r
+#define ELVR1_LA14 elvr1.bit._LA14\r
+#define ELVR1_LB13 elvr1.bit._LB13\r
+#define ELVR1_LA13 elvr1.bit._LA13\r
+#define ELVR1_LB12 elvr1.bit._LB12\r
+#define ELVR1_LA12 elvr1.bit._LA12\r
+#define ELVR1_LB11 elvr1.bit._LB11\r
+#define ELVR1_LA11 elvr1.bit._LA11\r
+#define ELVR1_LB10 elvr1.bit._LB10\r
+#define ELVR1_LA10 elvr1.bit._LA10\r
+#define ELVR1_LB9 elvr1.bit._LB9\r
+#define ELVR1_LA9 elvr1.bit._LA9\r
+#define ELVR1_LB8 elvr1.bit._LB8\r
+#define ELVR1_LA8 elvr1.bit._LA8\r
+__IO_EXTERN __io DICRSTR dicr;   /* DLYI/I-unit */\r
+#define DICR dicr.byte\r
+#define DICR_DLYI dicr.bit._DLYI\r
+__IO_EXTERN __io HRCLSTR hrcl;  \r
+#define HRCL hrcl.byte\r
+#define HRCL_MHALTI hrcl.bit._MHALTI\r
+#define HRCL_LVL4 hrcl.bit._LVL4\r
+#define HRCL_LVL3 hrcl.bit._LVL3\r
+#define HRCL_LVL2 hrcl.bit._LVL2\r
+#define HRCL_LVL1 hrcl.bit._LVL1\r
+#define HRCL_LVL0 hrcl.bit._LVL0\r
+#define HRCL_LVL hrcl.bitc._LVL\r
+__IO_EXTERN __io IO_WORD rbsync;   /* R-Bus Sync */\r
+#define RBSYNC rbsync\r
+__IO_EXTERN __io SCR00STR scr00;   /* USART (LIN) 0 */\r
+#define SCR00 scr00.byte\r
+#define SCR00_PEN scr00.bit._PEN\r
+#define SCR00_P scr00.bit._P\r
+#define SCR00_SBL scr00.bit._SBL\r
+#define SCR00_CL scr00.bit._CL\r
+#define SCR00_AD scr00.bit._AD\r
+#define SCR00_CRE scr00.bit._CRE\r
+#define SCR00_RXE scr00.bit._RXE\r
+#define SCR00_TXE scr00.bit._TXE\r
+__IO_EXTERN __io SMR00STR smr00;  \r
+#define SMR00 smr00.byte\r
+#define SMR00_MD1 smr00.bit._MD1\r
+#define SMR00_MD0 smr00.bit._MD0\r
+#define SMR00_OTO smr00.bit._OTO\r
+#define SMR00_EXT smr00.bit._EXT\r
+#define SMR00_REST smr00.bit._REST\r
+#define SMR00_UPCL smr00.bit._UPCL\r
+#define SMR00_SCKE smr00.bit._SCKE\r
+#define SMR00_SOE smr00.bit._SOE\r
+#define SMR00_MD smr00.bitc._MD\r
+__IO_EXTERN __io SSR00STR ssr00;  \r
+#define SSR00 ssr00.byte\r
+#define SSR00_PE ssr00.bit._PE\r
+#define SSR00_ORE ssr00.bit._ORE\r
+#define SSR00_FRE ssr00.bit._FRE\r
+#define SSR00_RDRF ssr00.bit._RDRF\r
+#define SSR00_TDRE ssr00.bit._TDRE\r
+#define SSR00_BDS ssr00.bit._BDS\r
+#define SSR00_RIE ssr00.bit._RIE\r
+#define SSR00_TIE ssr00.bit._TIE\r
+__IO_EXTERN __io IO_BYTE rdr00;  \r
+#define RDR00 rdr00\r
+__IO_EXTERN __io IO_BYTE tdr00;  \r
+#define TDR00 tdr00\r
+__IO_EXTERN __io ESCR00STR escr00;  \r
+#define ESCR00 escr00.byte\r
+#define ESCR00_LBIE escr00.bit._LBIE\r
+#define ESCR00_LBD escr00.bit._LBD\r
+#define ESCR00_LBL1 escr00.bit._LBL1\r
+#define ESCR00_LBL0 escr00.bit._LBL0\r
+#define ESCR00_SOPE escr00.bit._SOPE\r
+#define ESCR00_SIOP escr00.bit._SIOP\r
+#define ESCR00_CCO escr00.bit._CCO\r
+#define ESCR00_SCES escr00.bit._SCES\r
+#define ESCR00_LBL escr00.bitc._LBL\r
+__IO_EXTERN __io ECCR00STR eccr00;  \r
+#define ECCR00 eccr00.byte\r
+#define ECCR00_INV eccr00.bit._INV\r
+#define ECCR00_LBR eccr00.bit._LBR\r
+#define ECCR00_MS eccr00.bit._MS\r
+#define ECCR00_SCDE eccr00.bit._SCDE\r
+#define ECCR00_SSM eccr00.bit._SSM\r
+#define ECCR00_BIE eccr00.bit._BIE\r
+#define ECCR00_RBI eccr00.bit._RBI\r
+#define ECCR00_TBI eccr00.bit._TBI\r
+__IO_EXTERN __io SCR01STR scr01;   /* USART (LIN) 1 */\r
+#define SCR01 scr01.byte\r
+#define SCR01_PEN scr01.bit._PEN\r
+#define SCR01_P scr01.bit._P\r
+#define SCR01_SBL scr01.bit._SBL\r
+#define SCR01_CL scr01.bit._CL\r
+#define SCR01_AD scr01.bit._AD\r
+#define SCR01_CRE scr01.bit._CRE\r
+#define SCR01_RXE scr01.bit._RXE\r
+#define SCR01_TXE scr01.bit._TXE\r
+__IO_EXTERN __io SMR01STR smr01;  \r
+#define SMR01 smr01.byte\r
+#define SMR01_MD1 smr01.bit._MD1\r
+#define SMR01_MD0 smr01.bit._MD0\r
+#define SMR01_OTO smr01.bit._OTO\r
+#define SMR01_EXT smr01.bit._EXT\r
+#define SMR01_REST smr01.bit._REST\r
+#define SMR01_UPCL smr01.bit._UPCL\r
+#define SMR01_SCKE smr01.bit._SCKE\r
+#define SMR01_SOE smr01.bit._SOE\r
+#define SMR01_MD smr01.bitc._MD\r
+__IO_EXTERN __io SSR01STR ssr01;  \r
+#define SSR01 ssr01.byte\r
+#define SSR01_PE ssr01.bit._PE\r
+#define SSR01_ORE ssr01.bit._ORE\r
+#define SSR01_FRE ssr01.bit._FRE\r
+#define SSR01_RDRF ssr01.bit._RDRF\r
+#define SSR01_TDRE ssr01.bit._TDRE\r
+#define SSR01_BDS ssr01.bit._BDS\r
+#define SSR01_RIE ssr01.bit._RIE\r
+#define SSR01_TIE ssr01.bit._TIE\r
+__IO_EXTERN __io IO_BYTE rdr01;  \r
+#define RDR01 rdr01\r
+__IO_EXTERN __io IO_BYTE tdr01;  \r
+#define TDR01 tdr01\r
+__IO_EXTERN __io ESCR01STR escr01;  \r
+#define ESCR01 escr01.byte\r
+#define ESCR01_LBIE escr01.bit._LBIE\r
+#define ESCR01_LBD escr01.bit._LBD\r
+#define ESCR01_LBL1 escr01.bit._LBL1\r
+#define ESCR01_LBL0 escr01.bit._LBL0\r
+#define ESCR01_SOPE escr01.bit._SOPE\r
+#define ESCR01_SIOP escr01.bit._SIOP\r
+#define ESCR01_CCO escr01.bit._CCO\r
+#define ESCR01_SCES escr01.bit._SCES\r
+#define ESCR01_LBL escr01.bitc._LBL\r
+__IO_EXTERN __io ECCR01STR eccr01;  \r
+#define ECCR01 eccr01.byte\r
+#define ECCR01_INV eccr01.bit._INV\r
+#define ECCR01_LBR eccr01.bit._LBR\r
+#define ECCR01_MS eccr01.bit._MS\r
+#define ECCR01_SCDE eccr01.bit._SCDE\r
+#define ECCR01_SSM eccr01.bit._SSM\r
+#define ECCR01_BIE eccr01.bit._BIE\r
+#define ECCR01_RBI eccr01.bit._RBI\r
+#define ECCR01_TBI eccr01.bit._TBI\r
+__IO_EXTERN __io SCR02STR scr02;   /* USART (LIN) 2 */\r
+#define SCR02 scr02.byte\r
+#define SCR02_PEN scr02.bit._PEN\r
+#define SCR02_P scr02.bit._P\r
+#define SCR02_SBL scr02.bit._SBL\r
+#define SCR02_CL scr02.bit._CL\r
+#define SCR02_AD scr02.bit._AD\r
+#define SCR02_CRE scr02.bit._CRE\r
+#define SCR02_RXE scr02.bit._RXE\r
+#define SCR02_TXE scr02.bit._TXE\r
+__IO_EXTERN __io SMR02STR smr02;  \r
+#define SMR02 smr02.byte\r
+#define SMR02_MD1 smr02.bit._MD1\r
+#define SMR02_MD0 smr02.bit._MD0\r
+#define SMR02_OTO smr02.bit._OTO\r
+#define SMR02_EXT smr02.bit._EXT\r
+#define SMR02_REST smr02.bit._REST\r
+#define SMR02_UPCL smr02.bit._UPCL\r
+#define SMR02_SCKE smr02.bit._SCKE\r
+#define SMR02_SOE smr02.bit._SOE\r
+#define SMR02_MD smr02.bitc._MD\r
+__IO_EXTERN __io SSR02STR ssr02;  \r
+#define SSR02 ssr02.byte\r
+#define SSR02_PE ssr02.bit._PE\r
+#define SSR02_ORE ssr02.bit._ORE\r
+#define SSR02_FRE ssr02.bit._FRE\r
+#define SSR02_RDRF ssr02.bit._RDRF\r
+#define SSR02_TDRE ssr02.bit._TDRE\r
+#define SSR02_BDS ssr02.bit._BDS\r
+#define SSR02_RIE ssr02.bit._RIE\r
+#define SSR02_TIE ssr02.bit._TIE\r
+__IO_EXTERN __io IO_BYTE rdr02;  \r
+#define RDR02 rdr02\r
+__IO_EXTERN __io IO_BYTE tdr02;  \r
+#define TDR02 tdr02\r
+__IO_EXTERN __io ESCR02STR escr02;  \r
+#define ESCR02 escr02.byte\r
+#define ESCR02_LBIE escr02.bit._LBIE\r
+#define ESCR02_LBD escr02.bit._LBD\r
+#define ESCR02_LBL1 escr02.bit._LBL1\r
+#define ESCR02_LBL0 escr02.bit._LBL0\r
+#define ESCR02_SOPE escr02.bit._SOPE\r
+#define ESCR02_SIOP escr02.bit._SIOP\r
+#define ESCR02_CCO escr02.bit._CCO\r
+#define ESCR02_SCES escr02.bit._SCES\r
+#define ESCR02_LBL escr02.bitc._LBL\r
+__IO_EXTERN __io ECCR02STR eccr02;  \r
+#define ECCR02 eccr02.byte\r
+#define ECCR02_INV eccr02.bit._INV\r
+#define ECCR02_LBR eccr02.bit._LBR\r
+#define ECCR02_MS eccr02.bit._MS\r
+#define ECCR02_SCDE eccr02.bit._SCDE\r
+#define ECCR02_SSM eccr02.bit._SSM\r
+#define ECCR02_BIE eccr02.bit._BIE\r
+#define ECCR02_RBI eccr02.bit._RBI\r
+#define ECCR02_TBI eccr02.bit._TBI\r
+__IO_EXTERN __io SCR03STR scr03;   /* USART (LIN) 3 */\r
+#define SCR03 scr03.byte\r
+#define SCR03_PEN scr03.bit._PEN\r
+#define SCR03_P scr03.bit._P\r
+#define SCR03_SBL scr03.bit._SBL\r
+#define SCR03_CL scr03.bit._CL\r
+#define SCR03_AD scr03.bit._AD\r
+#define SCR03_CRE scr03.bit._CRE\r
+#define SCR03_RXE scr03.bit._RXE\r
+#define SCR03_TXE scr03.bit._TXE\r
+__IO_EXTERN __io SMR03STR smr03;  \r
+#define SMR03 smr03.byte\r
+#define SMR03_MD1 smr03.bit._MD1\r
+#define SMR03_MD0 smr03.bit._MD0\r
+#define SMR03_OTO smr03.bit._OTO\r
+#define SMR03_EXT smr03.bit._EXT\r
+#define SMR03_REST smr03.bit._REST\r
+#define SMR03_UPCL smr03.bit._UPCL\r
+#define SMR03_SCKE smr03.bit._SCKE\r
+#define SMR03_SOE smr03.bit._SOE\r
+#define SMR03_MD smr03.bitc._MD\r
+__IO_EXTERN __io SSR03STR ssr03;  \r
+#define SSR03 ssr03.byte\r
+#define SSR03_PE ssr03.bit._PE\r
+#define SSR03_ORE ssr03.bit._ORE\r
+#define SSR03_FRE ssr03.bit._FRE\r
+#define SSR03_RDRF ssr03.bit._RDRF\r
+#define SSR03_TDRE ssr03.bit._TDRE\r
+#define SSR03_BDS ssr03.bit._BDS\r
+#define SSR03_RIE ssr03.bit._RIE\r
+#define SSR03_TIE ssr03.bit._TIE\r
+__IO_EXTERN __io IO_BYTE rdr03;  \r
+#define RDR03 rdr03\r
+__IO_EXTERN __io IO_BYTE tdr03;  \r
+#define TDR03 tdr03\r
+__IO_EXTERN __io ESCR03STR escr03;  \r
+#define ESCR03 escr03.byte\r
+#define ESCR03_LBIE escr03.bit._LBIE\r
+#define ESCR03_LBD escr03.bit._LBD\r
+#define ESCR03_LBL1 escr03.bit._LBL1\r
+#define ESCR03_LBL0 escr03.bit._LBL0\r
+#define ESCR03_SOPE escr03.bit._SOPE\r
+#define ESCR03_SIOP escr03.bit._SIOP\r
+#define ESCR03_CCO escr03.bit._CCO\r
+#define ESCR03_SCES escr03.bit._SCES\r
+#define ESCR03_LBL escr03.bitc._LBL\r
+__IO_EXTERN __io ECCR03STR eccr03;  \r
+#define ECCR03 eccr03.byte\r
+#define ECCR03_INV eccr03.bit._INV\r
+#define ECCR03_LBR eccr03.bit._LBR\r
+#define ECCR03_MS eccr03.bit._MS\r
+#define ECCR03_SCDE eccr03.bit._SCDE\r
+#define ECCR03_SSM eccr03.bit._SSM\r
+#define ECCR03_BIE eccr03.bit._BIE\r
+#define ECCR03_RBI eccr03.bit._RBI\r
+#define ECCR03_TBI eccr03.bit._TBI\r
+__IO_EXTERN __io SCR04STR scr04;   /* USART (LIN) 4 with FIFO */\r
+#define SCR04 scr04.byte\r
+#define SCR04_PEN scr04.bit._PEN\r
+#define SCR04_P scr04.bit._P\r
+#define SCR04_SBL scr04.bit._SBL\r
+#define SCR04_CL scr04.bit._CL\r
+#define SCR04_AD scr04.bit._AD\r
+#define SCR04_CRE scr04.bit._CRE\r
+#define SCR04_RXE scr04.bit._RXE\r
+#define SCR04_TXE scr04.bit._TXE\r
+__IO_EXTERN __io SMR04STR smr04;  \r
+#define SMR04 smr04.byte\r
+#define SMR04_MD1 smr04.bit._MD1\r
+#define SMR04_MD0 smr04.bit._MD0\r
+#define SMR04_OTO smr04.bit._OTO\r
+#define SMR04_EXT smr04.bit._EXT\r
+#define SMR04_REST smr04.bit._REST\r
+#define SMR04_UPCL smr04.bit._UPCL\r
+#define SMR04_SCKE smr04.bit._SCKE\r
+#define SMR04_SOE smr04.bit._SOE\r
+#define SMR04_MD smr04.bitc._MD\r
+__IO_EXTERN __io SSR04STR ssr04;  \r
+#define SSR04 ssr04.byte\r
+#define SSR04_PE ssr04.bit._PE\r
+#define SSR04_ORE ssr04.bit._ORE\r
+#define SSR04_FRE ssr04.bit._FRE\r
+#define SSR04_RDRF ssr04.bit._RDRF\r
+#define SSR04_TDRE ssr04.bit._TDRE\r
+#define SSR04_BDS ssr04.bit._BDS\r
+#define SSR04_RIE ssr04.bit._RIE\r
+#define SSR04_TIE ssr04.bit._TIE\r
+__IO_EXTERN __io IO_BYTE rdr04;  \r
+#define RDR04 rdr04\r
+__IO_EXTERN __io IO_BYTE tdr04;  \r
+#define TDR04 tdr04\r
+__IO_EXTERN __io ESCR04STR escr04;  \r
+#define ESCR04 escr04.byte\r
+#define ESCR04_LBIE escr04.bit._LBIE\r
+#define ESCR04_LBD escr04.bit._LBD\r
+#define ESCR04_LBL1 escr04.bit._LBL1\r
+#define ESCR04_LBL0 escr04.bit._LBL0\r
+#define ESCR04_SOPE escr04.bit._SOPE\r
+#define ESCR04_SIOP escr04.bit._SIOP\r
+#define ESCR04_CCO escr04.bit._CCO\r
+#define ESCR04_SCES escr04.bit._SCES\r
+#define ESCR04_LBL escr04.bitc._LBL\r
+__IO_EXTERN __io ECCR04STR eccr04;  \r
+#define ECCR04 eccr04.byte\r
+#define ECCR04_INV eccr04.bit._INV\r
+#define ECCR04_LBR eccr04.bit._LBR\r
+#define ECCR04_MS eccr04.bit._MS\r
+#define ECCR04_SCDE eccr04.bit._SCDE\r
+#define ECCR04_SSM eccr04.bit._SSM\r
+#define ECCR04_BIE eccr04.bit._BIE\r
+#define ECCR04_RBI eccr04.bit._RBI\r
+#define ECCR04_TBI eccr04.bit._TBI\r
+__IO_EXTERN __io IO_BYTE fsr04;  \r
+#define FSR04 fsr04\r
+__IO_EXTERN __io FCR04STR fcr04;  \r
+#define FCR04 fcr04.byte\r
+#define FCR04_RXL3 fcr04.bit._RXL3\r
+#define FCR04_RXL2 fcr04.bit._RXL2\r
+#define FCR04_RXL1 fcr04.bit._RXL1\r
+#define FCR04_RXL0 fcr04.bit._RXL0\r
+#define FCR04_ERX fcr04.bit._ERX\r
+#define FCR04_ETX fcr04.bit._ETX\r
+#define FCR04_SVD fcr04.bit._SVD\r
+#define FCR04_RXL fcr04.bitc._RXL\r
+__IO_EXTERN __io IO_WORD bgr00;   /* Bauderate Generator USART (LIN) 0-7 */\r
+#define BGR00 bgr00\r
+__IO_EXTERN __io IO_BYTE bgr100;  \r
+#define BGR100 bgr100\r
+__IO_EXTERN __io IO_BYTE bgr000;  \r
+#define BGR000 bgr000\r
+__IO_EXTERN __io IO_WORD bgr01;  \r
+#define BGR01 bgr01\r
+__IO_EXTERN __io IO_BYTE bgr101;  \r
+#define BGR101 bgr101\r
+__IO_EXTERN __io IO_BYTE bgr001;  \r
+#define BGR001 bgr001\r
+__IO_EXTERN __io IO_WORD bgr02;  \r
+#define BGR02 bgr02\r
+__IO_EXTERN __io IO_BYTE bgr102;  \r
+#define BGR102 bgr102\r
+__IO_EXTERN __io IO_BYTE bgr002;  \r
+#define BGR002 bgr002\r
+__IO_EXTERN __io IO_WORD bgr03;  \r
+#define BGR03 bgr03\r
+__IO_EXTERN __io IO_BYTE bgr103;  \r
+#define BGR103 bgr103\r
+__IO_EXTERN __io IO_BYTE bgr003;  \r
+#define BGR003 bgr003\r
+__IO_EXTERN __io IO_WORD bgr04;  \r
+#define BGR04 bgr04\r
+__IO_EXTERN __io IO_BYTE bgr104;  \r
+#define BGR104 bgr104\r
+__IO_EXTERN __io IO_BYTE bgr004;  \r
+#define BGR004 bgr004\r
+__IO_EXTERN __io IBCR0STR ibcr0;   /* I2C 0 */\r
+#define IBCR0 ibcr0.byte\r
+#define IBCR0_BER ibcr0.bit._BER\r
+#define IBCR0_BEIE ibcr0.bit._BEIE\r
+#define IBCR0_SCC ibcr0.bit._SCC\r
+#define IBCR0_MSS ibcr0.bit._MSS\r
+#define IBCR0_ACK ibcr0.bit._ACK\r
+#define IBCR0_GCAA ibcr0.bit._GCAA\r
+#define IBCR0_INTE ibcr0.bit._INTE\r
+#define IBCR0_INT ibcr0.bit._INT\r
+__IO_EXTERN __io IBSR0STR ibsr0;  \r
+#define IBSR0 ibsr0.byte\r
+#define IBSR0_BB ibsr0.bit._BB\r
+#define IBSR0_RSC ibsr0.bit._RSC\r
+#define IBSR0_AL ibsr0.bit._AL\r
+#define IBSR0_LRB ibsr0.bit._LRB\r
+#define IBSR0_TRX ibsr0.bit._TRX\r
+#define IBSR0_AAS ibsr0.bit._AAS\r
+#define IBSR0_GCA ibsr0.bit._GCA\r
+#define IBSR0_ADT ibsr0.bit._ADT\r
+__IO_EXTERN __io ITBA0STR itba0;  \r
+#define ITBA0 itba0.word\r
+#define ITBA0_TA9 itba0.bit._TA9\r
+#define ITBA0_TA8 itba0.bit._TA8\r
+#define ITBA0_TA7 itba0.bit._TA7\r
+#define ITBA0_TA6 itba0.bit._TA6\r
+#define ITBA0_TA5 itba0.bit._TA5\r
+#define ITBA0_TA4 itba0.bit._TA4\r
+#define ITBA0_TA3 itba0.bit._TA3\r
+#define ITBA0_TA2 itba0.bit._TA2\r
+#define ITBA0_TA1 itba0.bit._TA1\r
+#define ITBA0_TA0 itba0.bit._TA0\r
+__IO_EXTERN __io ITBAH0STR itbah0;  \r
+#define ITBAH0 itbah0.byte\r
+#define ITBAH0_TA9 itbah0.bit._TA9\r
+#define ITBAH0_TA8 itbah0.bit._TA8\r
+__IO_EXTERN __io ITBAL0STR itbal0;  \r
+#define ITBAL0 itbal0.byte\r
+#define ITBAL0_TA7 itbal0.bit._TA7\r
+#define ITBAL0_TA6 itbal0.bit._TA6\r
+#define ITBAL0_TA5 itbal0.bit._TA5\r
+#define ITBAL0_TA4 itbal0.bit._TA4\r
+#define ITBAL0_TA3 itbal0.bit._TA3\r
+#define ITBAL0_TA2 itbal0.bit._TA2\r
+#define ITBAL0_TA1 itbal0.bit._TA1\r
+#define ITBAL0_TA0 itbal0.bit._TA0\r
+__IO_EXTERN __io ITMK0STR itmk0;  \r
+#define ITMK0 itmk0.word\r
+#define ITMK0_ENTB itmk0.bit._ENTB\r
+#define ITMK0_RAL itmk0.bit._RAL\r
+#define ITMK0_TM9 itmk0.bit._TM9\r
+#define ITMK0_TM8 itmk0.bit._TM8\r
+#define ITMK0_TM7 itmk0.bit._TM7\r
+#define ITMK0_TM6 itmk0.bit._TM6\r
+#define ITMK0_TM5 itmk0.bit._TM5\r
+#define ITMK0_TM4 itmk0.bit._TM4\r
+#define ITMK0_TM3 itmk0.bit._TM3\r
+#define ITMK0_TM2 itmk0.bit._TM2\r
+#define ITMK0_TM1 itmk0.bit._TM1\r
+#define ITMK0_TM0 itmk0.bit._TM0\r
+__IO_EXTERN __io ITMKH0STR itmkh0;  \r
+#define ITMKH0 itmkh0.byte\r
+#define ITMKH0_ENTB itmkh0.bit._ENTB\r
+#define ITMKH0_RAL itmkh0.bit._RAL\r
+#define ITMKH0_TM9 itmkh0.bit._TM9\r
+#define ITMKH0_TM8 itmkh0.bit._TM8\r
+__IO_EXTERN __io ITMKL0STR itmkl0;  \r
+#define ITMKL0 itmkl0.byte\r
+#define ITMKL0_TM7 itmkl0.bit._TM7\r
+#define ITMKL0_TM6 itmkl0.bit._TM6\r
+#define ITMKL0_TM5 itmkl0.bit._TM5\r
+#define ITMKL0_TM4 itmkl0.bit._TM4\r
+#define ITMKL0_TM3 itmkl0.bit._TM3\r
+#define ITMKL0_TM2 itmkl0.bit._TM2\r
+#define ITMKL0_TM1 itmkl0.bit._TM1\r
+#define ITMKL0_TM0 itmkl0.bit._TM0\r
+__IO_EXTERN __io ISMK0STR ismk0;  \r
+#define ISMK0 ismk0.byte\r
+#define ISMK0_ENSB ismk0.bit._ENSB\r
+#define ISMK0_SM6 ismk0.bit._SM6\r
+#define ISMK0_SM5 ismk0.bit._SM5\r
+#define ISMK0_SM4 ismk0.bit._SM4\r
+#define ISMK0_SM3 ismk0.bit._SM3\r
+#define ISMK0_SM2 ismk0.bit._SM2\r
+#define ISMK0_SM1 ismk0.bit._SM1\r
+#define ISMK0_SM0 ismk0.bit._SM0\r
+__IO_EXTERN __io ISBA0STR isba0;  \r
+#define ISBA0 isba0.byte\r
+#define ISBA0_SA6 isba0.bit._SA6\r
+#define ISBA0_SA5 isba0.bit._SA5\r
+#define ISBA0_SA4 isba0.bit._SA4\r
+#define ISBA0_SA3 isba0.bit._SA3\r
+#define ISBA0_SA2 isba0.bit._SA2\r
+#define ISBA0_SA1 isba0.bit._SA1\r
+#define ISBA0_SA0 isba0.bit._SA0\r
+__IO_EXTERN __io IDAR0STR idar0;  \r
+#define IDAR0 idar0.byte\r
+#define IDAR0_D7 idar0.bit._D7\r
+#define IDAR0_D6 idar0.bit._D6\r
+#define IDAR0_D5 idar0.bit._D5\r
+#define IDAR0_D4 idar0.bit._D4\r
+#define IDAR0_D3 idar0.bit._D3\r
+#define IDAR0_D2 idar0.bit._D2\r
+#define IDAR0_D1 idar0.bit._D1\r
+#define IDAR0_D0 idar0.bit._D0\r
+__IO_EXTERN __io ICCR0STR iccr0;  \r
+#define ICCR0 iccr0.byte\r
+#define ICCR0_NSF iccr0.bit._NSF\r
+#define ICCR0_EN iccr0.bit._EN\r
+#define ICCR0_CS4 iccr0.bit._CS4\r
+#define ICCR0_CS3 iccr0.bit._CS3\r
+#define ICCR0_CS2 iccr0.bit._CS2\r
+#define ICCR0_CS1 iccr0.bit._CS1\r
+#define ICCR0_CS0 iccr0.bit._CS0\r
+#define ICCR0_CS iccr0.bitc._CS\r
+__IO_EXTERN GCN10STR gcn10;   /* PPG Control 0-3 */\r
+#define GCN10 gcn10.word\r
+#define GCN10_TSEL33 gcn10.bit._TSEL33\r
+#define GCN10_TSEL32 gcn10.bit._TSEL32\r
+#define GCN10_TSEL31 gcn10.bit._TSEL31\r
+#define GCN10_TSEL30 gcn10.bit._TSEL30\r
+#define GCN10_TSEL23 gcn10.bit._TSEL23\r
+#define GCN10_TSEL22 gcn10.bit._TSEL22\r
+#define GCN10_TSEL21 gcn10.bit._TSEL21\r
+#define GCN10_TSEL20 gcn10.bit._TSEL20\r
+#define GCN10_TSEL13 gcn10.bit._TSEL13\r
+#define GCN10_TSEL12 gcn10.bit._TSEL12\r
+#define GCN10_TSEL11 gcn10.bit._TSEL11\r
+#define GCN10_TSEL10 gcn10.bit._TSEL10\r
+#define GCN10_TSEL03 gcn10.bit._TSEL03\r
+#define GCN10_TSEL02 gcn10.bit._TSEL02\r
+#define GCN10_TSEL01 gcn10.bit._TSEL01\r
+#define GCN10_TSEL00 gcn10.bit._TSEL00\r
+__IO_EXTERN GCN20STR gcn20;  \r
+#define GCN20 gcn20.byte\r
+#define GCN20_EN3 gcn20.bit._EN3\r
+#define GCN20_EN2 gcn20.bit._EN2\r
+#define GCN20_EN1 gcn20.bit._EN1\r
+#define GCN20_EN0 gcn20.bit._EN0\r
+__IO_EXTERN GCN11STR gcn11;   /* PPG Control 4-7 */\r
+#define GCN11 gcn11.word\r
+#define GCN11_TSEL33 gcn11.bit._TSEL33\r
+#define GCN11_TSEL32 gcn11.bit._TSEL32\r
+#define GCN11_TSEL31 gcn11.bit._TSEL31\r
+#define GCN11_TSEL30 gcn11.bit._TSEL30\r
+#define GCN11_TSEL23 gcn11.bit._TSEL23\r
+#define GCN11_TSEL22 gcn11.bit._TSEL22\r
+#define GCN11_TSEL21 gcn11.bit._TSEL21\r
+#define GCN11_TSEL20 gcn11.bit._TSEL20\r
+#define GCN11_TSEL13 gcn11.bit._TSEL13\r
+#define GCN11_TSEL12 gcn11.bit._TSEL12\r
+#define GCN11_TSEL11 gcn11.bit._TSEL11\r
+#define GCN11_TSEL10 gcn11.bit._TSEL10\r
+#define GCN11_TSEL03 gcn11.bit._TSEL03\r
+#define GCN11_TSEL02 gcn11.bit._TSEL02\r
+#define GCN11_TSEL01 gcn11.bit._TSEL01\r
+#define GCN11_TSEL00 gcn11.bit._TSEL00\r
+__IO_EXTERN GCN21STR gcn21;  \r
+#define GCN21 gcn21.byte\r
+#define GCN21_EN3 gcn21.bit._EN3\r
+#define GCN21_EN2 gcn21.bit._EN2\r
+#define GCN21_EN1 gcn21.bit._EN1\r
+#define GCN21_EN0 gcn21.bit._EN0\r
+__IO_EXTERN GCN12STR gcn12;   /* PPG Control 8-11 */\r
+#define GCN12 gcn12.word\r
+#define GCN12_TSEL33 gcn12.bit._TSEL33\r
+#define GCN12_TSEL32 gcn12.bit._TSEL32\r
+#define GCN12_TSEL31 gcn12.bit._TSEL31\r
+#define GCN12_TSEL30 gcn12.bit._TSEL30\r
+#define GCN12_TSEL23 gcn12.bit._TSEL23\r
+#define GCN12_TSEL22 gcn12.bit._TSEL22\r
+#define GCN12_TSEL21 gcn12.bit._TSEL21\r
+#define GCN12_TSEL20 gcn12.bit._TSEL20\r
+#define GCN12_TSEL13 gcn12.bit._TSEL13\r
+#define GCN12_TSEL12 gcn12.bit._TSEL12\r
+#define GCN12_TSEL11 gcn12.bit._TSEL11\r
+#define GCN12_TSEL10 gcn12.bit._TSEL10\r
+#define GCN12_TSEL03 gcn12.bit._TSEL03\r
+#define GCN12_TSEL02 gcn12.bit._TSEL02\r
+#define GCN12_TSEL01 gcn12.bit._TSEL01\r
+#define GCN12_TSEL00 gcn12.bit._TSEL00\r
+__IO_EXTERN GCN22STR gcn22;  \r
+#define GCN22 gcn22.byte\r
+#define GCN22_EN3 gcn22.bit._EN3\r
+#define GCN22_EN2 gcn22.bit._EN2\r
+#define GCN22_EN1 gcn22.bit._EN1\r
+#define GCN22_EN0 gcn22.bit._EN0\r
+__IO_EXTERN IO_WORD ptmr00;   /* PPG 0 */\r
+#define PTMR00 ptmr00\r
+__IO_EXTERN IO_WORD pcsr00;  \r
+#define PCSR00 pcsr00\r
+__IO_EXTERN IO_WORD pdut00;  \r
+#define PDUT00 pdut00\r
+__IO_EXTERN PCN00STR pcn00;  \r
+#define PCN00 pcn00.word\r
+#define PCN00_CNTE pcn00.bit._CNTE\r
+#define PCN00_STGR pcn00.bit._STGR\r
+#define PCN00_MDSE pcn00.bit._MDSE\r
+#define PCN00_RTRG pcn00.bit._RTRG\r
+#define PCN00_CKS1 pcn00.bit._CKS1\r
+#define PCN00_CKS0 pcn00.bit._CKS0\r
+#define PCN00_PGMS pcn00.bit._PGMS\r
+#define PCN00_EGS1 pcn00.bit._EGS1\r
+#define PCN00_EGS0 pcn00.bit._EGS0\r
+#define PCN00_IREN pcn00.bit._IREN\r
+#define PCN00_IRQF pcn00.bit._IRQF\r
+#define PCN00_IRS1 pcn00.bit._IRS1\r
+#define PCN00_IRS0 pcn00.bit._IRS0\r
+#define PCN00_OSEL pcn00.bit._OSEL\r
+#define PCN00_CKS pcn00.bitc._CKS\r
+#define PCN00_EGS pcn00.bitc._EGS\r
+#define PCN00_IRS pcn00.bitc._IRS\r
+__IO_EXTERN PCNH00STR pcnh00;  \r
+#define PCNH00 pcnh00.byte\r
+#define PCNH00_CNTE pcnh00.bit._CNTE\r
+#define PCNH00_STGR pcnh00.bit._STGR\r
+#define PCNH00_MDSE pcnh00.bit._MDSE\r
+#define PCNH00_RTRG pcnh00.bit._RTRG\r
+#define PCNH00_CKS1 pcnh00.bit._CKS1\r
+#define PCNH00_CKS0 pcnh00.bit._CKS0\r
+#define PCNH00_PGMS pcnh00.bit._PGMS\r
+#define PCNH00_CKS pcnh00.bitc._CKS\r
+__IO_EXTERN PCNL00STR pcnl00;  \r
+#define PCNL00 pcnl00.byte\r
+#define PCNL00_EGS1 pcnl00.bit._EGS1\r
+#define PCNL00_EGS0 pcnl00.bit._EGS0\r
+#define PCNL00_IREN pcnl00.bit._IREN\r
+#define PCNL00_IRQF pcnl00.bit._IRQF\r
+#define PCNL00_IRS1 pcnl00.bit._IRS1\r
+#define PCNL00_IRS0 pcnl00.bit._IRS0\r
+#define PCNL00_OSEL pcnl00.bit._OSEL\r
+#define PCNL00_EGS pcnl00.bitc._EGS\r
+#define PCNL00_IRS pcnl00.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr01;   /* PPG 1 */\r
+#define PTMR01 ptmr01\r
+__IO_EXTERN IO_WORD pcsr01;  \r
+#define PCSR01 pcsr01\r
+__IO_EXTERN IO_WORD pdut01;  \r
+#define PDUT01 pdut01\r
+__IO_EXTERN PCN01STR pcn01;  \r
+#define PCN01 pcn01.word\r
+#define PCN01_CNTE pcn01.bit._CNTE\r
+#define PCN01_STGR pcn01.bit._STGR\r
+#define PCN01_MDSE pcn01.bit._MDSE\r
+#define PCN01_RTRG pcn01.bit._RTRG\r
+#define PCN01_CKS1 pcn01.bit._CKS1\r
+#define PCN01_CKS0 pcn01.bit._CKS0\r
+#define PCN01_PGMS pcn01.bit._PGMS\r
+#define PCN01_EGS1 pcn01.bit._EGS1\r
+#define PCN01_EGS0 pcn01.bit._EGS0\r
+#define PCN01_IREN pcn01.bit._IREN\r
+#define PCN01_IRQF pcn01.bit._IRQF\r
+#define PCN01_IRS1 pcn01.bit._IRS1\r
+#define PCN01_IRS0 pcn01.bit._IRS0\r
+#define PCN01_OSEL pcn01.bit._OSEL\r
+#define PCN01_CKS pcn01.bitc._CKS\r
+#define PCN01_EGS pcn01.bitc._EGS\r
+#define PCN01_IRS pcn01.bitc._IRS\r
+__IO_EXTERN PCNH01STR pcnh01;  \r
+#define PCNH01 pcnh01.byte\r
+#define PCNH01_CNTE pcnh01.bit._CNTE\r
+#define PCNH01_STGR pcnh01.bit._STGR\r
+#define PCNH01_MDSE pcnh01.bit._MDSE\r
+#define PCNH01_RTRG pcnh01.bit._RTRG\r
+#define PCNH01_CKS1 pcnh01.bit._CKS1\r
+#define PCNH01_CKS0 pcnh01.bit._CKS0\r
+#define PCNH01_PGMS pcnh01.bit._PGMS\r
+#define PCNH01_CKS pcnh01.bitc._CKS\r
+__IO_EXTERN PCNL01STR pcnl01;  \r
+#define PCNL01 pcnl01.byte\r
+#define PCNL01_EGS1 pcnl01.bit._EGS1\r
+#define PCNL01_EGS0 pcnl01.bit._EGS0\r
+#define PCNL01_IREN pcnl01.bit._IREN\r
+#define PCNL01_IRQF pcnl01.bit._IRQF\r
+#define PCNL01_IRS1 pcnl01.bit._IRS1\r
+#define PCNL01_IRS0 pcnl01.bit._IRS0\r
+#define PCNL01_OSEL pcnl01.bit._OSEL\r
+#define PCNL01_EGS pcnl01.bitc._EGS\r
+#define PCNL01_IRS pcnl01.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr02;   /* PPG 2 */\r
+#define PTMR02 ptmr02\r
+__IO_EXTERN IO_WORD pcsr02;  \r
+#define PCSR02 pcsr02\r
+__IO_EXTERN IO_WORD pdut02;  \r
+#define PDUT02 pdut02\r
+__IO_EXTERN PCN02STR pcn02;  \r
+#define PCN02 pcn02.word\r
+#define PCN02_CNTE pcn02.bit._CNTE\r
+#define PCN02_STGR pcn02.bit._STGR\r
+#define PCN02_MDSE pcn02.bit._MDSE\r
+#define PCN02_RTRG pcn02.bit._RTRG\r
+#define PCN02_CKS1 pcn02.bit._CKS1\r
+#define PCN02_CKS0 pcn02.bit._CKS0\r
+#define PCN02_PGMS pcn02.bit._PGMS\r
+#define PCN02_EGS1 pcn02.bit._EGS1\r
+#define PCN02_EGS0 pcn02.bit._EGS0\r
+#define PCN02_IREN pcn02.bit._IREN\r
+#define PCN02_IRQF pcn02.bit._IRQF\r
+#define PCN02_IRS1 pcn02.bit._IRS1\r
+#define PCN02_IRS0 pcn02.bit._IRS0\r
+#define PCN02_OSEL pcn02.bit._OSEL\r
+#define PCN02_CKS pcn02.bitc._CKS\r
+#define PCN02_EGS pcn02.bitc._EGS\r
+#define PCN02_IRS pcn02.bitc._IRS\r
+__IO_EXTERN PCNH02STR pcnh02;  \r
+#define PCNH02 pcnh02.byte\r
+#define PCNH02_CNTE pcnh02.bit._CNTE\r
+#define PCNH02_STGR pcnh02.bit._STGR\r
+#define PCNH02_MDSE pcnh02.bit._MDSE\r
+#define PCNH02_RTRG pcnh02.bit._RTRG\r
+#define PCNH02_CKS1 pcnh02.bit._CKS1\r
+#define PCNH02_CKS0 pcnh02.bit._CKS0\r
+#define PCNH02_PGMS pcnh02.bit._PGMS\r
+#define PCNH02_CKS pcnh02.bitc._CKS\r
+__IO_EXTERN PCNL02STR pcnl02;  \r
+#define PCNL02 pcnl02.byte\r
+#define PCNL02_EGS1 pcnl02.bit._EGS1\r
+#define PCNL02_EGS0 pcnl02.bit._EGS0\r
+#define PCNL02_IREN pcnl02.bit._IREN\r
+#define PCNL02_IRQF pcnl02.bit._IRQF\r
+#define PCNL02_IRS1 pcnl02.bit._IRS1\r
+#define PCNL02_IRS0 pcnl02.bit._IRS0\r
+#define PCNL02_OSEL pcnl02.bit._OSEL\r
+#define PCNL02_EGS pcnl02.bitc._EGS\r
+#define PCNL02_IRS pcnl02.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr03;   /* PPG 3 */\r
+#define PTMR03 ptmr03\r
+__IO_EXTERN IO_WORD pcsr03;  \r
+#define PCSR03 pcsr03\r
+__IO_EXTERN IO_WORD pdut03;  \r
+#define PDUT03 pdut03\r
+__IO_EXTERN PCN03STR pcn03;  \r
+#define PCN03 pcn03.word\r
+#define PCN03_CNTE pcn03.bit._CNTE\r
+#define PCN03_STGR pcn03.bit._STGR\r
+#define PCN03_MDSE pcn03.bit._MDSE\r
+#define PCN03_RTRG pcn03.bit._RTRG\r
+#define PCN03_CKS1 pcn03.bit._CKS1\r
+#define PCN03_CKS0 pcn03.bit._CKS0\r
+#define PCN03_PGMS pcn03.bit._PGMS\r
+#define PCN03_EGS1 pcn03.bit._EGS1\r
+#define PCN03_EGS0 pcn03.bit._EGS0\r
+#define PCN03_IREN pcn03.bit._IREN\r
+#define PCN03_IRQF pcn03.bit._IRQF\r
+#define PCN03_IRS1 pcn03.bit._IRS1\r
+#define PCN03_IRS0 pcn03.bit._IRS0\r
+#define PCN03_OSEL pcn03.bit._OSEL\r
+#define PCN03_CKS pcn03.bitc._CKS\r
+#define PCN03_EGS pcn03.bitc._EGS\r
+#define PCN03_IRS pcn03.bitc._IRS\r
+__IO_EXTERN PCNH03STR pcnh03;  \r
+#define PCNH03 pcnh03.byte\r
+#define PCNH03_CNTE pcnh03.bit._CNTE\r
+#define PCNH03_STGR pcnh03.bit._STGR\r
+#define PCNH03_MDSE pcnh03.bit._MDSE\r
+#define PCNH03_RTRG pcnh03.bit._RTRG\r
+#define PCNH03_CKS1 pcnh03.bit._CKS1\r
+#define PCNH03_CKS0 pcnh03.bit._CKS0\r
+#define PCNH03_PGMS pcnh03.bit._PGMS\r
+#define PCNH03_CKS pcnh03.bitc._CKS\r
+__IO_EXTERN PCNL03STR pcnl03;  \r
+#define PCNL03 pcnl03.byte\r
+#define PCNL03_EGS1 pcnl03.bit._EGS1\r
+#define PCNL03_EGS0 pcnl03.bit._EGS0\r
+#define PCNL03_IREN pcnl03.bit._IREN\r
+#define PCNL03_IRQF pcnl03.bit._IRQF\r
+#define PCNL03_IRS1 pcnl03.bit._IRS1\r
+#define PCNL03_IRS0 pcnl03.bit._IRS0\r
+#define PCNL03_OSEL pcnl03.bit._OSEL\r
+#define PCNL03_EGS pcnl03.bitc._EGS\r
+#define PCNL03_IRS pcnl03.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr04;   /* PPG 4 */\r
+#define PTMR04 ptmr04\r
+__IO_EXTERN IO_WORD pcsr04;  \r
+#define PCSR04 pcsr04\r
+__IO_EXTERN IO_WORD pdut04;  \r
+#define PDUT04 pdut04\r
+__IO_EXTERN PCN04STR pcn04;  \r
+#define PCN04 pcn04.word\r
+#define PCN04_CNTE pcn04.bit._CNTE\r
+#define PCN04_STGR pcn04.bit._STGR\r
+#define PCN04_MDSE pcn04.bit._MDSE\r
+#define PCN04_RTRG pcn04.bit._RTRG\r
+#define PCN04_CKS1 pcn04.bit._CKS1\r
+#define PCN04_CKS0 pcn04.bit._CKS0\r
+#define PCN04_PGMS pcn04.bit._PGMS\r
+#define PCN04_EGS1 pcn04.bit._EGS1\r
+#define PCN04_EGS0 pcn04.bit._EGS0\r
+#define PCN04_IREN pcn04.bit._IREN\r
+#define PCN04_IRQF pcn04.bit._IRQF\r
+#define PCN04_IRS1 pcn04.bit._IRS1\r
+#define PCN04_IRS0 pcn04.bit._IRS0\r
+#define PCN04_OSEL pcn04.bit._OSEL\r
+#define PCN04_CKS pcn04.bitc._CKS\r
+#define PCN04_EGS pcn04.bitc._EGS\r
+#define PCN04_IRS pcn04.bitc._IRS\r
+__IO_EXTERN PCNH04STR pcnh04;  \r
+#define PCNH04 pcnh04.byte\r
+#define PCNH04_CNTE pcnh04.bit._CNTE\r
+#define PCNH04_STGR pcnh04.bit._STGR\r
+#define PCNH04_MDSE pcnh04.bit._MDSE\r
+#define PCNH04_RTRG pcnh04.bit._RTRG\r
+#define PCNH04_CKS1 pcnh04.bit._CKS1\r
+#define PCNH04_CKS0 pcnh04.bit._CKS0\r
+#define PCNH04_PGMS pcnh04.bit._PGMS\r
+#define PCNH04_CKS pcnh04.bitc._CKS\r
+__IO_EXTERN PCNL04STR pcnl04;  \r
+#define PCNL04 pcnl04.byte\r
+#define PCNL04_EGS1 pcnl04.bit._EGS1\r
+#define PCNL04_EGS0 pcnl04.bit._EGS0\r
+#define PCNL04_IREN pcnl04.bit._IREN\r
+#define PCNL04_IRQF pcnl04.bit._IRQF\r
+#define PCNL04_IRS1 pcnl04.bit._IRS1\r
+#define PCNL04_IRS0 pcnl04.bit._IRS0\r
+#define PCNL04_OSEL pcnl04.bit._OSEL\r
+#define PCNL04_EGS pcnl04.bitc._EGS\r
+#define PCNL04_IRS pcnl04.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr05;   /* PPG 5 */\r
+#define PTMR05 ptmr05\r
+__IO_EXTERN IO_WORD pcsr05;  \r
+#define PCSR05 pcsr05\r
+__IO_EXTERN IO_WORD pdut05;  \r
+#define PDUT05 pdut05\r
+__IO_EXTERN PCN05STR pcn05;  \r
+#define PCN05 pcn05.word\r
+#define PCN05_CNTE pcn05.bit._CNTE\r
+#define PCN05_STGR pcn05.bit._STGR\r
+#define PCN05_MDSE pcn05.bit._MDSE\r
+#define PCN05_RTRG pcn05.bit._RTRG\r
+#define PCN05_CKS1 pcn05.bit._CKS1\r
+#define PCN05_CKS0 pcn05.bit._CKS0\r
+#define PCN05_PGMS pcn05.bit._PGMS\r
+#define PCN05_EGS1 pcn05.bit._EGS1\r
+#define PCN05_EGS0 pcn05.bit._EGS0\r
+#define PCN05_IREN pcn05.bit._IREN\r
+#define PCN05_IRQF pcn05.bit._IRQF\r
+#define PCN05_IRS1 pcn05.bit._IRS1\r
+#define PCN05_IRS0 pcn05.bit._IRS0\r
+#define PCN05_OSEL pcn05.bit._OSEL\r
+#define PCN05_CKS pcn05.bitc._CKS\r
+#define PCN05_EGS pcn05.bitc._EGS\r
+#define PCN05_IRS pcn05.bitc._IRS\r
+__IO_EXTERN PCNH05STR pcnh05;  \r
+#define PCNH05 pcnh05.byte\r
+#define PCNH05_CNTE pcnh05.bit._CNTE\r
+#define PCNH05_STGR pcnh05.bit._STGR\r
+#define PCNH05_MDSE pcnh05.bit._MDSE\r
+#define PCNH05_RTRG pcnh05.bit._RTRG\r
+#define PCNH05_CKS1 pcnh05.bit._CKS1\r
+#define PCNH05_CKS0 pcnh05.bit._CKS0\r
+#define PCNH05_PGMS pcnh05.bit._PGMS\r
+#define PCNH05_CKS pcnh05.bitc._CKS\r
+__IO_EXTERN PCNL05STR pcnl05;  \r
+#define PCNL05 pcnl05.byte\r
+#define PCNL05_EGS1 pcnl05.bit._EGS1\r
+#define PCNL05_EGS0 pcnl05.bit._EGS0\r
+#define PCNL05_IREN pcnl05.bit._IREN\r
+#define PCNL05_IRQF pcnl05.bit._IRQF\r
+#define PCNL05_IRS1 pcnl05.bit._IRS1\r
+#define PCNL05_IRS0 pcnl05.bit._IRS0\r
+#define PCNL05_OSEL pcnl05.bit._OSEL\r
+#define PCNL05_EGS pcnl05.bitc._EGS\r
+#define PCNL05_IRS pcnl05.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr06;   /* PPG 6 */\r
+#define PTMR06 ptmr06\r
+__IO_EXTERN IO_WORD pcsr06;  \r
+#define PCSR06 pcsr06\r
+__IO_EXTERN IO_WORD pdut06;  \r
+#define PDUT06 pdut06\r
+__IO_EXTERN PCN06STR pcn06;  \r
+#define PCN06 pcn06.word\r
+#define PCN06_CNTE pcn06.bit._CNTE\r
+#define PCN06_STGR pcn06.bit._STGR\r
+#define PCN06_MDSE pcn06.bit._MDSE\r
+#define PCN06_RTRG pcn06.bit._RTRG\r
+#define PCN06_CKS1 pcn06.bit._CKS1\r
+#define PCN06_CKS0 pcn06.bit._CKS0\r
+#define PCN06_PGMS pcn06.bit._PGMS\r
+#define PCN06_EGS1 pcn06.bit._EGS1\r
+#define PCN06_EGS0 pcn06.bit._EGS0\r
+#define PCN06_IREN pcn06.bit._IREN\r
+#define PCN06_IRQF pcn06.bit._IRQF\r
+#define PCN06_IRS1 pcn06.bit._IRS1\r
+#define PCN06_IRS0 pcn06.bit._IRS0\r
+#define PCN06_OSEL pcn06.bit._OSEL\r
+#define PCN06_CKS pcn06.bitc._CKS\r
+#define PCN06_EGS pcn06.bitc._EGS\r
+#define PCN06_IRS pcn06.bitc._IRS\r
+__IO_EXTERN PCNH06STR pcnh06;  \r
+#define PCNH06 pcnh06.byte\r
+#define PCNH06_CNTE pcnh06.bit._CNTE\r
+#define PCNH06_STGR pcnh06.bit._STGR\r
+#define PCNH06_MDSE pcnh06.bit._MDSE\r
+#define PCNH06_RTRG pcnh06.bit._RTRG\r
+#define PCNH06_CKS1 pcnh06.bit._CKS1\r
+#define PCNH06_CKS0 pcnh06.bit._CKS0\r
+#define PCNH06_PGMS pcnh06.bit._PGMS\r
+#define PCNH06_CKS pcnh06.bitc._CKS\r
+__IO_EXTERN PCNL06STR pcnl06;  \r
+#define PCNL06 pcnl06.byte\r
+#define PCNL06_EGS1 pcnl06.bit._EGS1\r
+#define PCNL06_EGS0 pcnl06.bit._EGS0\r
+#define PCNL06_IREN pcnl06.bit._IREN\r
+#define PCNL06_IRQF pcnl06.bit._IRQF\r
+#define PCNL06_IRS1 pcnl06.bit._IRS1\r
+#define PCNL06_IRS0 pcnl06.bit._IRS0\r
+#define PCNL06_OSEL pcnl06.bit._OSEL\r
+#define PCNL06_EGS pcnl06.bitc._EGS\r
+#define PCNL06_IRS pcnl06.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr07;   /* PPG 7 */\r
+#define PTMR07 ptmr07\r
+__IO_EXTERN IO_WORD pcsr07;  \r
+#define PCSR07 pcsr07\r
+__IO_EXTERN IO_WORD pdut07;  \r
+#define PDUT07 pdut07\r
+__IO_EXTERN PCN07STR pcn07;  \r
+#define PCN07 pcn07.word\r
+#define PCN07_CNTE pcn07.bit._CNTE\r
+#define PCN07_STGR pcn07.bit._STGR\r
+#define PCN07_MDSE pcn07.bit._MDSE\r
+#define PCN07_RTRG pcn07.bit._RTRG\r
+#define PCN07_CKS1 pcn07.bit._CKS1\r
+#define PCN07_CKS0 pcn07.bit._CKS0\r
+#define PCN07_PGMS pcn07.bit._PGMS\r
+#define PCN07_EGS1 pcn07.bit._EGS1\r
+#define PCN07_EGS0 pcn07.bit._EGS0\r
+#define PCN07_IREN pcn07.bit._IREN\r
+#define PCN07_IRQF pcn07.bit._IRQF\r
+#define PCN07_IRS1 pcn07.bit._IRS1\r
+#define PCN07_IRS0 pcn07.bit._IRS0\r
+#define PCN07_OSEL pcn07.bit._OSEL\r
+#define PCN07_CKS pcn07.bitc._CKS\r
+#define PCN07_EGS pcn07.bitc._EGS\r
+#define PCN07_IRS pcn07.bitc._IRS\r
+__IO_EXTERN PCNH07STR pcnh07;  \r
+#define PCNH07 pcnh07.byte\r
+#define PCNH07_CNTE pcnh07.bit._CNTE\r
+#define PCNH07_STGR pcnh07.bit._STGR\r
+#define PCNH07_MDSE pcnh07.bit._MDSE\r
+#define PCNH07_RTRG pcnh07.bit._RTRG\r
+#define PCNH07_CKS1 pcnh07.bit._CKS1\r
+#define PCNH07_CKS0 pcnh07.bit._CKS0\r
+#define PCNH07_PGMS pcnh07.bit._PGMS\r
+#define PCNH07_CKS pcnh07.bitc._CKS\r
+__IO_EXTERN PCNL07STR pcnl07;  \r
+#define PCNL07 pcnl07.byte\r
+#define PCNL07_EGS1 pcnl07.bit._EGS1\r
+#define PCNL07_EGS0 pcnl07.bit._EGS0\r
+#define PCNL07_IREN pcnl07.bit._IREN\r
+#define PCNL07_IRQF pcnl07.bit._IRQF\r
+#define PCNL07_IRS1 pcnl07.bit._IRS1\r
+#define PCNL07_IRS0 pcnl07.bit._IRS0\r
+#define PCNL07_OSEL pcnl07.bit._OSEL\r
+#define PCNL07_EGS pcnl07.bitc._EGS\r
+#define PCNL07_IRS pcnl07.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr08;   /* PPG 8 */\r
+#define PTMR08 ptmr08\r
+__IO_EXTERN IO_WORD pcsr08;  \r
+#define PCSR08 pcsr08\r
+__IO_EXTERN IO_WORD pdut08;  \r
+#define PDUT08 pdut08\r
+__IO_EXTERN PCN08STR pcn08;  \r
+#define PCN08 pcn08.word\r
+#define PCN08_CNTE pcn08.bit._CNTE\r
+#define PCN08_STGR pcn08.bit._STGR\r
+#define PCN08_MDSE pcn08.bit._MDSE\r
+#define PCN08_RTRG pcn08.bit._RTRG\r
+#define PCN08_CKS1 pcn08.bit._CKS1\r
+#define PCN08_CKS0 pcn08.bit._CKS0\r
+#define PCN08_PGMS pcn08.bit._PGMS\r
+#define PCN08_EGS1 pcn08.bit._EGS1\r
+#define PCN08_EGS0 pcn08.bit._EGS0\r
+#define PCN08_IREN pcn08.bit._IREN\r
+#define PCN08_IRQF pcn08.bit._IRQF\r
+#define PCN08_IRS1 pcn08.bit._IRS1\r
+#define PCN08_IRS0 pcn08.bit._IRS0\r
+#define PCN08_OSEL pcn08.bit._OSEL\r
+#define PCN08_CKS pcn08.bitc._CKS\r
+#define PCN08_EGS pcn08.bitc._EGS\r
+#define PCN08_IRS pcn08.bitc._IRS\r
+__IO_EXTERN PCNH08STR pcnh08;  \r
+#define PCNH08 pcnh08.byte\r
+#define PCNH08_CNTE pcnh08.bit._CNTE\r
+#define PCNH08_STGR pcnh08.bit._STGR\r
+#define PCNH08_MDSE pcnh08.bit._MDSE\r
+#define PCNH08_RTRG pcnh08.bit._RTRG\r
+#define PCNH08_CKS1 pcnh08.bit._CKS1\r
+#define PCNH08_CKS0 pcnh08.bit._CKS0\r
+#define PCNH08_PGMS pcnh08.bit._PGMS\r
+#define PCNH08_CKS pcnh08.bitc._CKS\r
+__IO_EXTERN PCNL08STR pcnl08;  \r
+#define PCNL08 pcnl08.byte\r
+#define PCNL08_EGS1 pcnl08.bit._EGS1\r
+#define PCNL08_EGS0 pcnl08.bit._EGS0\r
+#define PCNL08_IREN pcnl08.bit._IREN\r
+#define PCNL08_IRQF pcnl08.bit._IRQF\r
+#define PCNL08_IRS1 pcnl08.bit._IRS1\r
+#define PCNL08_IRS0 pcnl08.bit._IRS0\r
+#define PCNL08_OSEL pcnl08.bit._OSEL\r
+#define PCNL08_EGS pcnl08.bitc._EGS\r
+#define PCNL08_IRS pcnl08.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr09;   /* PPG 9 */\r
+#define PTMR09 ptmr09\r
+__IO_EXTERN IO_WORD pcsr09;  \r
+#define PCSR09 pcsr09\r
+__IO_EXTERN IO_WORD pdut09;  \r
+#define PDUT09 pdut09\r
+__IO_EXTERN PCN09STR pcn09;  \r
+#define PCN09 pcn09.word\r
+#define PCN09_CNTE pcn09.bit._CNTE\r
+#define PCN09_STGR pcn09.bit._STGR\r
+#define PCN09_MDSE pcn09.bit._MDSE\r
+#define PCN09_RTRG pcn09.bit._RTRG\r
+#define PCN09_CKS1 pcn09.bit._CKS1\r
+#define PCN09_CKS0 pcn09.bit._CKS0\r
+#define PCN09_PGMS pcn09.bit._PGMS\r
+#define PCN09_EGS1 pcn09.bit._EGS1\r
+#define PCN09_EGS0 pcn09.bit._EGS0\r
+#define PCN09_IREN pcn09.bit._IREN\r
+#define PCN09_IRQF pcn09.bit._IRQF\r
+#define PCN09_IRS1 pcn09.bit._IRS1\r
+#define PCN09_IRS0 pcn09.bit._IRS0\r
+#define PCN09_OSEL pcn09.bit._OSEL\r
+#define PCN09_CKS pcn09.bitc._CKS\r
+#define PCN09_EGS pcn09.bitc._EGS\r
+#define PCN09_IRS pcn09.bitc._IRS\r
+__IO_EXTERN PCNH09STR pcnh09;  \r
+#define PCNH09 pcnh09.byte\r
+#define PCNH09_CNTE pcnh09.bit._CNTE\r
+#define PCNH09_STGR pcnh09.bit._STGR\r
+#define PCNH09_MDSE pcnh09.bit._MDSE\r
+#define PCNH09_RTRG pcnh09.bit._RTRG\r
+#define PCNH09_CKS1 pcnh09.bit._CKS1\r
+#define PCNH09_CKS0 pcnh09.bit._CKS0\r
+#define PCNH09_PGMS pcnh09.bit._PGMS\r
+#define PCNH09_CKS pcnh09.bitc._CKS\r
+__IO_EXTERN PCNL09STR pcnl09;  \r
+#define PCNL09 pcnl09.byte\r
+#define PCNL09_EGS1 pcnl09.bit._EGS1\r
+#define PCNL09_EGS0 pcnl09.bit._EGS0\r
+#define PCNL09_IREN pcnl09.bit._IREN\r
+#define PCNL09_IRQF pcnl09.bit._IRQF\r
+#define PCNL09_IRS1 pcnl09.bit._IRS1\r
+#define PCNL09_IRS0 pcnl09.bit._IRS0\r
+#define PCNL09_OSEL pcnl09.bit._OSEL\r
+#define PCNL09_EGS pcnl09.bitc._EGS\r
+#define PCNL09_IRS pcnl09.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr10;   /* PPG 10 */\r
+#define PTMR10 ptmr10\r
+__IO_EXTERN IO_WORD pcsr10;  \r
+#define PCSR10 pcsr10\r
+__IO_EXTERN IO_WORD pdut10;  \r
+#define PDUT10 pdut10\r
+__IO_EXTERN PCN10STR pcn10;  \r
+#define PCN10 pcn10.word\r
+#define PCN10_CNTE pcn10.bit._CNTE\r
+#define PCN10_STGR pcn10.bit._STGR\r
+#define PCN10_MDSE pcn10.bit._MDSE\r
+#define PCN10_RTRG pcn10.bit._RTRG\r
+#define PCN10_CKS1 pcn10.bit._CKS1\r
+#define PCN10_CKS0 pcn10.bit._CKS0\r
+#define PCN10_PGMS pcn10.bit._PGMS\r
+#define PCN10_EGS1 pcn10.bit._EGS1\r
+#define PCN10_EGS0 pcn10.bit._EGS0\r
+#define PCN10_IREN pcn10.bit._IREN\r
+#define PCN10_IRQF pcn10.bit._IRQF\r
+#define PCN10_IRS1 pcn10.bit._IRS1\r
+#define PCN10_IRS0 pcn10.bit._IRS0\r
+#define PCN10_OSEL pcn10.bit._OSEL\r
+#define PCN10_CKS pcn10.bitc._CKS\r
+#define PCN10_EGS pcn10.bitc._EGS\r
+#define PCN10_IRS pcn10.bitc._IRS\r
+__IO_EXTERN PCNH10STR pcnh10;  \r
+#define PCNH10 pcnh10.byte\r
+#define PCNH10_CNTE pcnh10.bit._CNTE\r
+#define PCNH10_STGR pcnh10.bit._STGR\r
+#define PCNH10_MDSE pcnh10.bit._MDSE\r
+#define PCNH10_RTRG pcnh10.bit._RTRG\r
+#define PCNH10_CKS1 pcnh10.bit._CKS1\r
+#define PCNH10_CKS0 pcnh10.bit._CKS0\r
+#define PCNH10_PGMS pcnh10.bit._PGMS\r
+#define PCNH10_CKS pcnh10.bitc._CKS\r
+__IO_EXTERN PCNL10STR pcnl10;  \r
+#define PCNL10 pcnl10.byte\r
+#define PCNL10_EGS1 pcnl10.bit._EGS1\r
+#define PCNL10_EGS0 pcnl10.bit._EGS0\r
+#define PCNL10_IREN pcnl10.bit._IREN\r
+#define PCNL10_IRQF pcnl10.bit._IRQF\r
+#define PCNL10_IRS1 pcnl10.bit._IRS1\r
+#define PCNL10_IRS0 pcnl10.bit._IRS0\r
+#define PCNL10_OSEL pcnl10.bit._OSEL\r
+#define PCNL10_EGS pcnl10.bitc._EGS\r
+#define PCNL10_IRS pcnl10.bitc._IRS\r
+__IO_EXTERN IO_WORD ptmr11;   /* PPG 11 */\r
+#define PTMR11 ptmr11\r
+__IO_EXTERN IO_WORD pcsr11;  \r
+#define PCSR11 pcsr11\r
+__IO_EXTERN IO_WORD pdut11;  \r
+#define PDUT11 pdut11\r
+__IO_EXTERN PCN11STR pcn11;  \r
+#define PCN11 pcn11.word\r
+#define PCN11_CNTE pcn11.bit._CNTE\r
+#define PCN11_STGR pcn11.bit._STGR\r
+#define PCN11_MDSE pcn11.bit._MDSE\r
+#define PCN11_RTRG pcn11.bit._RTRG\r
+#define PCN11_CKS1 pcn11.bit._CKS1\r
+#define PCN11_CKS0 pcn11.bit._CKS0\r
+#define PCN11_PGMS pcn11.bit._PGMS\r
+#define PCN11_EGS1 pcn11.bit._EGS1\r
+#define PCN11_EGS0 pcn11.bit._EGS0\r
+#define PCN11_IREN pcn11.bit._IREN\r
+#define PCN11_IRQF pcn11.bit._IRQF\r
+#define PCN11_IRS1 pcn11.bit._IRS1\r
+#define PCN11_IRS0 pcn11.bit._IRS0\r
+#define PCN11_OSEL pcn11.bit._OSEL\r
+#define PCN11_CKS pcn11.bitc._CKS\r
+#define PCN11_EGS pcn11.bitc._EGS\r
+#define PCN11_IRS pcn11.bitc._IRS\r
+__IO_EXTERN PCNH11STR pcnh11;  \r
+#define PCNH11 pcnh11.byte\r
+#define PCNH11_CNTE pcnh11.bit._CNTE\r
+#define PCNH11_STGR pcnh11.bit._STGR\r
+#define PCNH11_MDSE pcnh11.bit._MDSE\r
+#define PCNH11_RTRG pcnh11.bit._RTRG\r
+#define PCNH11_CKS1 pcnh11.bit._CKS1\r
+#define PCNH11_CKS0 pcnh11.bit._CKS0\r
+#define PCNH11_PGMS pcnh11.bit._PGMS\r
+#define PCNH11_CKS pcnh11.bitc._CKS\r
+__IO_EXTERN PCNL11STR pcnl11;  \r
+#define PCNL11 pcnl11.byte\r
+#define PCNL11_EGS1 pcnl11.bit._EGS1\r
+#define PCNL11_EGS0 pcnl11.bit._EGS0\r
+#define PCNL11_IREN pcnl11.bit._IREN\r
+#define PCNL11_IRQF pcnl11.bit._IRQF\r
+#define PCNL11_IRS1 pcnl11.bit._IRS1\r
+#define PCNL11_IRS0 pcnl11.bit._IRS0\r
+#define PCNL11_OSEL pcnl11.bit._OSEL\r
+#define PCNL11_EGS pcnl11.bitc._EGS\r
+#define PCNL11_IRS pcnl11.bitc._IRS\r
+__IO_EXTERN ICS01STR ics01;   /* Input Capture 0-3 */\r
+#define ICS01 ics01.byte\r
+#define ICS01_ICP1 ics01.bit._ICP1\r
+#define ICS01_ICP0 ics01.bit._ICP0\r
+#define ICS01_ICE1 ics01.bit._ICE1\r
+#define ICS01_ICE0 ics01.bit._ICE0\r
+#define ICS01_EG11 ics01.bit._EG11\r
+#define ICS01_EG10 ics01.bit._EG10\r
+#define ICS01_EG01 ics01.bit._EG01\r
+#define ICS01_EG00 ics01.bit._EG00\r
+#define ICS01_EG1 ics01.bitc._EG1\r
+#define ICS01_EG0 ics01.bitc._EG0\r
+__IO_EXTERN ICS23STR ics23;  \r
+#define ICS23 ics23.byte\r
+#define ICS23_ICP3 ics23.bit._ICP3\r
+#define ICS23_ICP2 ics23.bit._ICP2\r
+#define ICS23_ICE3 ics23.bit._ICE3\r
+#define ICS23_ICE2 ics23.bit._ICE2\r
+#define ICS23_EG31 ics23.bit._EG31\r
+#define ICS23_EG30 ics23.bit._EG30\r
+#define ICS23_EG21 ics23.bit._EG21\r
+#define ICS23_EG20 ics23.bit._EG20\r
+#define ICS23_EG3 ics23.bitc._EG3\r
+#define ICS23_EG2 ics23.bitc._EG2\r
+__IO_EXTERN IPCP0STR ipcp0;  \r
+#define IPCP0 ipcp0.word\r
+#define IPCP0_CP15 ipcp0.bit._CP15\r
+#define IPCP0_CP14 ipcp0.bit._CP14\r
+#define IPCP0_CP13 ipcp0.bit._CP13\r
+#define IPCP0_CP12 ipcp0.bit._CP12\r
+#define IPCP0_CP11 ipcp0.bit._CP11\r
+#define IPCP0_CP10 ipcp0.bit._CP10\r
+#define IPCP0_CP9 ipcp0.bit._CP9\r
+#define IPCP0_CP8 ipcp0.bit._CP8\r
+#define IPCP0_CP7 ipcp0.bit._CP7\r
+#define IPCP0_CP6 ipcp0.bit._CP6\r
+#define IPCP0_CP5 ipcp0.bit._CP5\r
+#define IPCP0_CP4 ipcp0.bit._CP4\r
+#define IPCP0_CP3 ipcp0.bit._CP3\r
+#define IPCP0_CP2 ipcp0.bit._CP2\r
+#define IPCP0_CP1 ipcp0.bit._CP1\r
+#define IPCP0_CP0 ipcp0.bit._CP0\r
+__IO_EXTERN IPCP1STR ipcp1;  \r
+#define IPCP1 ipcp1.word\r
+#define IPCP1_CP15 ipcp1.bit._CP15\r
+#define IPCP1_CP14 ipcp1.bit._CP14\r
+#define IPCP1_CP13 ipcp1.bit._CP13\r
+#define IPCP1_CP12 ipcp1.bit._CP12\r
+#define IPCP1_CP11 ipcp1.bit._CP11\r
+#define IPCP1_CP10 ipcp1.bit._CP10\r
+#define IPCP1_CP9 ipcp1.bit._CP9\r
+#define IPCP1_CP8 ipcp1.bit._CP8\r
+#define IPCP1_CP7 ipcp1.bit._CP7\r
+#define IPCP1_CP6 ipcp1.bit._CP6\r
+#define IPCP1_CP5 ipcp1.bit._CP5\r
+#define IPCP1_CP4 ipcp1.bit._CP4\r
+#define IPCP1_CP3 ipcp1.bit._CP3\r
+#define IPCP1_CP2 ipcp1.bit._CP2\r
+#define IPCP1_CP1 ipcp1.bit._CP1\r
+#define IPCP1_CP0 ipcp1.bit._CP0\r
+__IO_EXTERN IPCP2STR ipcp2;  \r
+#define IPCP2 ipcp2.word\r
+#define IPCP2_CP15 ipcp2.bit._CP15\r
+#define IPCP2_CP14 ipcp2.bit._CP14\r
+#define IPCP2_CP13 ipcp2.bit._CP13\r
+#define IPCP2_CP12 ipcp2.bit._CP12\r
+#define IPCP2_CP11 ipcp2.bit._CP11\r
+#define IPCP2_CP10 ipcp2.bit._CP10\r
+#define IPCP2_CP9 ipcp2.bit._CP9\r
+#define IPCP2_CP8 ipcp2.bit._CP8\r
+#define IPCP2_CP7 ipcp2.bit._CP7\r
+#define IPCP2_CP6 ipcp2.bit._CP6\r
+#define IPCP2_CP5 ipcp2.bit._CP5\r
+#define IPCP2_CP4 ipcp2.bit._CP4\r
+#define IPCP2_CP3 ipcp2.bit._CP3\r
+#define IPCP2_CP2 ipcp2.bit._CP2\r
+#define IPCP2_CP1 ipcp2.bit._CP1\r
+#define IPCP2_CP0 ipcp2.bit._CP0\r
+__IO_EXTERN IPCP3STR ipcp3;  \r
+#define IPCP3 ipcp3.word\r
+#define IPCP3_CP15 ipcp3.bit._CP15\r
+#define IPCP3_CP14 ipcp3.bit._CP14\r
+#define IPCP3_CP13 ipcp3.bit._CP13\r
+#define IPCP3_CP12 ipcp3.bit._CP12\r
+#define IPCP3_CP11 ipcp3.bit._CP11\r
+#define IPCP3_CP10 ipcp3.bit._CP10\r
+#define IPCP3_CP9 ipcp3.bit._CP9\r
+#define IPCP3_CP8 ipcp3.bit._CP8\r
+#define IPCP3_CP7 ipcp3.bit._CP7\r
+#define IPCP3_CP6 ipcp3.bit._CP6\r
+#define IPCP3_CP5 ipcp3.bit._CP5\r
+#define IPCP3_CP4 ipcp3.bit._CP4\r
+#define IPCP3_CP3 ipcp3.bit._CP3\r
+#define IPCP3_CP2 ipcp3.bit._CP2\r
+#define IPCP3_CP1 ipcp3.bit._CP1\r
+#define IPCP3_CP0 ipcp3.bit._CP0\r
+__IO_EXTERN OCS01STR ocs01;   /* Output Compare 0-3 */\r
+#define OCS01 ocs01.word\r
+#define OCS01_CMOD ocs01.bit._CMOD\r
+#define OCS01_OTD1 ocs01.bit._OTD1\r
+#define OCS01_OTD0 ocs01.bit._OTD0\r
+#define OCS01_ICP1 ocs01.bit._ICP1\r
+#define OCS01_ICP0 ocs01.bit._ICP0\r
+#define OCS01_ICE1 ocs01.bit._ICE1\r
+#define OCS01_ICE0 ocs01.bit._ICE0\r
+#define OCS01_CST1 ocs01.bit._CST1\r
+#define OCS01_CST0 ocs01.bit._CST0\r
+__IO_EXTERN OCS23STR ocs23;  \r
+#define OCS23 ocs23.word\r
+#define OCS23_CMOD ocs23.bit._CMOD\r
+#define OCS23_OTD3 ocs23.bit._OTD3\r
+#define OCS23_OTD2 ocs23.bit._OTD2\r
+#define OCS23_ICP3 ocs23.bit._ICP3\r
+#define OCS23_ICP2 ocs23.bit._ICP2\r
+#define OCS23_ICE3 ocs23.bit._ICE3\r
+#define OCS23_ICE2 ocs23.bit._ICE2\r
+#define OCS23_CST3 ocs23.bit._CST3\r
+#define OCS23_CST2 ocs23.bit._CST2\r
+__IO_EXTERN OCCP0STR occp0;  \r
+#define OCCP0 occp0.word\r
+#define OCCP0_C15 occp0.bit._C15\r
+#define OCCP0_C14 occp0.bit._C14\r
+#define OCCP0_C13 occp0.bit._C13\r
+#define OCCP0_C12 occp0.bit._C12\r
+#define OCCP0_C11 occp0.bit._C11\r
+#define OCCP0_C10 occp0.bit._C10\r
+#define OCCP0_C9 occp0.bit._C9\r
+#define OCCP0_C8 occp0.bit._C8\r
+#define OCCP0_C7 occp0.bit._C7\r
+#define OCCP0_C6 occp0.bit._C6\r
+#define OCCP0_C5 occp0.bit._C5\r
+#define OCCP0_C4 occp0.bit._C4\r
+#define OCCP0_C3 occp0.bit._C3\r
+#define OCCP0_C2 occp0.bit._C2\r
+#define OCCP0_C1 occp0.bit._C1\r
+#define OCCP0_C0 occp0.bit._C0\r
+__IO_EXTERN OCCP1STR occp1;  \r
+#define OCCP1 occp1.word\r
+#define OCCP1_C15 occp1.bit._C15\r
+#define OCCP1_C14 occp1.bit._C14\r
+#define OCCP1_C13 occp1.bit._C13\r
+#define OCCP1_C12 occp1.bit._C12\r
+#define OCCP1_C11 occp1.bit._C11\r
+#define OCCP1_C10 occp1.bit._C10\r
+#define OCCP1_C9 occp1.bit._C9\r
+#define OCCP1_C8 occp1.bit._C8\r
+#define OCCP1_C7 occp1.bit._C7\r
+#define OCCP1_C6 occp1.bit._C6\r
+#define OCCP1_C5 occp1.bit._C5\r
+#define OCCP1_C4 occp1.bit._C4\r
+#define OCCP1_C3 occp1.bit._C3\r
+#define OCCP1_C2 occp1.bit._C2\r
+#define OCCP1_C1 occp1.bit._C1\r
+#define OCCP1_C0 occp1.bit._C0\r
+__IO_EXTERN OCCP2STR occp2;  \r
+#define OCCP2 occp2.word\r
+#define OCCP2_C15 occp2.bit._C15\r
+#define OCCP2_C14 occp2.bit._C14\r
+#define OCCP2_C13 occp2.bit._C13\r
+#define OCCP2_C12 occp2.bit._C12\r
+#define OCCP2_C11 occp2.bit._C11\r
+#define OCCP2_C10 occp2.bit._C10\r
+#define OCCP2_C9 occp2.bit._C9\r
+#define OCCP2_C8 occp2.bit._C8\r
+#define OCCP2_C7 occp2.bit._C7\r
+#define OCCP2_C6 occp2.bit._C6\r
+#define OCCP2_C5 occp2.bit._C5\r
+#define OCCP2_C4 occp2.bit._C4\r
+#define OCCP2_C3 occp2.bit._C3\r
+#define OCCP2_C2 occp2.bit._C2\r
+#define OCCP2_C1 occp2.bit._C1\r
+#define OCCP2_C0 occp2.bit._C0\r
+__IO_EXTERN OCCP3STR occp3;  \r
+#define OCCP3 occp3.word\r
+#define OCCP3_C15 occp3.bit._C15\r
+#define OCCP3_C14 occp3.bit._C14\r
+#define OCCP3_C13 occp3.bit._C13\r
+#define OCCP3_C12 occp3.bit._C12\r
+#define OCCP3_C11 occp3.bit._C11\r
+#define OCCP3_C10 occp3.bit._C10\r
+#define OCCP3_C9 occp3.bit._C9\r
+#define OCCP3_C8 occp3.bit._C8\r
+#define OCCP3_C7 occp3.bit._C7\r
+#define OCCP3_C6 occp3.bit._C6\r
+#define OCCP3_C5 occp3.bit._C5\r
+#define OCCP3_C4 occp3.bit._C4\r
+#define OCCP3_C3 occp3.bit._C3\r
+#define OCCP3_C2 occp3.bit._C2\r
+#define OCCP3_C1 occp3.bit._C1\r
+#define OCCP3_C0 occp3.bit._C0\r
+__IO_EXTERN ADERHSTR aderh;   /* ADC */\r
+#define ADERH aderh.word\r
+#define ADERH_ADE31 aderh.bit._ADE31\r
+#define ADERH_ADE30 aderh.bit._ADE30\r
+#define ADERH_ADE29 aderh.bit._ADE29\r
+#define ADERH_ADE28 aderh.bit._ADE28\r
+#define ADERH_ADE27 aderh.bit._ADE27\r
+#define ADERH_ADE26 aderh.bit._ADE26\r
+#define ADERH_ADE25 aderh.bit._ADE25\r
+#define ADERH_ADE24 aderh.bit._ADE24\r
+#define ADERH_ADE23 aderh.bit._ADE23\r
+#define ADERH_ADE22 aderh.bit._ADE22\r
+#define ADERH_ADE21 aderh.bit._ADE21\r
+#define ADERH_ADE20 aderh.bit._ADE20\r
+#define ADERH_ADE19 aderh.bit._ADE19\r
+#define ADERH_ADE18 aderh.bit._ADE18\r
+#define ADERH_ADE17 aderh.bit._ADE17\r
+#define ADERH_ADE16 aderh.bit._ADE16\r
+__IO_EXTERN ADERLSTR aderl;  \r
+#define ADERL aderl.word\r
+#define ADERL_ADE15 aderl.bit._ADE15\r
+#define ADERL_ADE14 aderl.bit._ADE14\r
+#define ADERL_ADE13 aderl.bit._ADE13\r
+#define ADERL_ADE12 aderl.bit._ADE12\r
+#define ADERL_ADE11 aderl.bit._ADE11\r
+#define ADERL_ADE10 aderl.bit._ADE10\r
+#define ADERL_ADE9 aderl.bit._ADE9\r
+#define ADERL_ADE8 aderl.bit._ADE8\r
+#define ADERL_ADE7 aderl.bit._ADE7\r
+#define ADERL_ADE6 aderl.bit._ADE6\r
+#define ADERL_ADE5 aderl.bit._ADE5\r
+#define ADERL_ADE4 aderl.bit._ADE4\r
+#define ADERL_ADE3 aderl.bit._ADE3\r
+#define ADERL_ADE2 aderl.bit._ADE2\r
+#define ADERL_ADE1 aderl.bit._ADE1\r
+#define ADERL_ADE0 aderl.bit._ADE0\r
+__IO_EXTERN IO_LWORD ader;  \r
+#define ADER ader\r
+__IO_EXTERN ADCS1STR adcs1;  \r
+#define ADCS1 adcs1.byte\r
+#define ADCS1_BUSY adcs1.bit._BUSY\r
+#define ADCS1_INT adcs1.bit._INT\r
+#define ADCS1_INTE adcs1.bit._INTE\r
+#define ADCS1_PAUS adcs1.bit._PAUS\r
+#define ADCS1_STS1 adcs1.bit._STS1\r
+#define ADCS1_STS0 adcs1.bit._STS0\r
+#define ADCS1_STRT adcs1.bit._STRT\r
+#define ADCS1_STS adcs1.bitc._STS\r
+__IO_EXTERN ADCS0STR adcs0;  \r
+#define ADCS0 adcs0.byte\r
+#define ADCS0_MD1 adcs0.bit._MD1\r
+#define ADCS0_MD0 adcs0.bit._MD0\r
+#define ADCS0_S10 adcs0.bit._S10\r
+#define ADCS0_ACH4 adcs0.bit._ACH4\r
+#define ADCS0_ACH3 adcs0.bit._ACH3\r
+#define ADCS0_ACH2 adcs0.bit._ACH2\r
+#define ADCS0_ACH1 adcs0.bit._ACH1\r
+#define ADCS0_ACH0 adcs0.bit._ACH0\r
+#define ADCS0_MD adcs0.bitc._MD\r
+#define ADCS0_ACH adcs0.bitc._ACH\r
+__IO_EXTERN IO_WORD adcs;  \r
+#define ADCS adcs\r
+__IO_EXTERN ADCR1STR adcr1;  \r
+#define ADCR1 adcr1.byte\r
+#define ADCR1_D9 adcr1.bit._D9\r
+#define ADCR1_D8 adcr1.bit._D8\r
+__IO_EXTERN ADCR0STR adcr0;  \r
+#define ADCR0 adcr0.byte\r
+#define ADCR0_D7 adcr0.bit._D7\r
+#define ADCR0_D6 adcr0.bit._D6\r
+#define ADCR0_D5 adcr0.bit._D5\r
+#define ADCR0_D4 adcr0.bit._D4\r
+#define ADCR0_D3 adcr0.bit._D3\r
+#define ADCR0_D2 adcr0.bit._D2\r
+#define ADCR0_D1 adcr0.bit._D1\r
+#define ADCR0_D0 adcr0.bit._D0\r
+__IO_EXTERN IO_WORD adcr;  \r
+#define ADCR adcr\r
+__IO_EXTERN ADCT1STR adct1;  \r
+#define ADCT1 adct1.byte\r
+#define ADCT1_CT5 adct1.bit._CT5\r
+#define ADCT1_CT4 adct1.bit._CT4\r
+#define ADCT1_CT3 adct1.bit._CT3\r
+#define ADCT1_CT2 adct1.bit._CT2\r
+#define ADCT1_CT1 adct1.bit._CT1\r
+#define ADCT1_CT0 adct1.bit._CT0\r
+#define ADCT1_ST9 adct1.bit._ST9\r
+#define ADCT1_ST8 adct1.bit._ST8\r
+__IO_EXTERN ADCT0STR adct0;  \r
+#define ADCT0 adct0.byte\r
+#define ADCT0_ST7 adct0.bit._ST7\r
+#define ADCT0_ST6 adct0.bit._ST6\r
+#define ADCT0_ST5 adct0.bit._ST5\r
+#define ADCT0_ST4 adct0.bit._ST4\r
+#define ADCT0_ST3 adct0.bit._ST3\r
+#define ADCT0_ST2 adct0.bit._ST2\r
+#define ADCT0_ST1 adct0.bit._ST1\r
+#define ADCT0_ST0 adct0.bit._ST0\r
+__IO_EXTERN IO_WORD adct;  \r
+#define ADCT adct\r
+__IO_EXTERN ADSCHSTR adsch;  \r
+#define ADSCH adsch.byte\r
+#define ADSCH_ANS4 adsch.bit._ANS4\r
+#define ADSCH_ANS3 adsch.bit._ANS3\r
+#define ADSCH_ANS2 adsch.bit._ANS2\r
+#define ADSCH_ANS1 adsch.bit._ANS1\r
+#define ADSCH_ASN0 adsch.bit._ASN0\r
+#define ADSCH_ANS adsch.bitc._ANS\r
+__IO_EXTERN ADECHSTR adech;  \r
+#define ADECH adech.byte\r
+#define ADECH_ANE4 adech.bit._ANE4\r
+#define ADECH_ANE3 adech.bit._ANE3\r
+#define ADECH_ANE2 adech.bit._ANE2\r
+#define ADECH_ANE1 adech.bit._ANE1\r
+#define ADECH_ANE0 adech.bit._ANE0\r
+#define ADECH_ANE adech.bitc._ANE\r
+__IO_EXTERN TMRLR0STR tmrlr0;   /* Reload Timer 0 */\r
+#define TMRLR0 tmrlr0.word\r
+#define TMRLR0_D15 tmrlr0.bit._D15\r
+#define TMRLR0_D14 tmrlr0.bit._D14\r
+#define TMRLR0_D13 tmrlr0.bit._D13\r
+#define TMRLR0_D12 tmrlr0.bit._D12\r
+#define TMRLR0_D11 tmrlr0.bit._D11\r
+#define TMRLR0_D10 tmrlr0.bit._D10\r
+#define TMRLR0_D9 tmrlr0.bit._D9\r
+#define TMRLR0_D8 tmrlr0.bit._D8\r
+#define TMRLR0_D7 tmrlr0.bit._D7\r
+#define TMRLR0_D6 tmrlr0.bit._D6\r
+#define TMRLR0_D5 tmrlr0.bit._D5\r
+#define TMRLR0_D4 tmrlr0.bit._D4\r
+#define TMRLR0_D3 tmrlr0.bit._D3\r
+#define TMRLR0_D2 tmrlr0.bit._D2\r
+#define TMRLR0_D1 tmrlr0.bit._D1\r
+#define TMRLR0_D0 tmrlr0.bit._D0\r
+__IO_EXTERN TMR0STR tmr0;  \r
+#define TMR0 tmr0.word\r
+#define TMR0_D15 tmr0.bit._D15\r
+#define TMR0_D14 tmr0.bit._D14\r
+#define TMR0_D13 tmr0.bit._D13\r
+#define TMR0_D12 tmr0.bit._D12\r
+#define TMR0_D11 tmr0.bit._D11\r
+#define TMR0_D10 tmr0.bit._D10\r
+#define TMR0_D9 tmr0.bit._D9\r
+#define TMR0_D8 tmr0.bit._D8\r
+#define TMR0_D7 tmr0.bit._D7\r
+#define TMR0_D6 tmr0.bit._D6\r
+#define TMR0_D5 tmr0.bit._D5\r
+#define TMR0_D4 tmr0.bit._D4\r
+#define TMR0_D3 tmr0.bit._D3\r
+#define TMR0_D2 tmr0.bit._D2\r
+#define TMR0_D1 tmr0.bit._D1\r
+#define TMR0_D0 tmr0.bit._D0\r
+__IO_EXTERN TMCSR0STR tmcsr0;  \r
+#define TMCSR0 tmcsr0.word\r
+#define TMCSR0_CSL2 tmcsr0.bit._CSL2\r
+#define TMCSR0_CSL1 tmcsr0.bit._CSL1\r
+#define TMCSR0_CSL0 tmcsr0.bit._CSL0\r
+#define TMCSR0_MOD2 tmcsr0.bit._MOD2\r
+#define TMCSR0_MOD1 tmcsr0.bit._MOD1\r
+#define TMCSR0_MOD0 tmcsr0.bit._MOD0\r
+#define TMCSR0_OULT tmcsr0.bit._OULT\r
+#define TMCSR0_RELD tmcsr0.bit._RELD\r
+#define TMCSR0_INTE tmcsr0.bit._INTE\r
+#define TMCSR0_UF tmcsr0.bit._UF\r
+#define TMCSR0_CNTE tmcsr0.bit._CNTE\r
+#define TMCSR0_TRG tmcsr0.bit._TRG\r
+#define TMCSR0_CSL tmcsr0.bitc._CSL\r
+#define TMCSR0_MOD tmcsr0.bitc._MOD\r
+__IO_EXTERN TMCSRH0STR tmcsrh0;  \r
+#define TMCSRH0 tmcsrh0.byte\r
+#define TMCSRH0_CSL2 tmcsrh0.bit._CSL2\r
+#define TMCSRH0_CSL1 tmcsrh0.bit._CSL1\r
+#define TMCSRH0_CSL0 tmcsrh0.bit._CSL0\r
+#define TMCSRH0_MOD2 tmcsrh0.bit._MOD2\r
+#define TMCSRH0_MOD1 tmcsrh0.bit._MOD1\r
+#define TMCSRH0_CSL tmcsrh0.bitc._CSL\r
+__IO_EXTERN TMCSRL0STR tmcsrl0;  \r
+#define TMCSRL0 tmcsrl0.byte\r
+#define TMCSRL0_MOD0 tmcsrl0.bit._MOD0\r
+#define TMCSRL0_OULT tmcsrl0.bit._OULT\r
+#define TMCSRL0_RELD tmcsrl0.bit._RELD\r
+#define TMCSRL0_INTE tmcsrl0.bit._INTE\r
+#define TMCSRL0_UF tmcsrl0.bit._UF\r
+#define TMCSRL0_CNTE tmcsrl0.bit._CNTE\r
+#define TMCSRL0_TRG tmcsrl0.bit._TRG\r
+__IO_EXTERN TMRLR1STR tmrlr1;   /* Reload Timer 1 */\r
+#define TMRLR1 tmrlr1.word\r
+#define TMRLR1_D15 tmrlr1.bit._D15\r
+#define TMRLR1_D14 tmrlr1.bit._D14\r
+#define TMRLR1_D13 tmrlr1.bit._D13\r
+#define TMRLR1_D12 tmrlr1.bit._D12\r
+#define TMRLR1_D11 tmrlr1.bit._D11\r
+#define TMRLR1_D10 tmrlr1.bit._D10\r
+#define TMRLR1_D9 tmrlr1.bit._D9\r
+#define TMRLR1_D8 tmrlr1.bit._D8\r
+#define TMRLR1_D7 tmrlr1.bit._D7\r
+#define TMRLR1_D6 tmrlr1.bit._D6\r
+#define TMRLR1_D5 tmrlr1.bit._D5\r
+#define TMRLR1_D4 tmrlr1.bit._D4\r
+#define TMRLR1_D3 tmrlr1.bit._D3\r
+#define TMRLR1_D2 tmrlr1.bit._D2\r
+#define TMRLR1_D1 tmrlr1.bit._D1\r
+#define TMRLR1_D0 tmrlr1.bit._D0\r
+__IO_EXTERN TMR1STR tmr1;  \r
+#define TMR1 tmr1.word\r
+#define TMR1_D15 tmr1.bit._D15\r
+#define TMR1_D14 tmr1.bit._D14\r
+#define TMR1_D13 tmr1.bit._D13\r
+#define TMR1_D12 tmr1.bit._D12\r
+#define TMR1_D11 tmr1.bit._D11\r
+#define TMR1_D10 tmr1.bit._D10\r
+#define TMR1_D9 tmr1.bit._D9\r
+#define TMR1_D8 tmr1.bit._D8\r
+#define TMR1_D7 tmr1.bit._D7\r
+#define TMR1_D6 tmr1.bit._D6\r
+#define TMR1_D5 tmr1.bit._D5\r
+#define TMR1_D4 tmr1.bit._D4\r
+#define TMR1_D3 tmr1.bit._D3\r
+#define TMR1_D2 tmr1.bit._D2\r
+#define TMR1_D1 tmr1.bit._D1\r
+#define TMR1_D0 tmr1.bit._D0\r
+__IO_EXTERN TMCSR1STR tmcsr1;  \r
+#define TMCSR1 tmcsr1.word\r
+#define TMCSR1_CSL2 tmcsr1.bit._CSL2\r
+#define TMCSR1_CSL1 tmcsr1.bit._CSL1\r
+#define TMCSR1_CSL0 tmcsr1.bit._CSL0\r
+#define TMCSR1_MOD2 tmcsr1.bit._MOD2\r
+#define TMCSR1_MOD1 tmcsr1.bit._MOD1\r
+#define TMCSR1_MOD0 tmcsr1.bit._MOD0\r
+#define TMCSR1_OULT tmcsr1.bit._OULT\r
+#define TMCSR1_RELD tmcsr1.bit._RELD\r
+#define TMCSR1_INTE tmcsr1.bit._INTE\r
+#define TMCSR1_UF tmcsr1.bit._UF\r
+#define TMCSR1_CNTE tmcsr1.bit._CNTE\r
+#define TMCSR1_TRG tmcsr1.bit._TRG\r
+#define TMCSR1_CSL tmcsr1.bitc._CSL\r
+#define TMCSR1_MOD tmcsr1.bitc._MOD\r
+__IO_EXTERN TMCSRH1STR tmcsrh1;  \r
+#define TMCSRH1 tmcsrh1.byte\r
+#define TMCSRH1_CSL2 tmcsrh1.bit._CSL2\r
+#define TMCSRH1_CSL1 tmcsrh1.bit._CSL1\r
+#define TMCSRH1_CSL0 tmcsrh1.bit._CSL0\r
+#define TMCSRH1_MOD2 tmcsrh1.bit._MOD2\r
+#define TMCSRH1_MOD1 tmcsrh1.bit._MOD1\r
+#define TMCSRH1_CSL tmcsrh1.bitc._CSL\r
+__IO_EXTERN TMCSRL1STR tmcsrl1;  \r
+#define TMCSRL1 tmcsrl1.byte\r
+#define TMCSRL1_MOD0 tmcsrl1.bit._MOD0\r
+#define TMCSRL1_OULT tmcsrl1.bit._OULT\r
+#define TMCSRL1_RELD tmcsrl1.bit._RELD\r
+#define TMCSRL1_INTE tmcsrl1.bit._INTE\r
+#define TMCSRL1_UF tmcsrl1.bit._UF\r
+#define TMCSRL1_CNTE tmcsrl1.bit._CNTE\r
+#define TMCSRL1_TRG tmcsrl1.bit._TRG\r
+__IO_EXTERN TMRLR2STR tmrlr2;   /* Reload Timer 2 */\r
+#define TMRLR2 tmrlr2.word\r
+#define TMRLR2_D15 tmrlr2.bit._D15\r
+#define TMRLR2_D14 tmrlr2.bit._D14\r
+#define TMRLR2_D13 tmrlr2.bit._D13\r
+#define TMRLR2_D12 tmrlr2.bit._D12\r
+#define TMRLR2_D11 tmrlr2.bit._D11\r
+#define TMRLR2_D10 tmrlr2.bit._D10\r
+#define TMRLR2_D9 tmrlr2.bit._D9\r
+#define TMRLR2_D8 tmrlr2.bit._D8\r
+#define TMRLR2_D7 tmrlr2.bit._D7\r
+#define TMRLR2_D6 tmrlr2.bit._D6\r
+#define TMRLR2_D5 tmrlr2.bit._D5\r
+#define TMRLR2_D4 tmrlr2.bit._D4\r
+#define TMRLR2_D3 tmrlr2.bit._D3\r
+#define TMRLR2_D2 tmrlr2.bit._D2\r
+#define TMRLR2_D1 tmrlr2.bit._D1\r
+#define TMRLR2_D0 tmrlr2.bit._D0\r
+__IO_EXTERN TMR2STR tmr2;  \r
+#define TMR2 tmr2.word\r
+#define TMR2_D15 tmr2.bit._D15\r
+#define TMR2_D14 tmr2.bit._D14\r
+#define TMR2_D13 tmr2.bit._D13\r
+#define TMR2_D12 tmr2.bit._D12\r
+#define TMR2_D11 tmr2.bit._D11\r
+#define TMR2_D10 tmr2.bit._D10\r
+#define TMR2_D9 tmr2.bit._D9\r
+#define TMR2_D8 tmr2.bit._D8\r
+#define TMR2_D7 tmr2.bit._D7\r
+#define TMR2_D6 tmr2.bit._D6\r
+#define TMR2_D5 tmr2.bit._D5\r
+#define TMR2_D4 tmr2.bit._D4\r
+#define TMR2_D3 tmr2.bit._D3\r
+#define TMR2_D2 tmr2.bit._D2\r
+#define TMR2_D1 tmr2.bit._D1\r
+#define TMR2_D0 tmr2.bit._D0\r
+__IO_EXTERN TMCSR2STR tmcsr2;  \r
+#define TMCSR2 tmcsr2.word\r
+#define TMCSR2_CSL2 tmcsr2.bit._CSL2\r
+#define TMCSR2_CSL1 tmcsr2.bit._CSL1\r
+#define TMCSR2_CSL0 tmcsr2.bit._CSL0\r
+#define TMCSR2_MOD2 tmcsr2.bit._MOD2\r
+#define TMCSR2_MOD1 tmcsr2.bit._MOD1\r
+#define TMCSR2_MOD0 tmcsr2.bit._MOD0\r
+#define TMCSR2_OULT tmcsr2.bit._OULT\r
+#define TMCSR2_RELD tmcsr2.bit._RELD\r
+#define TMCSR2_INTE tmcsr2.bit._INTE\r
+#define TMCSR2_UF tmcsr2.bit._UF\r
+#define TMCSR2_CNTE tmcsr2.bit._CNTE\r
+#define TMCSR2_TRG tmcsr2.bit._TRG\r
+#define TMCSR2_CSL tmcsr2.bitc._CSL\r
+#define TMCSR2_MOD tmcsr2.bitc._MOD\r
+__IO_EXTERN TMCSRH2STR tmcsrh2;  \r
+#define TMCSRH2 tmcsrh2.byte\r
+#define TMCSRH2_CSL2 tmcsrh2.bit._CSL2\r
+#define TMCSRH2_CSL1 tmcsrh2.bit._CSL1\r
+#define TMCSRH2_CSL0 tmcsrh2.bit._CSL0\r
+#define TMCSRH2_MOD2 tmcsrh2.bit._MOD2\r
+#define TMCSRH2_MOD1 tmcsrh2.bit._MOD1\r
+#define TMCSRH2_CSL tmcsrh2.bitc._CSL\r
+__IO_EXTERN TMCSRL2STR tmcsrl2;  \r
+#define TMCSRL2 tmcsrl2.byte\r
+#define TMCSRL2_MOD0 tmcsrl2.bit._MOD0\r
+#define TMCSRL2_OULT tmcsrl2.bit._OULT\r
+#define TMCSRL2_RELD tmcsrl2.bit._RELD\r
+#define TMCSRL2_INTE tmcsrl2.bit._INTE\r
+#define TMCSRL2_UF tmcsrl2.bit._UF\r
+#define TMCSRL2_CNTE tmcsrl2.bit._CNTE\r
+#define TMCSRL2_TRG tmcsrl2.bit._TRG\r
+__IO_EXTERN TMRLR3STR tmrlr3;   /* Reload Timer 3 */\r
+#define TMRLR3 tmrlr3.word\r
+#define TMRLR3_D15 tmrlr3.bit._D15\r
+#define TMRLR3_D14 tmrlr3.bit._D14\r
+#define TMRLR3_D13 tmrlr3.bit._D13\r
+#define TMRLR3_D12 tmrlr3.bit._D12\r
+#define TMRLR3_D11 tmrlr3.bit._D11\r
+#define TMRLR3_D10 tmrlr3.bit._D10\r
+#define TMRLR3_D9 tmrlr3.bit._D9\r
+#define TMRLR3_D8 tmrlr3.bit._D8\r
+#define TMRLR3_D7 tmrlr3.bit._D7\r
+#define TMRLR3_D6 tmrlr3.bit._D6\r
+#define TMRLR3_D5 tmrlr3.bit._D5\r
+#define TMRLR3_D4 tmrlr3.bit._D4\r
+#define TMRLR3_D3 tmrlr3.bit._D3\r
+#define TMRLR3_D2 tmrlr3.bit._D2\r
+#define TMRLR3_D1 tmrlr3.bit._D1\r
+#define TMRLR3_D0 tmrlr3.bit._D0\r
+__IO_EXTERN TMR3STR tmr3;  \r
+#define TMR3 tmr3.word\r
+#define TMR3_D15 tmr3.bit._D15\r
+#define TMR3_D14 tmr3.bit._D14\r
+#define TMR3_D13 tmr3.bit._D13\r
+#define TMR3_D12 tmr3.bit._D12\r
+#define TMR3_D11 tmr3.bit._D11\r
+#define TMR3_D10 tmr3.bit._D10\r
+#define TMR3_D9 tmr3.bit._D9\r
+#define TMR3_D8 tmr3.bit._D8\r
+#define TMR3_D7 tmr3.bit._D7\r
+#define TMR3_D6 tmr3.bit._D6\r
+#define TMR3_D5 tmr3.bit._D5\r
+#define TMR3_D4 tmr3.bit._D4\r
+#define TMR3_D3 tmr3.bit._D3\r
+#define TMR3_D2 tmr3.bit._D2\r
+#define TMR3_D1 tmr3.bit._D1\r
+#define TMR3_D0 tmr3.bit._D0\r
+__IO_EXTERN TMCSR3STR tmcsr3;  \r
+#define TMCSR3 tmcsr3.word\r
+#define TMCSR3_CSL2 tmcsr3.bit._CSL2\r
+#define TMCSR3_CSL1 tmcsr3.bit._CSL1\r
+#define TMCSR3_CSL0 tmcsr3.bit._CSL0\r
+#define TMCSR3_MOD2 tmcsr3.bit._MOD2\r
+#define TMCSR3_MOD1 tmcsr3.bit._MOD1\r
+#define TMCSR3_MOD0 tmcsr3.bit._MOD0\r
+#define TMCSR3_OULT tmcsr3.bit._OULT\r
+#define TMCSR3_RELD tmcsr3.bit._RELD\r
+#define TMCSR3_INTE tmcsr3.bit._INTE\r
+#define TMCSR3_UF tmcsr3.bit._UF\r
+#define TMCSR3_CNTE tmcsr3.bit._CNTE\r
+#define TMCSR3_TRG tmcsr3.bit._TRG\r
+#define TMCSR3_CSL tmcsr3.bitc._CSL\r
+#define TMCSR3_MOD tmcsr3.bitc._MOD\r
+__IO_EXTERN TMCSRH3STR tmcsrh3;  \r
+#define TMCSRH3 tmcsrh3.byte\r
+#define TMCSRH3_CSL2 tmcsrh3.bit._CSL2\r
+#define TMCSRH3_CSL1 tmcsrh3.bit._CSL1\r
+#define TMCSRH3_CSL0 tmcsrh3.bit._CSL0\r
+#define TMCSRH3_MOD2 tmcsrh3.bit._MOD2\r
+#define TMCSRH3_MOD1 tmcsrh3.bit._MOD1\r
+#define TMCSRH3_CSL tmcsrh3.bitc._CSL\r
+__IO_EXTERN TMCSRL3STR tmcsrl3;  \r
+#define TMCSRL3 tmcsrl3.byte\r
+#define TMCSRL3_MOD0 tmcsrl3.bit._MOD0\r
+#define TMCSRL3_OULT tmcsrl3.bit._OULT\r
+#define TMCSRL3_RELD tmcsrl3.bit._RELD\r
+#define TMCSRL3_INTE tmcsrl3.bit._INTE\r
+#define TMCSRL3_UF tmcsrl3.bit._UF\r
+#define TMCSRL3_CNTE tmcsrl3.bit._CNTE\r
+#define TMCSRL3_TRG tmcsrl3.bit._TRG\r
+__IO_EXTERN TMRLR4STR tmrlr4;   /* Reload Timer 4 */\r
+#define TMRLR4 tmrlr4.word\r
+#define TMRLR4_D15 tmrlr4.bit._D15\r
+#define TMRLR4_D14 tmrlr4.bit._D14\r
+#define TMRLR4_D13 tmrlr4.bit._D13\r
+#define TMRLR4_D12 tmrlr4.bit._D12\r
+#define TMRLR4_D11 tmrlr4.bit._D11\r
+#define TMRLR4_D10 tmrlr4.bit._D10\r
+#define TMRLR4_D9 tmrlr4.bit._D9\r
+#define TMRLR4_D8 tmrlr4.bit._D8\r
+#define TMRLR4_D7 tmrlr4.bit._D7\r
+#define TMRLR4_D6 tmrlr4.bit._D6\r
+#define TMRLR4_D5 tmrlr4.bit._D5\r
+#define TMRLR4_D4 tmrlr4.bit._D4\r
+#define TMRLR4_D3 tmrlr4.bit._D3\r
+#define TMRLR4_D2 tmrlr4.bit._D2\r
+#define TMRLR4_D1 tmrlr4.bit._D1\r
+#define TMRLR4_D0 tmrlr4.bit._D0\r
+__IO_EXTERN TMR4STR tmr4;  \r
+#define TMR4 tmr4.word\r
+#define TMR4_D15 tmr4.bit._D15\r
+#define TMR4_D14 tmr4.bit._D14\r
+#define TMR4_D13 tmr4.bit._D13\r
+#define TMR4_D12 tmr4.bit._D12\r
+#define TMR4_D11 tmr4.bit._D11\r
+#define TMR4_D10 tmr4.bit._D10\r
+#define TMR4_D9 tmr4.bit._D9\r
+#define TMR4_D8 tmr4.bit._D8\r
+#define TMR4_D7 tmr4.bit._D7\r
+#define TMR4_D6 tmr4.bit._D6\r
+#define TMR4_D5 tmr4.bit._D5\r
+#define TMR4_D4 tmr4.bit._D4\r
+#define TMR4_D3 tmr4.bit._D3\r
+#define TMR4_D2 tmr4.bit._D2\r
+#define TMR4_D1 tmr4.bit._D1\r
+#define TMR4_D0 tmr4.bit._D0\r
+__IO_EXTERN TMCSR4STR tmcsr4;  \r
+#define TMCSR4 tmcsr4.word\r
+#define TMCSR4_CSL2 tmcsr4.bit._CSL2\r
+#define TMCSR4_CSL1 tmcsr4.bit._CSL1\r
+#define TMCSR4_CSL0 tmcsr4.bit._CSL0\r
+#define TMCSR4_MOD2 tmcsr4.bit._MOD2\r
+#define TMCSR4_MOD1 tmcsr4.bit._MOD1\r
+#define TMCSR4_MOD0 tmcsr4.bit._MOD0\r
+#define TMCSR4_OULT tmcsr4.bit._OULT\r
+#define TMCSR4_RELD tmcsr4.bit._RELD\r
+#define TMCSR4_INTE tmcsr4.bit._INTE\r
+#define TMCSR4_UF tmcsr4.bit._UF\r
+#define TMCSR4_CNTE tmcsr4.bit._CNTE\r
+#define TMCSR4_TRG tmcsr4.bit._TRG\r
+#define TMCSR4_CSL tmcsr4.bitc._CSL\r
+#define TMCSR4_MOD tmcsr4.bitc._MOD\r
+__IO_EXTERN TMCSRH4STR tmcsrh4;  \r
+#define TMCSRH4 tmcsrh4.byte\r
+#define TMCSRH4_CSL2 tmcsrh4.bit._CSL2\r
+#define TMCSRH4_CSL1 tmcsrh4.bit._CSL1\r
+#define TMCSRH4_CSL0 tmcsrh4.bit._CSL0\r
+#define TMCSRH4_MOD2 tmcsrh4.bit._MOD2\r
+#define TMCSRH4_MOD1 tmcsrh4.bit._MOD1\r
+#define TMCSRH4_CSL tmcsrh4.bitc._CSL\r
+__IO_EXTERN TMCSRL4STR tmcsrl4;  \r
+#define TMCSRL4 tmcsrl4.byte\r
+#define TMCSRL4_MOD0 tmcsrl4.bit._MOD0\r
+#define TMCSRL4_OULT tmcsrl4.bit._OULT\r
+#define TMCSRL4_RELD tmcsrl4.bit._RELD\r
+#define TMCSRL4_INTE tmcsrl4.bit._INTE\r
+#define TMCSRL4_UF tmcsrl4.bit._UF\r
+#define TMCSRL4_CNTE tmcsrl4.bit._CNTE\r
+#define TMCSRL4_TRG tmcsrl4.bit._TRG\r
+__IO_EXTERN TMRLR5STR tmrlr5;   /* Reload Timer 5 */\r
+#define TMRLR5 tmrlr5.word\r
+#define TMRLR5_D15 tmrlr5.bit._D15\r
+#define TMRLR5_D14 tmrlr5.bit._D14\r
+#define TMRLR5_D13 tmrlr5.bit._D13\r
+#define TMRLR5_D12 tmrlr5.bit._D12\r
+#define TMRLR5_D11 tmrlr5.bit._D11\r
+#define TMRLR5_D10 tmrlr5.bit._D10\r
+#define TMRLR5_D9 tmrlr5.bit._D9\r
+#define TMRLR5_D8 tmrlr5.bit._D8\r
+#define TMRLR5_D7 tmrlr5.bit._D7\r
+#define TMRLR5_D6 tmrlr5.bit._D6\r
+#define TMRLR5_D5 tmrlr5.bit._D5\r
+#define TMRLR5_D4 tmrlr5.bit._D4\r
+#define TMRLR5_D3 tmrlr5.bit._D3\r
+#define TMRLR5_D2 tmrlr5.bit._D2\r
+#define TMRLR5_D1 tmrlr5.bit._D1\r
+#define TMRLR5_D0 tmrlr5.bit._D0\r
+__IO_EXTERN TMR5STR tmr5;  \r
+#define TMR5 tmr5.word\r
+#define TMR5_D15 tmr5.bit._D15\r
+#define TMR5_D14 tmr5.bit._D14\r
+#define TMR5_D13 tmr5.bit._D13\r
+#define TMR5_D12 tmr5.bit._D12\r
+#define TMR5_D11 tmr5.bit._D11\r
+#define TMR5_D10 tmr5.bit._D10\r
+#define TMR5_D9 tmr5.bit._D9\r
+#define TMR5_D8 tmr5.bit._D8\r
+#define TMR5_D7 tmr5.bit._D7\r
+#define TMR5_D6 tmr5.bit._D6\r
+#define TMR5_D5 tmr5.bit._D5\r
+#define TMR5_D4 tmr5.bit._D4\r
+#define TMR5_D3 tmr5.bit._D3\r
+#define TMR5_D2 tmr5.bit._D2\r
+#define TMR5_D1 tmr5.bit._D1\r
+#define TMR5_D0 tmr5.bit._D0\r
+__IO_EXTERN TMCSR5STR tmcsr5;  \r
+#define TMCSR5 tmcsr5.word\r
+#define TMCSR5_CSL2 tmcsr5.bit._CSL2\r
+#define TMCSR5_CSL1 tmcsr5.bit._CSL1\r
+#define TMCSR5_CSL0 tmcsr5.bit._CSL0\r
+#define TMCSR5_MOD2 tmcsr5.bit._MOD2\r
+#define TMCSR5_MOD1 tmcsr5.bit._MOD1\r
+#define TMCSR5_MOD0 tmcsr5.bit._MOD0\r
+#define TMCSR5_OULT tmcsr5.bit._OULT\r
+#define TMCSR5_RELD tmcsr5.bit._RELD\r
+#define TMCSR5_INTE tmcsr5.bit._INTE\r
+#define TMCSR5_UF tmcsr5.bit._UF\r
+#define TMCSR5_CNTE tmcsr5.bit._CNTE\r
+#define TMCSR5_TRG tmcsr5.bit._TRG\r
+#define TMCSR5_CSL tmcsr5.bitc._CSL\r
+#define TMCSR5_MOD tmcsr5.bitc._MOD\r
+__IO_EXTERN TMCSRH5STR tmcsrh5;  \r
+#define TMCSRH5 tmcsrh5.byte\r
+#define TMCSRH5_CSL2 tmcsrh5.bit._CSL2\r
+#define TMCSRH5_CSL1 tmcsrh5.bit._CSL1\r
+#define TMCSRH5_CSL0 tmcsrh5.bit._CSL0\r
+#define TMCSRH5_MOD2 tmcsrh5.bit._MOD2\r
+#define TMCSRH5_MOD1 tmcsrh5.bit._MOD1\r
+#define TMCSRH5_CSL tmcsrh5.bitc._CSL\r
+__IO_EXTERN TMCSRL5STR tmcsrl5;  \r
+#define TMCSRL5 tmcsrl5.byte\r
+#define TMCSRL5_MOD0 tmcsrl5.bit._MOD0\r
+#define TMCSRL5_OULT tmcsrl5.bit._OULT\r
+#define TMCSRL5_RELD tmcsrl5.bit._RELD\r
+#define TMCSRL5_INTE tmcsrl5.bit._INTE\r
+#define TMCSRL5_UF tmcsrl5.bit._UF\r
+#define TMCSRL5_CNTE tmcsrl5.bit._CNTE\r
+#define TMCSRL5_TRG tmcsrl5.bit._TRG\r
+__IO_EXTERN TMRLR6STR tmrlr6;   /* Reload Timer 6 */\r
+#define TMRLR6 tmrlr6.word\r
+#define TMRLR6_D15 tmrlr6.bit._D15\r
+#define TMRLR6_D14 tmrlr6.bit._D14\r
+#define TMRLR6_D13 tmrlr6.bit._D13\r
+#define TMRLR6_D12 tmrlr6.bit._D12\r
+#define TMRLR6_D11 tmrlr6.bit._D11\r
+#define TMRLR6_D10 tmrlr6.bit._D10\r
+#define TMRLR6_D9 tmrlr6.bit._D9\r
+#define TMRLR6_D8 tmrlr6.bit._D8\r
+#define TMRLR6_D7 tmrlr6.bit._D7\r
+#define TMRLR6_D6 tmrlr6.bit._D6\r
+#define TMRLR6_D5 tmrlr6.bit._D5\r
+#define TMRLR6_D4 tmrlr6.bit._D4\r
+#define TMRLR6_D3 tmrlr6.bit._D3\r
+#define TMRLR6_D2 tmrlr6.bit._D2\r
+#define TMRLR6_D1 tmrlr6.bit._D1\r
+#define TMRLR6_D0 tmrlr6.bit._D0\r
+__IO_EXTERN TMR6STR tmr6;  \r
+#define TMR6 tmr6.word\r
+#define TMR6_D15 tmr6.bit._D15\r
+#define TMR6_D14 tmr6.bit._D14\r
+#define TMR6_D13 tmr6.bit._D13\r
+#define TMR6_D12 tmr6.bit._D12\r
+#define TMR6_D11 tmr6.bit._D11\r
+#define TMR6_D10 tmr6.bit._D10\r
+#define TMR6_D9 tmr6.bit._D9\r
+#define TMR6_D8 tmr6.bit._D8\r
+#define TMR6_D7 tmr6.bit._D7\r
+#define TMR6_D6 tmr6.bit._D6\r
+#define TMR6_D5 tmr6.bit._D5\r
+#define TMR6_D4 tmr6.bit._D4\r
+#define TMR6_D3 tmr6.bit._D3\r
+#define TMR6_D2 tmr6.bit._D2\r
+#define TMR6_D1 tmr6.bit._D1\r
+#define TMR6_D0 tmr6.bit._D0\r
+__IO_EXTERN TMCSR6STR tmcsr6;  \r
+#define TMCSR6 tmcsr6.word\r
+#define TMCSR6_CSL2 tmcsr6.bit._CSL2\r
+#define TMCSR6_CSL1 tmcsr6.bit._CSL1\r
+#define TMCSR6_CSL0 tmcsr6.bit._CSL0\r
+#define TMCSR6_MOD2 tmcsr6.bit._MOD2\r
+#define TMCSR6_MOD1 tmcsr6.bit._MOD1\r
+#define TMCSR6_MOD0 tmcsr6.bit._MOD0\r
+#define TMCSR6_OULT tmcsr6.bit._OULT\r
+#define TMCSR6_RELD tmcsr6.bit._RELD\r
+#define TMCSR6_INTE tmcsr6.bit._INTE\r
+#define TMCSR6_UF tmcsr6.bit._UF\r
+#define TMCSR6_CNTE tmcsr6.bit._CNTE\r
+#define TMCSR6_TRG tmcsr6.bit._TRG\r
+#define TMCSR6_CSL tmcsr6.bitc._CSL\r
+#define TMCSR6_MOD tmcsr6.bitc._MOD\r
+__IO_EXTERN TMCSRH6STR tmcsrh6;  \r
+#define TMCSRH6 tmcsrh6.byte\r
+#define TMCSRH6_CSL2 tmcsrh6.bit._CSL2\r
+#define TMCSRH6_CSL1 tmcsrh6.bit._CSL1\r
+#define TMCSRH6_CSL0 tmcsrh6.bit._CSL0\r
+#define TMCSRH6_MOD2 tmcsrh6.bit._MOD2\r
+#define TMCSRH6_MOD1 tmcsrh6.bit._MOD1\r
+#define TMCSRH6_CSL tmcsrh6.bitc._CSL\r
+__IO_EXTERN TMCSRL6STR tmcsrl6;  \r
+#define TMCSRL6 tmcsrl6.byte\r
+#define TMCSRL6_MOD0 tmcsrl6.bit._MOD0\r
+#define TMCSRL6_OULT tmcsrl6.bit._OULT\r
+#define TMCSRL6_RELD tmcsrl6.bit._RELD\r
+#define TMCSRL6_INTE tmcsrl6.bit._INTE\r
+#define TMCSRL6_UF tmcsrl6.bit._UF\r
+#define TMCSRL6_CNTE tmcsrl6.bit._CNTE\r
+#define TMCSRL6_TRG tmcsrl6.bit._TRG\r
+__IO_EXTERN TMRLR7STR tmrlr7;   /* Reload Timer 7 */\r
+#define TMRLR7 tmrlr7.word\r
+#define TMRLR7_D15 tmrlr7.bit._D15\r
+#define TMRLR7_D14 tmrlr7.bit._D14\r
+#define TMRLR7_D13 tmrlr7.bit._D13\r
+#define TMRLR7_D12 tmrlr7.bit._D12\r
+#define TMRLR7_D11 tmrlr7.bit._D11\r
+#define TMRLR7_D10 tmrlr7.bit._D10\r
+#define TMRLR7_D9 tmrlr7.bit._D9\r
+#define TMRLR7_D8 tmrlr7.bit._D8\r
+#define TMRLR7_D7 tmrlr7.bit._D7\r
+#define TMRLR7_D6 tmrlr7.bit._D6\r
+#define TMRLR7_D5 tmrlr7.bit._D5\r
+#define TMRLR7_D4 tmrlr7.bit._D4\r
+#define TMRLR7_D3 tmrlr7.bit._D3\r
+#define TMRLR7_D2 tmrlr7.bit._D2\r
+#define TMRLR7_D1 tmrlr7.bit._D1\r
+#define TMRLR7_D0 tmrlr7.bit._D0\r
+__IO_EXTERN TMR7STR tmr7;  \r
+#define TMR7 tmr7.word\r
+#define TMR7_D15 tmr7.bit._D15\r
+#define TMR7_D14 tmr7.bit._D14\r
+#define TMR7_D13 tmr7.bit._D13\r
+#define TMR7_D12 tmr7.bit._D12\r
+#define TMR7_D11 tmr7.bit._D11\r
+#define TMR7_D10 tmr7.bit._D10\r
+#define TMR7_D9 tmr7.bit._D9\r
+#define TMR7_D8 tmr7.bit._D8\r
+#define TMR7_D7 tmr7.bit._D7\r
+#define TMR7_D6 tmr7.bit._D6\r
+#define TMR7_D5 tmr7.bit._D5\r
+#define TMR7_D4 tmr7.bit._D4\r
+#define TMR7_D3 tmr7.bit._D3\r
+#define TMR7_D2 tmr7.bit._D2\r
+#define TMR7_D1 tmr7.bit._D1\r
+#define TMR7_D0 tmr7.bit._D0\r
+__IO_EXTERN TMCSR7STR tmcsr7;  \r
+#define TMCSR7 tmcsr7.word\r
+#define TMCSR7_CSL2 tmcsr7.bit._CSL2\r
+#define TMCSR7_CSL1 tmcsr7.bit._CSL1\r
+#define TMCSR7_CSL0 tmcsr7.bit._CSL0\r
+#define TMCSR7_MOD2 tmcsr7.bit._MOD2\r
+#define TMCSR7_MOD1 tmcsr7.bit._MOD1\r
+#define TMCSR7_MOD0 tmcsr7.bit._MOD0\r
+#define TMCSR7_OULT tmcsr7.bit._OULT\r
+#define TMCSR7_RELD tmcsr7.bit._RELD\r
+#define TMCSR7_INTE tmcsr7.bit._INTE\r
+#define TMCSR7_UF tmcsr7.bit._UF\r
+#define TMCSR7_CNTE tmcsr7.bit._CNTE\r
+#define TMCSR7_TRG tmcsr7.bit._TRG\r
+#define TMCSR7_CSL tmcsr7.bitc._CSL\r
+#define TMCSR7_MOD tmcsr7.bitc._MOD\r
+__IO_EXTERN TMCSRH7STR tmcsrh7;  \r
+#define TMCSRH7 tmcsrh7.byte\r
+#define TMCSRH7_CSL2 tmcsrh7.bit._CSL2\r
+#define TMCSRH7_CSL1 tmcsrh7.bit._CSL1\r
+#define TMCSRH7_CSL0 tmcsrh7.bit._CSL0\r
+#define TMCSRH7_MOD2 tmcsrh7.bit._MOD2\r
+#define TMCSRH7_MOD1 tmcsrh7.bit._MOD1\r
+#define TMCSRH7_CSL tmcsrh7.bitc._CSL\r
+__IO_EXTERN TMCSRL7STR tmcsrl7;  \r
+#define TMCSRL7 tmcsrl7.byte\r
+#define TMCSRL7_MOD0 tmcsrl7.bit._MOD0\r
+#define TMCSRL7_OULT tmcsrl7.bit._OULT\r
+#define TMCSRL7_RELD tmcsrl7.bit._RELD\r
+#define TMCSRL7_INTE tmcsrl7.bit._INTE\r
+#define TMCSRL7_UF tmcsrl7.bit._UF\r
+#define TMCSRL7_CNTE tmcsrl7.bit._CNTE\r
+#define TMCSRL7_TRG tmcsrl7.bit._TRG\r
+__IO_EXTERN TCDT0STR tcdt0;   /* Free Running Timer0 */\r
+#define TCDT0 tcdt0.word\r
+#define TCDT0_T15 tcdt0.bit._T15\r
+#define TCDT0_T14 tcdt0.bit._T14\r
+#define TCDT0_T13 tcdt0.bit._T13\r
+#define TCDT0_T12 tcdt0.bit._T12\r
+#define TCDT0_T11 tcdt0.bit._T11\r
+#define TCDT0_T10 tcdt0.bit._T10\r
+#define TCDT0_T9 tcdt0.bit._T9\r
+#define TCDT0_T8 tcdt0.bit._T8\r
+#define TCDT0_T7 tcdt0.bit._T7\r
+#define TCDT0_T6 tcdt0.bit._T6\r
+#define TCDT0_T5 tcdt0.bit._T5\r
+#define TCDT0_T4 tcdt0.bit._T4\r
+#define TCDT0_T3 tcdt0.bit._T3\r
+#define TCDT0_T2 tcdt0.bit._T2\r
+#define TCDT0_T1 tcdt0.bit._T1\r
+#define TCDT0_T0 tcdt0.bit._T0\r
+__IO_EXTERN TCCS0STR tccs0;  \r
+#define TCCS0 tccs0.byte\r
+#define TCCS0_ECLK tccs0.bit._ECLK\r
+#define TCCS0_IVF tccs0.bit._IVF\r
+#define TCCS0_IVFE tccs0.bit._IVFE\r
+#define TCCS0_STOP tccs0.bit._STOP\r
+#define TCCS0_MODE tccs0.bit._MODE\r
+#define TCCS0_CLR tccs0.bit._CLR\r
+#define TCCS0_CLK1 tccs0.bit._CLK1\r
+#define TCCS0_CLK0 tccs0.bit._CLK0\r
+#define TCCS0_CLK tccs0.bitc._CLK\r
+__IO_EXTERN TCDT1STR tcdt1;   /* Free Running Timer1 */\r
+#define TCDT1 tcdt1.word\r
+#define TCDT1_T15 tcdt1.bit._T15\r
+#define TCDT1_T14 tcdt1.bit._T14\r
+#define TCDT1_T13 tcdt1.bit._T13\r
+#define TCDT1_T12 tcdt1.bit._T12\r
+#define TCDT1_T11 tcdt1.bit._T11\r
+#define TCDT1_T10 tcdt1.bit._T10\r
+#define TCDT1_T9 tcdt1.bit._T9\r
+#define TCDT1_T8 tcdt1.bit._T8\r
+#define TCDT1_T7 tcdt1.bit._T7\r
+#define TCDT1_T6 tcdt1.bit._T6\r
+#define TCDT1_T5 tcdt1.bit._T5\r
+#define TCDT1_T4 tcdt1.bit._T4\r
+#define TCDT1_T3 tcdt1.bit._T3\r
+#define TCDT1_T2 tcdt1.bit._T2\r
+#define TCDT1_T1 tcdt1.bit._T1\r
+#define TCDT1_T0 tcdt1.bit._T0\r
+__IO_EXTERN TCCS1STR tccs1;  \r
+#define TCCS1 tccs1.byte\r
+#define TCCS1_ECLK tccs1.bit._ECLK\r
+#define TCCS1_IVF tccs1.bit._IVF\r
+#define TCCS1_IVFE tccs1.bit._IVFE\r
+#define TCCS1_STOP tccs1.bit._STOP\r
+#define TCCS1_MODE tccs1.bit._MODE\r
+#define TCCS1_CLR tccs1.bit._CLR\r
+#define TCCS1_CLK1 tccs1.bit._CLK1\r
+#define TCCS1_CLK0 tccs1.bit._CLK0\r
+#define TCCS1_CLK tccs1.bitc._CLK\r
+__IO_EXTERN TCDT2STR tcdt2;   /* Free Running Timer2 */\r
+#define TCDT2 tcdt2.word\r
+#define TCDT2_T15 tcdt2.bit._T15\r
+#define TCDT2_T14 tcdt2.bit._T14\r
+#define TCDT2_T13 tcdt2.bit._T13\r
+#define TCDT2_T12 tcdt2.bit._T12\r
+#define TCDT2_T11 tcdt2.bit._T11\r
+#define TCDT2_T10 tcdt2.bit._T10\r
+#define TCDT2_T9 tcdt2.bit._T9\r
+#define TCDT2_T8 tcdt2.bit._T8\r
+#define TCDT2_T7 tcdt2.bit._T7\r
+#define TCDT2_T6 tcdt2.bit._T6\r
+#define TCDT2_T5 tcdt2.bit._T5\r
+#define TCDT2_T4 tcdt2.bit._T4\r
+#define TCDT2_T3 tcdt2.bit._T3\r
+#define TCDT2_T2 tcdt2.bit._T2\r
+#define TCDT2_T1 tcdt2.bit._T1\r
+#define TCDT2_T0 tcdt2.bit._T0\r
+__IO_EXTERN TCCS2STR tccs2;  \r
+#define TCCS2 tccs2.byte\r
+#define TCCS2_ECLK tccs2.bit._ECLK\r
+#define TCCS2_IVF tccs2.bit._IVF\r
+#define TCCS2_IVFE tccs2.bit._IVFE\r
+#define TCCS2_STOP tccs2.bit._STOP\r
+#define TCCS2_MODE tccs2.bit._MODE\r
+#define TCCS2_CLR tccs2.bit._CLR\r
+#define TCCS2_CLK1 tccs2.bit._CLK1\r
+#define TCCS2_CLK0 tccs2.bit._CLK0\r
+#define TCCS2_CLK tccs2.bitc._CLK\r
+__IO_EXTERN TCDT3STR tcdt3;   /* Free Running Timer3 */\r
+#define TCDT3 tcdt3.word\r
+#define TCDT3_T15 tcdt3.bit._T15\r
+#define TCDT3_T14 tcdt3.bit._T14\r
+#define TCDT3_T13 tcdt3.bit._T13\r
+#define TCDT3_T12 tcdt3.bit._T12\r
+#define TCDT3_T11 tcdt3.bit._T11\r
+#define TCDT3_T10 tcdt3.bit._T10\r
+#define TCDT3_T9 tcdt3.bit._T9\r
+#define TCDT3_T8 tcdt3.bit._T8\r
+#define TCDT3_T7 tcdt3.bit._T7\r
+#define TCDT3_T6 tcdt3.bit._T6\r
+#define TCDT3_T5 tcdt3.bit._T5\r
+#define TCDT3_T4 tcdt3.bit._T4\r
+#define TCDT3_T3 tcdt3.bit._T3\r
+#define TCDT3_T2 tcdt3.bit._T2\r
+#define TCDT3_T1 tcdt3.bit._T1\r
+#define TCDT3_T0 tcdt3.bit._T0\r
+__IO_EXTERN TCCS3STR tccs3;  \r
+#define TCCS3 tccs3.byte\r
+#define TCCS3_ECLK tccs3.bit._ECLK\r
+#define TCCS3_IVF tccs3.bit._IVF\r
+#define TCCS3_IVFE tccs3.bit._IVFE\r
+#define TCCS3_STOP tccs3.bit._STOP\r
+#define TCCS3_MODE tccs3.bit._MODE\r
+#define TCCS3_CLR tccs3.bit._CLR\r
+#define TCCS3_CLK1 tccs3.bit._CLK1\r
+#define TCCS3_CLK0 tccs3.bit._CLK0\r
+#define TCCS3_CLK tccs3.bitc._CLK\r
+__IO_EXTERN DMACA0STR dmaca0;   /* DMAC */\r
+#define DMACA0 dmaca0.lword\r
+#define DMACA0_DENB dmaca0.bit._DENB\r
+#define DMACA0_PAUS dmaca0.bit._PAUS\r
+#define DMACA0_STRG dmaca0.bit._STRG\r
+#define DMACA0_IS4 dmaca0.bit._IS4\r
+#define DMACA0_IS3 dmaca0.bit._IS3\r
+#define DMACA0_IS2 dmaca0.bit._IS2\r
+#define DMACA0_IS1 dmaca0.bit._IS1\r
+#define DMACA0_IS0 dmaca0.bit._IS0\r
+#define DMACA0_EIS3 dmaca0.bit._EIS3\r
+#define DMACA0_EIS2 dmaca0.bit._EIS2\r
+#define DMACA0_EIS1 dmaca0.bit._EIS1\r
+#define DMACA0_EIS0 dmaca0.bit._EIS0\r
+#define DMACA0_BLK3 dmaca0.bit._BLK3\r
+#define DMACA0_BLK2 dmaca0.bit._BLK2\r
+#define DMACA0_BLK1 dmaca0.bit._BLK1\r
+#define DMACA0_BLK0 dmaca0.bit._BLK0\r
+#define DMACA0_DTCF dmaca0.bit._DTCF\r
+#define DMACA0_DTCE dmaca0.bit._DTCE\r
+#define DMACA0_DTCD dmaca0.bit._DTCD\r
+#define DMACA0_DTCC dmaca0.bit._DTCC\r
+#define DMACA0_DTCB dmaca0.bit._DTCB\r
+#define DMACA0_DTCA dmaca0.bit._DTCA\r
+#define DMACA0_DTC9 dmaca0.bit._DTC9\r
+#define DMACA0_DTC8 dmaca0.bit._DTC8\r
+#define DMACA0_DTC7 dmaca0.bit._DTC7\r
+#define DMACA0_DTC6 dmaca0.bit._DTC6\r
+#define DMACA0_DTC5 dmaca0.bit._DTC5\r
+#define DMACA0_DTC4 dmaca0.bit._DTC4\r
+#define DMACA0_DTC3 dmaca0.bit._DTC3\r
+#define DMACA0_DTC2 dmaca0.bit._DTC2\r
+#define DMACA0_DTC1 dmaca0.bit._DTC1\r
+#define DMACA0_DTC0 dmaca0.bit._DTC0\r
+#define DMACA0_IS dmaca0.bitc._IS\r
+#define DMACA0_EIS dmaca0.bitc._EIS\r
+#define DMACA0_BLK dmaca0.bitc._BLK\r
+#define DMACA0_DTC dmaca0.bitc._DTC\r
+__IO_EXTERN DMACB0STR dmacb0;  \r
+#define DMACB0 dmacb0.lword\r
+#define DMACB0_TYPE1 dmacb0.bit._TYPE1\r
+#define DMACB0_TYPE0 dmacb0.bit._TYPE0\r
+#define DMACB0_MOD1 dmacb0.bit._MOD1\r
+#define DMACB0_MOD0 dmacb0.bit._MOD0\r
+#define DMACB0_WS1 dmacb0.bit._WS1\r
+#define DMACB0_WS0 dmacb0.bit._WS0\r
+#define DMACB0_SADM dmacb0.bit._SADM\r
+#define DMACB0_DADM dmacb0.bit._DADM\r
+#define DMACB0_DTCR dmacb0.bit._DTCR\r
+#define DMACB0_SADR dmacb0.bit._SADR\r
+#define DMACB0_DADR dmacb0.bit._DADR\r
+#define DMACB0_ERIE dmacb0.bit._ERIE\r
+#define DMACB0_EDIE dmacb0.bit._EDIE\r
+#define DMACB0_DSS2 dmacb0.bit._DSS2\r
+#define DMACB0_DSS1 dmacb0.bit._DSS1\r
+#define DMACB0_DSS0 dmacb0.bit._DSS0\r
+#define DMACB0_SASZ7 dmacb0.bit._SASZ7\r
+#define DMACB0_SASZ6 dmacb0.bit._SASZ6\r
+#define DMACB0_SASZ5 dmacb0.bit._SASZ5\r
+#define DMACB0_SASZ4 dmacb0.bit._SASZ4\r
+#define DMACB0_SASZ3 dmacb0.bit._SASZ3\r
+#define DMACB0_SASZ2 dmacb0.bit._SASZ2\r
+#define DMACB0_SASZ1 dmacb0.bit._SASZ1\r
+#define DMACB0_SASZ0 dmacb0.bit._SASZ0\r
+#define DMACB0_DASZ7 dmacb0.bit._DASZ7\r
+#define DMACB0_DASZ6 dmacb0.bit._DASZ6\r
+#define DMACB0_DASZ5 dmacb0.bit._DASZ5\r
+#define DMACB0_DASZ4 dmacb0.bit._DASZ4\r
+#define DMACB0_DASZ3 dmacb0.bit._DASZ3\r
+#define DMACB0_DASZ2 dmacb0.bit._DASZ2\r
+#define DMACB0_DASZ1 dmacb0.bit._DASZ1\r
+#define DMACB0_DASZ0 dmacb0.bit._DASZ0\r
+#define DMACB0_TYPE dmacb0.bitc._TYPE\r
+#define DMACB0_MOD dmacb0.bitc._MOD\r
+#define DMACB0_WS dmacb0.bitc._WS\r
+#define DMACB0_DSS dmacb0.bitc._DSS\r
+#define DMACB0_SASZ dmacb0.bitc._SASZ\r
+#define DMACB0_DASZ dmacb0.bitc._DASZ\r
+__IO_EXTERN DMACA1STR dmaca1;  \r
+#define DMACA1 dmaca1.lword\r
+#define DMACA1_DENB dmaca1.bit._DENB\r
+#define DMACA1_PAUS dmaca1.bit._PAUS\r
+#define DMACA1_STRG dmaca1.bit._STRG\r
+#define DMACA1_IS4 dmaca1.bit._IS4\r
+#define DMACA1_IS3 dmaca1.bit._IS3\r
+#define DMACA1_IS2 dmaca1.bit._IS2\r
+#define DMACA1_IS1 dmaca1.bit._IS1\r
+#define DMACA1_IS0 dmaca1.bit._IS0\r
+#define DMACA1_EIS3 dmaca1.bit._EIS3\r
+#define DMACA1_EIS2 dmaca1.bit._EIS2\r
+#define DMACA1_EIS1 dmaca1.bit._EIS1\r
+#define DMACA1_EIS0 dmaca1.bit._EIS0\r
+#define DMACA1_BLK3 dmaca1.bit._BLK3\r
+#define DMACA1_BLK2 dmaca1.bit._BLK2\r
+#define DMACA1_BLK1 dmaca1.bit._BLK1\r
+#define DMACA1_BLK0 dmaca1.bit._BLK0\r
+#define DMACA1_DTCF dmaca1.bit._DTCF\r
+#define DMACA1_DTCE dmaca1.bit._DTCE\r
+#define DMACA1_DTCD dmaca1.bit._DTCD\r
+#define DMACA1_DTCC dmaca1.bit._DTCC\r
+#define DMACA1_DTCB dmaca1.bit._DTCB\r
+#define DMACA1_DTCA dmaca1.bit._DTCA\r
+#define DMACA1_DTC9 dmaca1.bit._DTC9\r
+#define DMACA1_DTC8 dmaca1.bit._DTC8\r
+#define DMACA1_DTC7 dmaca1.bit._DTC7\r
+#define DMACA1_DTC6 dmaca1.bit._DTC6\r
+#define DMACA1_DTC5 dmaca1.bit._DTC5\r
+#define DMACA1_DTC4 dmaca1.bit._DTC4\r
+#define DMACA1_DTC3 dmaca1.bit._DTC3\r
+#define DMACA1_DTC2 dmaca1.bit._DTC2\r
+#define DMACA1_DTC1 dmaca1.bit._DTC1\r
+#define DMACA1_DTC0 dmaca1.bit._DTC0\r
+#define DMACA1_IS dmaca1.bitc._IS\r
+#define DMACA1_EIS dmaca1.bitc._EIS\r
+#define DMACA1_BLK dmaca1.bitc._BLK\r
+#define DMACA1_DTC dmaca1.bitc._DTC\r
+__IO_EXTERN DMACB1STR dmacb1;  \r
+#define DMACB1 dmacb1.lword\r
+#define DMACB1_TYPE1 dmacb1.bit._TYPE1\r
+#define DMACB1_TYPE0 dmacb1.bit._TYPE0\r
+#define DMACB1_MOD1 dmacb1.bit._MOD1\r
+#define DMACB1_MOD0 dmacb1.bit._MOD0\r
+#define DMACB1_WS1 dmacb1.bit._WS1\r
+#define DMACB1_WS0 dmacb1.bit._WS0\r
+#define DMACB1_SADM dmacb1.bit._SADM\r
+#define DMACB1_DADM dmacb1.bit._DADM\r
+#define DMACB1_DTCR dmacb1.bit._DTCR\r
+#define DMACB1_SADR dmacb1.bit._SADR\r
+#define DMACB1_DADR dmacb1.bit._DADR\r
+#define DMACB1_ERIE dmacb1.bit._ERIE\r
+#define DMACB1_EDIE dmacb1.bit._EDIE\r
+#define DMACB1_DSS2 dmacb1.bit._DSS2\r
+#define DMACB1_DSS1 dmacb1.bit._DSS1\r
+#define DMACB1_DSS0 dmacb1.bit._DSS0\r
+#define DMACB1_SASZ7 dmacb1.bit._SASZ7\r
+#define DMACB1_SASZ6 dmacb1.bit._SASZ6\r
+#define DMACB1_SASZ5 dmacb1.bit._SASZ5\r
+#define DMACB1_SASZ4 dmacb1.bit._SASZ4\r
+#define DMACB1_SASZ3 dmacb1.bit._SASZ3\r
+#define DMACB1_SASZ2 dmacb1.bit._SASZ2\r
+#define DMACB1_SASZ1 dmacb1.bit._SASZ1\r
+#define DMACB1_SASZ0 dmacb1.bit._SASZ0\r
+#define DMACB1_DASZ7 dmacb1.bit._DASZ7\r
+#define DMACB1_DASZ6 dmacb1.bit._DASZ6\r
+#define DMACB1_DASZ5 dmacb1.bit._DASZ5\r
+#define DMACB1_DASZ4 dmacb1.bit._DASZ4\r
+#define DMACB1_DASZ3 dmacb1.bit._DASZ3\r
+#define DMACB1_DASZ2 dmacb1.bit._DASZ2\r
+#define DMACB1_DASZ1 dmacb1.bit._DASZ1\r
+#define DMACB1_DASZ0 dmacb1.bit._DASZ0\r
+#define DMACB1_TYPE dmacb1.bitc._TYPE\r
+#define DMACB1_MOD dmacb1.bitc._MOD\r
+#define DMACB1_WS dmacb1.bitc._WS\r
+#define DMACB1_DSS dmacb1.bitc._DSS\r
+#define DMACB1_SASZ dmacb1.bitc._SASZ\r
+#define DMACB1_DASZ dmacb1.bitc._DASZ\r
+__IO_EXTERN DMACA2STR dmaca2;  \r
+#define DMACA2 dmaca2.lword\r
+#define DMACA2_DENB dmaca2.bit._DENB\r
+#define DMACA2_PAUS dmaca2.bit._PAUS\r
+#define DMACA2_STRG dmaca2.bit._STRG\r
+#define DMACA2_IS4 dmaca2.bit._IS4\r
+#define DMACA2_IS3 dmaca2.bit._IS3\r
+#define DMACA2_IS2 dmaca2.bit._IS2\r
+#define DMACA2_IS1 dmaca2.bit._IS1\r
+#define DMACA2_IS0 dmaca2.bit._IS0\r
+#define DMACA2_EIS3 dmaca2.bit._EIS3\r
+#define DMACA2_EIS2 dmaca2.bit._EIS2\r
+#define DMACA2_EIS1 dmaca2.bit._EIS1\r
+#define DMACA2_EIS0 dmaca2.bit._EIS0\r
+#define DMACA2_BLK3 dmaca2.bit._BLK3\r
+#define DMACA2_BLK2 dmaca2.bit._BLK2\r
+#define DMACA2_BLK1 dmaca2.bit._BLK1\r
+#define DMACA2_BLK0 dmaca2.bit._BLK0\r
+#define DMACA2_DTCF dmaca2.bit._DTCF\r
+#define DMACA2_DTCE dmaca2.bit._DTCE\r
+#define DMACA2_DTCD dmaca2.bit._DTCD\r
+#define DMACA2_DTCC dmaca2.bit._DTCC\r
+#define DMACA2_DTCB dmaca2.bit._DTCB\r
+#define DMACA2_DTCA dmaca2.bit._DTCA\r
+#define DMACA2_DTC9 dmaca2.bit._DTC9\r
+#define DMACA2_DTC8 dmaca2.bit._DTC8\r
+#define DMACA2_DTC7 dmaca2.bit._DTC7\r
+#define DMACA2_DTC6 dmaca2.bit._DTC6\r
+#define DMACA2_DTC5 dmaca2.bit._DTC5\r
+#define DMACA2_DTC4 dmaca2.bit._DTC4\r
+#define DMACA2_DTC3 dmaca2.bit._DTC3\r
+#define DMACA2_DTC2 dmaca2.bit._DTC2\r
+#define DMACA2_DTC1 dmaca2.bit._DTC1\r
+#define DMACA2_DTC0 dmaca2.bit._DTC0\r
+#define DMACA2_IS dmaca2.bitc._IS\r
+#define DMACA2_EIS dmaca2.bitc._EIS\r
+#define DMACA2_BLK dmaca2.bitc._BLK\r
+#define DMACA2_DTC dmaca2.bitc._DTC\r
+__IO_EXTERN DMACB2STR dmacb2;  \r
+#define DMACB2 dmacb2.lword\r
+#define DMACB2_TYPE1 dmacb2.bit._TYPE1\r
+#define DMACB2_TYPE0 dmacb2.bit._TYPE0\r
+#define DMACB2_MOD1 dmacb2.bit._MOD1\r
+#define DMACB2_MOD0 dmacb2.bit._MOD0\r
+#define DMACB2_WS1 dmacb2.bit._WS1\r
+#define DMACB2_WS0 dmacb2.bit._WS0\r
+#define DMACB2_SADM dmacb2.bit._SADM\r
+#define DMACB2_DADM dmacb2.bit._DADM\r
+#define DMACB2_DTCR dmacb2.bit._DTCR\r
+#define DMACB2_SADR dmacb2.bit._SADR\r
+#define DMACB2_DADR dmacb2.bit._DADR\r
+#define DMACB2_ERIE dmacb2.bit._ERIE\r
+#define DMACB2_EDIE dmacb2.bit._EDIE\r
+#define DMACB2_DSS2 dmacb2.bit._DSS2\r
+#define DMACB2_DSS1 dmacb2.bit._DSS1\r
+#define DMACB2_DSS0 dmacb2.bit._DSS0\r
+#define DMACB2_SASZ7 dmacb2.bit._SASZ7\r
+#define DMACB2_SASZ6 dmacb2.bit._SASZ6\r
+#define DMACB2_SASZ5 dmacb2.bit._SASZ5\r
+#define DMACB2_SASZ4 dmacb2.bit._SASZ4\r
+#define DMACB2_SASZ3 dmacb2.bit._SASZ3\r
+#define DMACB2_SASZ2 dmacb2.bit._SASZ2\r
+#define DMACB2_SASZ1 dmacb2.bit._SASZ1\r
+#define DMACB2_SASZ0 dmacb2.bit._SASZ0\r
+#define DMACB2_DASZ7 dmacb2.bit._DASZ7\r
+#define DMACB2_DASZ6 dmacb2.bit._DASZ6\r
+#define DMACB2_DASZ5 dmacb2.bit._DASZ5\r
+#define DMACB2_DASZ4 dmacb2.bit._DASZ4\r
+#define DMACB2_DASZ3 dmacb2.bit._DASZ3\r
+#define DMACB2_DASZ2 dmacb2.bit._DASZ2\r
+#define DMACB2_DASZ1 dmacb2.bit._DASZ1\r
+#define DMACB2_DASZ0 dmacb2.bit._DASZ0\r
+#define DMACB2_TYPE dmacb2.bitc._TYPE\r
+#define DMACB2_MOD dmacb2.bitc._MOD\r
+#define DMACB2_WS dmacb2.bitc._WS\r
+#define DMACB2_DSS dmacb2.bitc._DSS\r
+#define DMACB2_SASZ dmacb2.bitc._SASZ\r
+#define DMACB2_DASZ dmacb2.bitc._DASZ\r
+__IO_EXTERN DMACA3STR dmaca3;  \r
+#define DMACA3 dmaca3.lword\r
+#define DMACA3_DENB dmaca3.bit._DENB\r
+#define DMACA3_PAUS dmaca3.bit._PAUS\r
+#define DMACA3_STRG dmaca3.bit._STRG\r
+#define DMACA3_IS4 dmaca3.bit._IS4\r
+#define DMACA3_IS3 dmaca3.bit._IS3\r
+#define DMACA3_IS2 dmaca3.bit._IS2\r
+#define DMACA3_IS1 dmaca3.bit._IS1\r
+#define DMACA3_IS0 dmaca3.bit._IS0\r
+#define DMACA3_EIS3 dmaca3.bit._EIS3\r
+#define DMACA3_EIS2 dmaca3.bit._EIS2\r
+#define DMACA3_EIS1 dmaca3.bit._EIS1\r
+#define DMACA3_EIS0 dmaca3.bit._EIS0\r
+#define DMACA3_BLK3 dmaca3.bit._BLK3\r
+#define DMACA3_BLK2 dmaca3.bit._BLK2\r
+#define DMACA3_BLK1 dmaca3.bit._BLK1\r
+#define DMACA3_BLK0 dmaca3.bit._BLK0\r
+#define DMACA3_DTCF dmaca3.bit._DTCF\r
+#define DMACA3_DTCE dmaca3.bit._DTCE\r
+#define DMACA3_DTCD dmaca3.bit._DTCD\r
+#define DMACA3_DTCC dmaca3.bit._DTCC\r
+#define DMACA3_DTCB dmaca3.bit._DTCB\r
+#define DMACA3_DTCA dmaca3.bit._DTCA\r
+#define DMACA3_DTC9 dmaca3.bit._DTC9\r
+#define DMACA3_DTC8 dmaca3.bit._DTC8\r
+#define DMACA3_DTC7 dmaca3.bit._DTC7\r
+#define DMACA3_DTC6 dmaca3.bit._DTC6\r
+#define DMACA3_DTC5 dmaca3.bit._DTC5\r
+#define DMACA3_DTC4 dmaca3.bit._DTC4\r
+#define DMACA3_DTC3 dmaca3.bit._DTC3\r
+#define DMACA3_DTC2 dmaca3.bit._DTC2\r
+#define DMACA3_DTC1 dmaca3.bit._DTC1\r
+#define DMACA3_DTC0 dmaca3.bit._DTC0\r
+#define DMACA3_IS dmaca3.bitc._IS\r
+#define DMACA3_EIS dmaca3.bitc._EIS\r
+#define DMACA3_BLK dmaca3.bitc._BLK\r
+#define DMACA3_DTC dmaca3.bitc._DTC\r
+__IO_EXTERN DMACB3STR dmacb3;  \r
+#define DMACB3 dmacb3.lword\r
+#define DMACB3_TYPE1 dmacb3.bit._TYPE1\r
+#define DMACB3_TYPE0 dmacb3.bit._TYPE0\r
+#define DMACB3_MOD1 dmacb3.bit._MOD1\r
+#define DMACB3_MOD0 dmacb3.bit._MOD0\r
+#define DMACB3_WS1 dmacb3.bit._WS1\r
+#define DMACB3_WS0 dmacb3.bit._WS0\r
+#define DMACB3_SADM dmacb3.bit._SADM\r
+#define DMACB3_DADM dmacb3.bit._DADM\r
+#define DMACB3_DTCR dmacb3.bit._DTCR\r
+#define DMACB3_SADR dmacb3.bit._SADR\r
+#define DMACB3_DADR dmacb3.bit._DADR\r
+#define DMACB3_ERIE dmacb3.bit._ERIE\r
+#define DMACB3_EDIE dmacb3.bit._EDIE\r
+#define DMACB3_DSS2 dmacb3.bit._DSS2\r
+#define DMACB3_DSS1 dmacb3.bit._DSS1\r
+#define DMACB3_DSS0 dmacb3.bit._DSS0\r
+#define DMACB3_SASZ7 dmacb3.bit._SASZ7\r
+#define DMACB3_SASZ6 dmacb3.bit._SASZ6\r
+#define DMACB3_SASZ5 dmacb3.bit._SASZ5\r
+#define DMACB3_SASZ4 dmacb3.bit._SASZ4\r
+#define DMACB3_SASZ3 dmacb3.bit._SASZ3\r
+#define DMACB3_SASZ2 dmacb3.bit._SASZ2\r
+#define DMACB3_SASZ1 dmacb3.bit._SASZ1\r
+#define DMACB3_SASZ0 dmacb3.bit._SASZ0\r
+#define DMACB3_DASZ7 dmacb3.bit._DASZ7\r
+#define DMACB3_DASZ6 dmacb3.bit._DASZ6\r
+#define DMACB3_DASZ5 dmacb3.bit._DASZ5\r
+#define DMACB3_DASZ4 dmacb3.bit._DASZ4\r
+#define DMACB3_DASZ3 dmacb3.bit._DASZ3\r
+#define DMACB3_DASZ2 dmacb3.bit._DASZ2\r
+#define DMACB3_DASZ1 dmacb3.bit._DASZ1\r
+#define DMACB3_DASZ0 dmacb3.bit._DASZ0\r
+#define DMACB3_TYPE dmacb3.bitc._TYPE\r
+#define DMACB3_MOD dmacb3.bitc._MOD\r
+#define DMACB3_WS dmacb3.bitc._WS\r
+#define DMACB3_DSS dmacb3.bitc._DSS\r
+#define DMACB3_SASZ dmacb3.bitc._SASZ\r
+#define DMACB3_DASZ dmacb3.bitc._DASZ\r
+__IO_EXTERN DMACA4STR dmaca4;  \r
+#define DMACA4 dmaca4.lword\r
+#define DMACA4_DENB dmaca4.bit._DENB\r
+#define DMACA4_PAUS dmaca4.bit._PAUS\r
+#define DMACA4_STRG dmaca4.bit._STRG\r
+#define DMACA4_IS4 dmaca4.bit._IS4\r
+#define DMACA4_IS3 dmaca4.bit._IS3\r
+#define DMACA4_IS2 dmaca4.bit._IS2\r
+#define DMACA4_IS1 dmaca4.bit._IS1\r
+#define DMACA4_IS0 dmaca4.bit._IS0\r
+#define DMACA4_EIS3 dmaca4.bit._EIS3\r
+#define DMACA4_EIS2 dmaca4.bit._EIS2\r
+#define DMACA4_EIS1 dmaca4.bit._EIS1\r
+#define DMACA4_EIS0 dmaca4.bit._EIS0\r
+#define DMACA4_BLK3 dmaca4.bit._BLK3\r
+#define DMACA4_BLK2 dmaca4.bit._BLK2\r
+#define DMACA4_BLK1 dmaca4.bit._BLK1\r
+#define DMACA4_BLK0 dmaca4.bit._BLK0\r
+#define DMACA4_DTCF dmaca4.bit._DTCF\r
+#define DMACA4_DTCE dmaca4.bit._DTCE\r
+#define DMACA4_DTCD dmaca4.bit._DTCD\r
+#define DMACA4_DTCC dmaca4.bit._DTCC\r
+#define DMACA4_DTCB dmaca4.bit._DTCB\r
+#define DMACA4_DTCA dmaca4.bit._DTCA\r
+#define DMACA4_DTC9 dmaca4.bit._DTC9\r
+#define DMACA4_DTC8 dmaca4.bit._DTC8\r
+#define DMACA4_DTC7 dmaca4.bit._DTC7\r
+#define DMACA4_DTC6 dmaca4.bit._DTC6\r
+#define DMACA4_DTC5 dmaca4.bit._DTC5\r
+#define DMACA4_DTC4 dmaca4.bit._DTC4\r
+#define DMACA4_DTC3 dmaca4.bit._DTC3\r
+#define DMACA4_DTC2 dmaca4.bit._DTC2\r
+#define DMACA4_DTC1 dmaca4.bit._DTC1\r
+#define DMACA4_DTC0 dmaca4.bit._DTC0\r
+#define DMACA4_IS dmaca4.bitc._IS\r
+#define DMACA4_EIS dmaca4.bitc._EIS\r
+#define DMACA4_BLK dmaca4.bitc._BLK\r
+#define DMACA4_DTC dmaca4.bitc._DTC\r
+__IO_EXTERN DMACB4STR dmacb4;  \r
+#define DMACB4 dmacb4.lword\r
+#define DMACB4_TYPE1 dmacb4.bit._TYPE1\r
+#define DMACB4_TYPE0 dmacb4.bit._TYPE0\r
+#define DMACB4_MOD1 dmacb4.bit._MOD1\r
+#define DMACB4_MOD0 dmacb4.bit._MOD0\r
+#define DMACB4_WS1 dmacb4.bit._WS1\r
+#define DMACB4_WS0 dmacb4.bit._WS0\r
+#define DMACB4_SADM dmacb4.bit._SADM\r
+#define DMACB4_DADM dmacb4.bit._DADM\r
+#define DMACB4_DTCR dmacb4.bit._DTCR\r
+#define DMACB4_SADR dmacb4.bit._SADR\r
+#define DMACB4_DADR dmacb4.bit._DADR\r
+#define DMACB4_ERIE dmacb4.bit._ERIE\r
+#define DMACB4_EDIE dmacb4.bit._EDIE\r
+#define DMACB4_DSS2 dmacb4.bit._DSS2\r
+#define DMACB4_DSS1 dmacb4.bit._DSS1\r
+#define DMACB4_DSS0 dmacb4.bit._DSS0\r
+#define DMACB4_SASZ7 dmacb4.bit._SASZ7\r
+#define DMACB4_SASZ6 dmacb4.bit._SASZ6\r
+#define DMACB4_SASZ5 dmacb4.bit._SASZ5\r
+#define DMACB4_SASZ4 dmacb4.bit._SASZ4\r
+#define DMACB4_SASZ3 dmacb4.bit._SASZ3\r
+#define DMACB4_SASZ2 dmacb4.bit._SASZ2\r
+#define DMACB4_SASZ1 dmacb4.bit._SASZ1\r
+#define DMACB4_SASZ0 dmacb4.bit._SASZ0\r
+#define DMACB4_DASZ7 dmacb4.bit._DASZ7\r
+#define DMACB4_DASZ6 dmacb4.bit._DASZ6\r
+#define DMACB4_DASZ5 dmacb4.bit._DASZ5\r
+#define DMACB4_DASZ4 dmacb4.bit._DASZ4\r
+#define DMACB4_DASZ3 dmacb4.bit._DASZ3\r
+#define DMACB4_DASZ2 dmacb4.bit._DASZ2\r
+#define DMACB4_DASZ1 dmacb4.bit._DASZ1\r
+#define DMACB4_DASZ0 dmacb4.bit._DASZ0\r
+#define DMACB4_TYPE dmacb4.bitc._TYPE\r
+#define DMACB4_MOD dmacb4.bitc._MOD\r
+#define DMACB4_WS dmacb4.bitc._WS\r
+#define DMACB4_DSS dmacb4.bitc._DSS\r
+#define DMACB4_SASZ dmacb4.bitc._SASZ\r
+#define DMACB4_DASZ dmacb4.bitc._DASZ\r
+__IO_EXTERN DMACRSTR dmacr;  \r
+#define DMACR dmacr.byte\r
+#define DMACR_DMAE dmacr.bit._DMAE\r
+#define DMACR_PM01 dmacr.bit._PM01\r
+#define DMACR_DMAH3 dmacr.bit._DMAH3\r
+#define DMACR_DMAH2 dmacr.bit._DMAH2\r
+#define DMACR_DMAH1 dmacr.bit._DMAH1\r
+#define DMACR_DMAH0 dmacr.bit._DMAH0\r
+#define DMACR_DMAH dmacr.bitc._DMAH\r
+__IO_EXTERN ICS45STR ics45;   /* Input Capture 4-7 */\r
+#define ICS45 ics45.byte\r
+#define ICS45_ICP5 ics45.bit._ICP5\r
+#define ICS45_ICP4 ics45.bit._ICP4\r
+#define ICS45_ICE5 ics45.bit._ICE5\r
+#define ICS45_ICE4 ics45.bit._ICE4\r
+#define ICS45_EG51 ics45.bit._EG51\r
+#define ICS45_EG50 ics45.bit._EG50\r
+#define ICS45_EG41 ics45.bit._EG41\r
+#define ICS45_EG40 ics45.bit._EG40\r
+#define ICS45_EG5 ics45.bitc._EG5\r
+#define ICS45_EG4 ics45.bitc._EG4\r
+__IO_EXTERN ICS67STR ics67;  \r
+#define ICS67 ics67.byte\r
+#define ICS67_ICP7 ics67.bit._ICP7\r
+#define ICS67_ICP6 ics67.bit._ICP6\r
+#define ICS67_ICE7 ics67.bit._ICE7\r
+#define ICS67_ICE6 ics67.bit._ICE6\r
+#define ICS67_EG71 ics67.bit._EG71\r
+#define ICS67_EG70 ics67.bit._EG70\r
+#define ICS67_EG61 ics67.bit._EG61\r
+#define ICS67_EG60 ics67.bit._EG60\r
+#define ICS67_EG7 ics67.bitc._EG7\r
+#define ICS67_EG6 ics67.bitc._EG6\r
+__IO_EXTERN IPCP4STR ipcp4;  \r
+#define IPCP4 ipcp4.word\r
+#define IPCP4_CP15 ipcp4.bit._CP15\r
+#define IPCP4_CP14 ipcp4.bit._CP14\r
+#define IPCP4_CP13 ipcp4.bit._CP13\r
+#define IPCP4_CP12 ipcp4.bit._CP12\r
+#define IPCP4_CP11 ipcp4.bit._CP11\r
+#define IPCP4_CP10 ipcp4.bit._CP10\r
+#define IPCP4_CP9 ipcp4.bit._CP9\r
+#define IPCP4_CP8 ipcp4.bit._CP8\r
+#define IPCP4_CP7 ipcp4.bit._CP7\r
+#define IPCP4_CP6 ipcp4.bit._CP6\r
+#define IPCP4_CP5 ipcp4.bit._CP5\r
+#define IPCP4_CP4 ipcp4.bit._CP4\r
+#define IPCP4_CP3 ipcp4.bit._CP3\r
+#define IPCP4_CP2 ipcp4.bit._CP2\r
+#define IPCP4_CP1 ipcp4.bit._CP1\r
+#define IPCP4_CP0 ipcp4.bit._CP0\r
+__IO_EXTERN IPCP5STR ipcp5;  \r
+#define IPCP5 ipcp5.word\r
+#define IPCP5_CP15 ipcp5.bit._CP15\r
+#define IPCP5_CP14 ipcp5.bit._CP14\r
+#define IPCP5_CP13 ipcp5.bit._CP13\r
+#define IPCP5_CP12 ipcp5.bit._CP12\r
+#define IPCP5_CP11 ipcp5.bit._CP11\r
+#define IPCP5_CP10 ipcp5.bit._CP10\r
+#define IPCP5_CP9 ipcp5.bit._CP9\r
+#define IPCP5_CP8 ipcp5.bit._CP8\r
+#define IPCP5_CP7 ipcp5.bit._CP7\r
+#define IPCP5_CP6 ipcp5.bit._CP6\r
+#define IPCP5_CP5 ipcp5.bit._CP5\r
+#define IPCP5_CP4 ipcp5.bit._CP4\r
+#define IPCP5_CP3 ipcp5.bit._CP3\r
+#define IPCP5_CP2 ipcp5.bit._CP2\r
+#define IPCP5_CP1 ipcp5.bit._CP1\r
+#define IPCP5_CP0 ipcp5.bit._CP0\r
+__IO_EXTERN IPCP6STR ipcp6;  \r
+#define IPCP6 ipcp6.word\r
+#define IPCP6_CP15 ipcp6.bit._CP15\r
+#define IPCP6_CP14 ipcp6.bit._CP14\r
+#define IPCP6_CP13 ipcp6.bit._CP13\r
+#define IPCP6_CP12 ipcp6.bit._CP12\r
+#define IPCP6_CP11 ipcp6.bit._CP11\r
+#define IPCP6_CP10 ipcp6.bit._CP10\r
+#define IPCP6_CP9 ipcp6.bit._CP9\r
+#define IPCP6_CP8 ipcp6.bit._CP8\r
+#define IPCP6_CP7 ipcp6.bit._CP7\r
+#define IPCP6_CP6 ipcp6.bit._CP6\r
+#define IPCP6_CP5 ipcp6.bit._CP5\r
+#define IPCP6_CP4 ipcp6.bit._CP4\r
+#define IPCP6_CP3 ipcp6.bit._CP3\r
+#define IPCP6_CP2 ipcp6.bit._CP2\r
+#define IPCP6_CP1 ipcp6.bit._CP1\r
+#define IPCP6_CP0 ipcp6.bit._CP0\r
+__IO_EXTERN IPCP7STR ipcp7;  \r
+#define IPCP7 ipcp7.word\r
+#define IPCP7_CP15 ipcp7.bit._CP15\r
+#define IPCP7_CP14 ipcp7.bit._CP14\r
+#define IPCP7_CP13 ipcp7.bit._CP13\r
+#define IPCP7_CP12 ipcp7.bit._CP12\r
+#define IPCP7_CP11 ipcp7.bit._CP11\r
+#define IPCP7_CP10 ipcp7.bit._CP10\r
+#define IPCP7_CP9 ipcp7.bit._CP9\r
+#define IPCP7_CP8 ipcp7.bit._CP8\r
+#define IPCP7_CP7 ipcp7.bit._CP7\r
+#define IPCP7_CP6 ipcp7.bit._CP6\r
+#define IPCP7_CP5 ipcp7.bit._CP5\r
+#define IPCP7_CP4 ipcp7.bit._CP4\r
+#define IPCP7_CP3 ipcp7.bit._CP3\r
+#define IPCP7_CP2 ipcp7.bit._CP2\r
+#define IPCP7_CP1 ipcp7.bit._CP1\r
+#define IPCP7_CP0 ipcp7.bit._CP0\r
+__IO_EXTERN OCS45STR ocs45;   /* Output Compare 4-7 */\r
+#define OCS45 ocs45.word\r
+#define OCS45_CMOD ocs45.bit._CMOD\r
+#define OCS45_OTD5 ocs45.bit._OTD5\r
+#define OCS45_OTD4 ocs45.bit._OTD4\r
+#define OCS45_ICP5 ocs45.bit._ICP5\r
+#define OCS45_ICP4 ocs45.bit._ICP4\r
+#define OCS45_ICE5 ocs45.bit._ICE5\r
+#define OCS45_ICE4 ocs45.bit._ICE4\r
+#define OCS45_CST5 ocs45.bit._CST5\r
+#define OCS45_CST4 ocs45.bit._CST4\r
+__IO_EXTERN OCS67STR ocs67;  \r
+#define OCS67 ocs67.word\r
+#define OCS67_CMOD ocs67.bit._CMOD\r
+#define OCS67_OTD7 ocs67.bit._OTD7\r
+#define OCS67_OTD6 ocs67.bit._OTD6\r
+#define OCS67_ICP7 ocs67.bit._ICP7\r
+#define OCS67_ICP6 ocs67.bit._ICP6\r
+#define OCS67_ICE7 ocs67.bit._ICE7\r
+#define OCS67_ICE6 ocs67.bit._ICE6\r
+#define OCS67_CST7 ocs67.bit._CST7\r
+#define OCS67_CST6 ocs67.bit._CST6\r
+__IO_EXTERN OCCP4STR occp4;  \r
+#define OCCP4 occp4.word\r
+#define OCCP4_C15 occp4.bit._C15\r
+#define OCCP4_C14 occp4.bit._C14\r
+#define OCCP4_C13 occp4.bit._C13\r
+#define OCCP4_C12 occp4.bit._C12\r
+#define OCCP4_C11 occp4.bit._C11\r
+#define OCCP4_C10 occp4.bit._C10\r
+#define OCCP4_C9 occp4.bit._C9\r
+#define OCCP4_C8 occp4.bit._C8\r
+#define OCCP4_C7 occp4.bit._C7\r
+#define OCCP4_C6 occp4.bit._C6\r
+#define OCCP4_C5 occp4.bit._C5\r
+#define OCCP4_C4 occp4.bit._C4\r
+#define OCCP4_C3 occp4.bit._C3\r
+#define OCCP4_C2 occp4.bit._C2\r
+#define OCCP4_C1 occp4.bit._C1\r
+#define OCCP4_C0 occp4.bit._C0\r
+__IO_EXTERN OCCP5STR occp5;  \r
+#define OCCP5 occp5.word\r
+#define OCCP5_C15 occp5.bit._C15\r
+#define OCCP5_C14 occp5.bit._C14\r
+#define OCCP5_C13 occp5.bit._C13\r
+#define OCCP5_C12 occp5.bit._C12\r
+#define OCCP5_C11 occp5.bit._C11\r
+#define OCCP5_C10 occp5.bit._C10\r
+#define OCCP5_C9 occp5.bit._C9\r
+#define OCCP5_C8 occp5.bit._C8\r
+#define OCCP5_C7 occp5.bit._C7\r
+#define OCCP5_C6 occp5.bit._C6\r
+#define OCCP5_C5 occp5.bit._C5\r
+#define OCCP5_C4 occp5.bit._C4\r
+#define OCCP5_C3 occp5.bit._C3\r
+#define OCCP5_C2 occp5.bit._C2\r
+#define OCCP5_C1 occp5.bit._C1\r
+#define OCCP5_C0 occp5.bit._C0\r
+__IO_EXTERN OCCP6STR occp6;  \r
+#define OCCP6 occp6.word\r
+#define OCCP6_C15 occp6.bit._C15\r
+#define OCCP6_C14 occp6.bit._C14\r
+#define OCCP6_C13 occp6.bit._C13\r
+#define OCCP6_C12 occp6.bit._C12\r
+#define OCCP6_C11 occp6.bit._C11\r
+#define OCCP6_C10 occp6.bit._C10\r
+#define OCCP6_C9 occp6.bit._C9\r
+#define OCCP6_C8 occp6.bit._C8\r
+#define OCCP6_C7 occp6.bit._C7\r
+#define OCCP6_C6 occp6.bit._C6\r
+#define OCCP6_C5 occp6.bit._C5\r
+#define OCCP6_C4 occp6.bit._C4\r
+#define OCCP6_C3 occp6.bit._C3\r
+#define OCCP6_C2 occp6.bit._C2\r
+#define OCCP6_C1 occp6.bit._C1\r
+#define OCCP6_C0 occp6.bit._C0\r
+__IO_EXTERN OCCP7STR occp7;  \r
+#define OCCP7 occp7.word\r
+#define OCCP7_C15 occp7.bit._C15\r
+#define OCCP7_C14 occp7.bit._C14\r
+#define OCCP7_C13 occp7.bit._C13\r
+#define OCCP7_C12 occp7.bit._C12\r
+#define OCCP7_C11 occp7.bit._C11\r
+#define OCCP7_C10 occp7.bit._C10\r
+#define OCCP7_C9 occp7.bit._C9\r
+#define OCCP7_C8 occp7.bit._C8\r
+#define OCCP7_C7 occp7.bit._C7\r
+#define OCCP7_C6 occp7.bit._C6\r
+#define OCCP7_C5 occp7.bit._C5\r
+#define OCCP7_C4 occp7.bit._C4\r
+#define OCCP7_C3 occp7.bit._C3\r
+#define OCCP7_C2 occp7.bit._C2\r
+#define OCCP7_C1 occp7.bit._C1\r
+#define OCCP7_C0 occp7.bit._C0\r
+__IO_EXTERN TCDT4STR tcdt4;   /* Free Running Timer4 */\r
+#define TCDT4 tcdt4.word\r
+#define TCDT4_T15 tcdt4.bit._T15\r
+#define TCDT4_T14 tcdt4.bit._T14\r
+#define TCDT4_T13 tcdt4.bit._T13\r
+#define TCDT4_T12 tcdt4.bit._T12\r
+#define TCDT4_T11 tcdt4.bit._T11\r
+#define TCDT4_T10 tcdt4.bit._T10\r
+#define TCDT4_T9 tcdt4.bit._T9\r
+#define TCDT4_T8 tcdt4.bit._T8\r
+#define TCDT4_T7 tcdt4.bit._T7\r
+#define TCDT4_T6 tcdt4.bit._T6\r
+#define TCDT4_T5 tcdt4.bit._T5\r
+#define TCDT4_T4 tcdt4.bit._T4\r
+#define TCDT4_T3 tcdt4.bit._T3\r
+#define TCDT4_T2 tcdt4.bit._T2\r
+#define TCDT4_T1 tcdt4.bit._T1\r
+#define TCDT4_T0 tcdt4.bit._T0\r
+__IO_EXTERN TCCS4STR tccs4;  \r
+#define TCCS4 tccs4.byte\r
+#define TCCS4_ECLK tccs4.bit._ECLK\r
+#define TCCS4_IVF tccs4.bit._IVF\r
+#define TCCS4_IVFE tccs4.bit._IVFE\r
+#define TCCS4_STOP tccs4.bit._STOP\r
+#define TCCS4_MODE tccs4.bit._MODE\r
+#define TCCS4_CLR tccs4.bit._CLR\r
+#define TCCS4_CLK1 tccs4.bit._CLK1\r
+#define TCCS4_CLK0 tccs4.bit._CLK0\r
+#define TCCS4_CLK tccs4.bitc._CLK\r
+__IO_EXTERN TCDT5STR tcdt5;   /* Free Running Timer5 */\r
+#define TCDT5 tcdt5.word\r
+#define TCDT5_T15 tcdt5.bit._T15\r
+#define TCDT5_T14 tcdt5.bit._T14\r
+#define TCDT5_T13 tcdt5.bit._T13\r
+#define TCDT5_T12 tcdt5.bit._T12\r
+#define TCDT5_T11 tcdt5.bit._T11\r
+#define TCDT5_T10 tcdt5.bit._T10\r
+#define TCDT5_T9 tcdt5.bit._T9\r
+#define TCDT5_T8 tcdt5.bit._T8\r
+#define TCDT5_T7 tcdt5.bit._T7\r
+#define TCDT5_T6 tcdt5.bit._T6\r
+#define TCDT5_T5 tcdt5.bit._T5\r
+#define TCDT5_T4 tcdt5.bit._T4\r
+#define TCDT5_T3 tcdt5.bit._T3\r
+#define TCDT5_T2 tcdt5.bit._T2\r
+#define TCDT5_T1 tcdt5.bit._T1\r
+#define TCDT5_T0 tcdt5.bit._T0\r
+__IO_EXTERN TCCS5STR tccs5;  \r
+#define TCCS5 tccs5.byte\r
+#define TCCS5_ECLK tccs5.bit._ECLK\r
+#define TCCS5_IVF tccs5.bit._IVF\r
+#define TCCS5_IVFE tccs5.bit._IVFE\r
+#define TCCS5_STOP tccs5.bit._STOP\r
+#define TCCS5_MODE tccs5.bit._MODE\r
+#define TCCS5_CLR tccs5.bit._CLR\r
+#define TCCS5_CLK1 tccs5.bit._CLK1\r
+#define TCCS5_CLK0 tccs5.bit._CLK0\r
+#define TCCS5_CLK tccs5.bitc._CLK\r
+__IO_EXTERN TCDT6STR tcdt6;   /* Free Running Timer6 */\r
+#define TCDT6 tcdt6.word\r
+#define TCDT6_T15 tcdt6.bit._T15\r
+#define TCDT6_T14 tcdt6.bit._T14\r
+#define TCDT6_T13 tcdt6.bit._T13\r
+#define TCDT6_T12 tcdt6.bit._T12\r
+#define TCDT6_T11 tcdt6.bit._T11\r
+#define TCDT6_T10 tcdt6.bit._T10\r
+#define TCDT6_T9 tcdt6.bit._T9\r
+#define TCDT6_T8 tcdt6.bit._T8\r
+#define TCDT6_T7 tcdt6.bit._T7\r
+#define TCDT6_T6 tcdt6.bit._T6\r
+#define TCDT6_T5 tcdt6.bit._T5\r
+#define TCDT6_T4 tcdt6.bit._T4\r
+#define TCDT6_T3 tcdt6.bit._T3\r
+#define TCDT6_T2 tcdt6.bit._T2\r
+#define TCDT6_T1 tcdt6.bit._T1\r
+#define TCDT6_T0 tcdt6.bit._T0\r
+__IO_EXTERN TCCS6STR tccs6;  \r
+#define TCCS6 tccs6.byte\r
+#define TCCS6_ECLK tccs6.bit._ECLK\r
+#define TCCS6_IVF tccs6.bit._IVF\r
+#define TCCS6_IVFE tccs6.bit._IVFE\r
+#define TCCS6_STOP tccs6.bit._STOP\r
+#define TCCS6_MODE tccs6.bit._MODE\r
+#define TCCS6_CLR tccs6.bit._CLR\r
+#define TCCS6_CLK1 tccs6.bit._CLK1\r
+#define TCCS6_CLK0 tccs6.bit._CLK0\r
+#define TCCS6_CLK tccs6.bitc._CLK\r
+__IO_EXTERN TCDT7STR tcdt7;   /* Free Running Timer7 */\r
+#define TCDT7 tcdt7.word\r
+#define TCDT7_T15 tcdt7.bit._T15\r
+#define TCDT7_T14 tcdt7.bit._T14\r
+#define TCDT7_T13 tcdt7.bit._T13\r
+#define TCDT7_T12 tcdt7.bit._T12\r
+#define TCDT7_T11 tcdt7.bit._T11\r
+#define TCDT7_T10 tcdt7.bit._T10\r
+#define TCDT7_T9 tcdt7.bit._T9\r
+#define TCDT7_T8 tcdt7.bit._T8\r
+#define TCDT7_T7 tcdt7.bit._T7\r
+#define TCDT7_T6 tcdt7.bit._T6\r
+#define TCDT7_T5 tcdt7.bit._T5\r
+#define TCDT7_T4 tcdt7.bit._T4\r
+#define TCDT7_T3 tcdt7.bit._T3\r
+#define TCDT7_T2 tcdt7.bit._T2\r
+#define TCDT7_T1 tcdt7.bit._T1\r
+#define TCDT7_T0 tcdt7.bit._T0\r
+__IO_EXTERN TCCS7STR tccs7;  \r
+#define TCCS7 tccs7.byte\r
+#define TCCS7_ECLK tccs7.bit._ECLK\r
+#define TCCS7_IVF tccs7.bit._IVF\r
+#define TCCS7_IVFE tccs7.bit._IVFE\r
+#define TCCS7_STOP tccs7.bit._STOP\r
+#define TCCS7_MODE tccs7.bit._MODE\r
+#define TCCS7_CLR tccs7.bit._CLR\r
+#define TCCS7_CLK1 tccs7.bit._CLK1\r
+#define TCCS7_CLK0 tccs7.bit._CLK0\r
+#define TCCS7_CLK tccs7.bitc._CLK\r
+__IO_EXTERN ROMSSTR roms;   /* ROM Select Register */\r
+#define ROMS roms.word\r
+#define ROMS_D15 roms.bit._D15\r
+#define ROMS_D14 roms.bit._D14\r
+#define ROMS_D13 roms.bit._D13\r
+#define ROMS_D12 roms.bit._D12\r
+#define ROMS_D11 roms.bit._D11\r
+#define ROMS_D10 roms.bit._D10\r
+#define ROMS_D9 roms.bit._D9\r
+#define ROMS_D8 roms.bit._D8\r
+#define ROMS_D7 roms.bit._D7\r
+#define ROMS_D6 roms.bit._D6\r
+#define ROMS_D5 roms.bit._D5\r
+#define ROMS_D4 roms.bit._D4\r
+#define ROMS_D3 roms.bit._D3\r
+#define ROMS_D2 roms.bit._D2\r
+#define ROMS_D1 roms.bit._D1\r
+#define ROMS_D0 roms.bit._D0\r
+__IO_EXTERN IO_LWORD bsd0;   /* Bit Search Module */\r
+#define BSD0 bsd0\r
+__IO_EXTERN IO_LWORD bsd1;  \r
+#define BSD1 bsd1\r
+__IO_EXTERN IO_LWORD bsdc;  \r
+#define BSDC bsdc\r
+__IO_EXTERN IO_LWORD bsrr;  \r
+#define BSRR bsrr\r
+__IO_EXTERN ICR00STR icr00;   /* Interrupt Control Unit */\r
+#define ICR00 icr00.byte\r
+#define ICR00_ICR4 icr00.bit._ICR4\r
+#define ICR00_ICR3 icr00.bit._ICR3\r
+#define ICR00_ICR2 icr00.bit._ICR2\r
+#define ICR00_ICR1 icr00.bit._ICR1\r
+#define ICR00_ICR0 icr00.bit._ICR0\r
+__IO_EXTERN ICR01STR icr01;  \r
+#define ICR01 icr01.byte\r
+#define ICR01_ICR4 icr01.bit._ICR4\r
+#define ICR01_ICR3 icr01.bit._ICR3\r
+#define ICR01_ICR2 icr01.bit._ICR2\r
+#define ICR01_ICR1 icr01.bit._ICR1\r
+#define ICR01_ICR0 icr01.bit._ICR0\r
+__IO_EXTERN ICR02STR icr02;  \r
+#define ICR02 icr02.byte\r
+#define ICR02_ICR4 icr02.bit._ICR4\r
+#define ICR02_ICR3 icr02.bit._ICR3\r
+#define ICR02_ICR2 icr02.bit._ICR2\r
+#define ICR02_ICR1 icr02.bit._ICR1\r
+#define ICR02_ICR0 icr02.bit._ICR0\r
+__IO_EXTERN ICR03STR icr03;  \r
+#define ICR03 icr03.byte\r
+#define ICR03_ICR4 icr03.bit._ICR4\r
+#define ICR03_ICR3 icr03.bit._ICR3\r
+#define ICR03_ICR2 icr03.bit._ICR2\r
+#define ICR03_ICR1 icr03.bit._ICR1\r
+#define ICR03_ICR0 icr03.bit._ICR0\r
+__IO_EXTERN ICR04STR icr04;  \r
+#define ICR04 icr04.byte\r
+#define ICR04_ICR4 icr04.bit._ICR4\r
+#define ICR04_ICR3 icr04.bit._ICR3\r
+#define ICR04_ICR2 icr04.bit._ICR2\r
+#define ICR04_ICR1 icr04.bit._ICR1\r
+#define ICR04_ICR0 icr04.bit._ICR0\r
+__IO_EXTERN ICR05STR icr05;  \r
+#define ICR05 icr05.byte\r
+#define ICR05_ICR4 icr05.bit._ICR4\r
+#define ICR05_ICR3 icr05.bit._ICR3\r
+#define ICR05_ICR2 icr05.bit._ICR2\r
+#define ICR05_ICR1 icr05.bit._ICR1\r
+#define ICR05_ICR0 icr05.bit._ICR0\r
+__IO_EXTERN ICR06STR icr06;  \r
+#define ICR06 icr06.byte\r
+#define ICR06_ICR4 icr06.bit._ICR4\r
+#define ICR06_ICR3 icr06.bit._ICR3\r
+#define ICR06_ICR2 icr06.bit._ICR2\r
+#define ICR06_ICR1 icr06.bit._ICR1\r
+#define ICR06_ICR0 icr06.bit._ICR0\r
+__IO_EXTERN ICR07STR icr07;  \r
+#define ICR07 icr07.byte\r
+#define ICR07_ICR4 icr07.bit._ICR4\r
+#define ICR07_ICR3 icr07.bit._ICR3\r
+#define ICR07_ICR2 icr07.bit._ICR2\r
+#define ICR07_ICR1 icr07.bit._ICR1\r
+#define ICR07_ICR0 icr07.bit._ICR0\r
+__IO_EXTERN ICR08STR icr08;  \r
+#define ICR08 icr08.byte\r
+#define ICR08_ICR4 icr08.bit._ICR4\r
+#define ICR08_ICR3 icr08.bit._ICR3\r
+#define ICR08_ICR2 icr08.bit._ICR2\r
+#define ICR08_ICR1 icr08.bit._ICR1\r
+#define ICR08_ICR0 icr08.bit._ICR0\r
+__IO_EXTERN ICR09STR icr09;  \r
+#define ICR09 icr09.byte\r
+#define ICR09_ICR4 icr09.bit._ICR4\r
+#define ICR09_ICR3 icr09.bit._ICR3\r
+#define ICR09_ICR2 icr09.bit._ICR2\r
+#define ICR09_ICR1 icr09.bit._ICR1\r
+#define ICR09_ICR0 icr09.bit._ICR0\r
+__IO_EXTERN ICR10STR icr10;  \r
+#define ICR10 icr10.byte\r
+#define ICR10_ICR4 icr10.bit._ICR4\r
+#define ICR10_ICR3 icr10.bit._ICR3\r
+#define ICR10_ICR2 icr10.bit._ICR2\r
+#define ICR10_ICR1 icr10.bit._ICR1\r
+#define ICR10_ICR0 icr10.bit._ICR0\r
+__IO_EXTERN ICR11STR icr11;  \r
+#define ICR11 icr11.byte\r
+#define ICR11_ICR4 icr11.bit._ICR4\r
+#define ICR11_ICR3 icr11.bit._ICR3\r
+#define ICR11_ICR2 icr11.bit._ICR2\r
+#define ICR11_ICR1 icr11.bit._ICR1\r
+#define ICR11_ICR0 icr11.bit._ICR0\r
+__IO_EXTERN ICR12STR icr12;  \r
+#define ICR12 icr12.byte\r
+#define ICR12_ICR4 icr12.bit._ICR4\r
+#define ICR12_ICR3 icr12.bit._ICR3\r
+#define ICR12_ICR2 icr12.bit._ICR2\r
+#define ICR12_ICR1 icr12.bit._ICR1\r
+#define ICR12_ICR0 icr12.bit._ICR0\r
+__IO_EXTERN ICR13STR icr13;  \r
+#define ICR13 icr13.byte\r
+#define ICR13_ICR4 icr13.bit._ICR4\r
+#define ICR13_ICR3 icr13.bit._ICR3\r
+#define ICR13_ICR2 icr13.bit._ICR2\r
+#define ICR13_ICR1 icr13.bit._ICR1\r
+#define ICR13_ICR0 icr13.bit._ICR0\r
+__IO_EXTERN ICR14STR icr14;  \r
+#define ICR14 icr14.byte\r
+#define ICR14_ICR4 icr14.bit._ICR4\r
+#define ICR14_ICR3 icr14.bit._ICR3\r
+#define ICR14_ICR2 icr14.bit._ICR2\r
+#define ICR14_ICR1 icr14.bit._ICR1\r
+#define ICR14_ICR0 icr14.bit._ICR0\r
+__IO_EXTERN ICR15STR icr15;  \r
+#define ICR15 icr15.byte\r
+#define ICR15_ICR4 icr15.bit._ICR4\r
+#define ICR15_ICR3 icr15.bit._ICR3\r
+#define ICR15_ICR2 icr15.bit._ICR2\r
+#define ICR15_ICR1 icr15.bit._ICR1\r
+#define ICR15_ICR0 icr15.bit._ICR0\r
+__IO_EXTERN ICR16STR icr16;  \r
+#define ICR16 icr16.byte\r
+#define ICR16_ICR4 icr16.bit._ICR4\r
+#define ICR16_ICR3 icr16.bit._ICR3\r
+#define ICR16_ICR2 icr16.bit._ICR2\r
+#define ICR16_ICR1 icr16.bit._ICR1\r
+#define ICR16_ICR0 icr16.bit._ICR0\r
+__IO_EXTERN ICR17STR icr17;  \r
+#define ICR17 icr17.byte\r
+#define ICR17_ICR4 icr17.bit._ICR4\r
+#define ICR17_ICR3 icr17.bit._ICR3\r
+#define ICR17_ICR2 icr17.bit._ICR2\r
+#define ICR17_ICR1 icr17.bit._ICR1\r
+#define ICR17_ICR0 icr17.bit._ICR0\r
+__IO_EXTERN ICR18STR icr18;  \r
+#define ICR18 icr18.byte\r
+#define ICR18_ICR4 icr18.bit._ICR4\r
+#define ICR18_ICR3 icr18.bit._ICR3\r
+#define ICR18_ICR2 icr18.bit._ICR2\r
+#define ICR18_ICR1 icr18.bit._ICR1\r
+#define ICR18_ICR0 icr18.bit._ICR0\r
+__IO_EXTERN ICR19STR icr19;  \r
+#define ICR19 icr19.byte\r
+#define ICR19_ICR4 icr19.bit._ICR4\r
+#define ICR19_ICR3 icr19.bit._ICR3\r
+#define ICR19_ICR2 icr19.bit._ICR2\r
+#define ICR19_ICR1 icr19.bit._ICR1\r
+#define ICR19_ICR0 icr19.bit._ICR0\r
+__IO_EXTERN ICR20STR icr20;  \r
+#define ICR20 icr20.byte\r
+#define ICR20_ICR4 icr20.bit._ICR4\r
+#define ICR20_ICR3 icr20.bit._ICR3\r
+#define ICR20_ICR2 icr20.bit._ICR2\r
+#define ICR20_ICR1 icr20.bit._ICR1\r
+#define ICR20_ICR0 icr20.bit._ICR0\r
+__IO_EXTERN ICR21STR icr21;  \r
+#define ICR21 icr21.byte\r
+#define ICR21_ICR4 icr21.bit._ICR4\r
+#define ICR21_ICR3 icr21.bit._ICR3\r
+#define ICR21_ICR2 icr21.bit._ICR2\r
+#define ICR21_ICR1 icr21.bit._ICR1\r
+#define ICR21_ICR0 icr21.bit._ICR0\r
+__IO_EXTERN ICR22STR icr22;  \r
+#define ICR22 icr22.byte\r
+#define ICR22_ICR4 icr22.bit._ICR4\r
+#define ICR22_ICR3 icr22.bit._ICR3\r
+#define ICR22_ICR2 icr22.bit._ICR2\r
+#define ICR22_ICR1 icr22.bit._ICR1\r
+#define ICR22_ICR0 icr22.bit._ICR0\r
+__IO_EXTERN ICR23STR icr23;  \r
+#define ICR23 icr23.byte\r
+#define ICR23_ICR4 icr23.bit._ICR4\r
+#define ICR23_ICR3 icr23.bit._ICR3\r
+#define ICR23_ICR2 icr23.bit._ICR2\r
+#define ICR23_ICR1 icr23.bit._ICR1\r
+#define ICR23_ICR0 icr23.bit._ICR0\r
+__IO_EXTERN ICR24STR icr24;  \r
+#define ICR24 icr24.byte\r
+#define ICR24_ICR4 icr24.bit._ICR4\r
+#define ICR24_ICR3 icr24.bit._ICR3\r
+#define ICR24_ICR2 icr24.bit._ICR2\r
+#define ICR24_ICR1 icr24.bit._ICR1\r
+#define ICR24_ICR0 icr24.bit._ICR0\r
+__IO_EXTERN ICR25STR icr25;  \r
+#define ICR25 icr25.byte\r
+#define ICR25_ICR4 icr25.bit._ICR4\r
+#define ICR25_ICR3 icr25.bit._ICR3\r
+#define ICR25_ICR2 icr25.bit._ICR2\r
+#define ICR25_ICR1 icr25.bit._ICR1\r
+#define ICR25_ICR0 icr25.bit._ICR0\r
+__IO_EXTERN ICR26STR icr26;  \r
+#define ICR26 icr26.byte\r
+#define ICR26_ICR4 icr26.bit._ICR4\r
+#define ICR26_ICR3 icr26.bit._ICR3\r
+#define ICR26_ICR2 icr26.bit._ICR2\r
+#define ICR26_ICR1 icr26.bit._ICR1\r
+#define ICR26_ICR0 icr26.bit._ICR0\r
+__IO_EXTERN ICR27STR icr27;  \r
+#define ICR27 icr27.byte\r
+#define ICR27_ICR4 icr27.bit._ICR4\r
+#define ICR27_ICR3 icr27.bit._ICR3\r
+#define ICR27_ICR2 icr27.bit._ICR2\r
+#define ICR27_ICR1 icr27.bit._ICR1\r
+#define ICR27_ICR0 icr27.bit._ICR0\r
+__IO_EXTERN ICR28STR icr28;  \r
+#define ICR28 icr28.byte\r
+#define ICR28_ICR4 icr28.bit._ICR4\r
+#define ICR28_ICR3 icr28.bit._ICR3\r
+#define ICR28_ICR2 icr28.bit._ICR2\r
+#define ICR28_ICR1 icr28.bit._ICR1\r
+#define ICR28_ICR0 icr28.bit._ICR0\r
+__IO_EXTERN ICR29STR icr29;  \r
+#define ICR29 icr29.byte\r
+#define ICR29_ICR4 icr29.bit._ICR4\r
+#define ICR29_ICR3 icr29.bit._ICR3\r
+#define ICR29_ICR2 icr29.bit._ICR2\r
+#define ICR29_ICR1 icr29.bit._ICR1\r
+#define ICR29_ICR0 icr29.bit._ICR0\r
+__IO_EXTERN ICR30STR icr30;  \r
+#define ICR30 icr30.byte\r
+#define ICR30_ICR4 icr30.bit._ICR4\r
+#define ICR30_ICR3 icr30.bit._ICR3\r
+#define ICR30_ICR2 icr30.bit._ICR2\r
+#define ICR30_ICR1 icr30.bit._ICR1\r
+#define ICR30_ICR0 icr30.bit._ICR0\r
+__IO_EXTERN ICR31STR icr31;  \r
+#define ICR31 icr31.byte\r
+#define ICR31_ICR4 icr31.bit._ICR4\r
+#define ICR31_ICR3 icr31.bit._ICR3\r
+#define ICR31_ICR2 icr31.bit._ICR2\r
+#define ICR31_ICR1 icr31.bit._ICR1\r
+#define ICR31_ICR0 icr31.bit._ICR0\r
+__IO_EXTERN ICR32STR icr32;  \r
+#define ICR32 icr32.byte\r
+#define ICR32_ICR4 icr32.bit._ICR4\r
+#define ICR32_ICR3 icr32.bit._ICR3\r
+#define ICR32_ICR2 icr32.bit._ICR2\r
+#define ICR32_ICR1 icr32.bit._ICR1\r
+#define ICR32_ICR0 icr32.bit._ICR0\r
+__IO_EXTERN ICR33STR icr33;  \r
+#define ICR33 icr33.byte\r
+#define ICR33_ICR4 icr33.bit._ICR4\r
+#define ICR33_ICR3 icr33.bit._ICR3\r
+#define ICR33_ICR2 icr33.bit._ICR2\r
+#define ICR33_ICR1 icr33.bit._ICR1\r
+#define ICR33_ICR0 icr33.bit._ICR0\r
+__IO_EXTERN ICR34STR icr34;  \r
+#define ICR34 icr34.byte\r
+#define ICR34_ICR4 icr34.bit._ICR4\r
+#define ICR34_ICR3 icr34.bit._ICR3\r
+#define ICR34_ICR2 icr34.bit._ICR2\r
+#define ICR34_ICR1 icr34.bit._ICR1\r
+#define ICR34_ICR0 icr34.bit._ICR0\r
+__IO_EXTERN ICR35STR icr35;  \r
+#define ICR35 icr35.byte\r
+#define ICR35_ICR4 icr35.bit._ICR4\r
+#define ICR35_ICR3 icr35.bit._ICR3\r
+#define ICR35_ICR2 icr35.bit._ICR2\r
+#define ICR35_ICR1 icr35.bit._ICR1\r
+#define ICR35_ICR0 icr35.bit._ICR0\r
+__IO_EXTERN ICR36STR icr36;  \r
+#define ICR36 icr36.byte\r
+#define ICR36_ICR4 icr36.bit._ICR4\r
+#define ICR36_ICR3 icr36.bit._ICR3\r
+#define ICR36_ICR2 icr36.bit._ICR2\r
+#define ICR36_ICR1 icr36.bit._ICR1\r
+#define ICR36_ICR0 icr36.bit._ICR0\r
+__IO_EXTERN ICR37STR icr37;  \r
+#define ICR37 icr37.byte\r
+#define ICR37_ICR4 icr37.bit._ICR4\r
+#define ICR37_ICR3 icr37.bit._ICR3\r
+#define ICR37_ICR2 icr37.bit._ICR2\r
+#define ICR37_ICR1 icr37.bit._ICR1\r
+#define ICR37_ICR0 icr37.bit._ICR0\r
+__IO_EXTERN ICR38STR icr38;  \r
+#define ICR38 icr38.byte\r
+#define ICR38_ICR4 icr38.bit._ICR4\r
+#define ICR38_ICR3 icr38.bit._ICR3\r
+#define ICR38_ICR2 icr38.bit._ICR2\r
+#define ICR38_ICR1 icr38.bit._ICR1\r
+#define ICR38_ICR0 icr38.bit._ICR0\r
+__IO_EXTERN ICR39STR icr39;  \r
+#define ICR39 icr39.byte\r
+#define ICR39_ICR4 icr39.bit._ICR4\r
+#define ICR39_ICR3 icr39.bit._ICR3\r
+#define ICR39_ICR2 icr39.bit._ICR2\r
+#define ICR39_ICR1 icr39.bit._ICR1\r
+#define ICR39_ICR0 icr39.bit._ICR0\r
+__IO_EXTERN ICR40STR icr40;  \r
+#define ICR40 icr40.byte\r
+#define ICR40_ICR4 icr40.bit._ICR4\r
+#define ICR40_ICR3 icr40.bit._ICR3\r
+#define ICR40_ICR2 icr40.bit._ICR2\r
+#define ICR40_ICR1 icr40.bit._ICR1\r
+#define ICR40_ICR0 icr40.bit._ICR0\r
+__IO_EXTERN ICR41STR icr41;  \r
+#define ICR41 icr41.byte\r
+#define ICR41_ICR4 icr41.bit._ICR4\r
+#define ICR41_ICR3 icr41.bit._ICR3\r
+#define ICR41_ICR2 icr41.bit._ICR2\r
+#define ICR41_ICR1 icr41.bit._ICR1\r
+#define ICR41_ICR0 icr41.bit._ICR0\r
+__IO_EXTERN ICR42STR icr42;  \r
+#define ICR42 icr42.byte\r
+#define ICR42_ICR4 icr42.bit._ICR4\r
+#define ICR42_ICR3 icr42.bit._ICR3\r
+#define ICR42_ICR2 icr42.bit._ICR2\r
+#define ICR42_ICR1 icr42.bit._ICR1\r
+#define ICR42_ICR0 icr42.bit._ICR0\r
+__IO_EXTERN ICR43STR icr43;  \r
+#define ICR43 icr43.byte\r
+#define ICR43_ICR4 icr43.bit._ICR4\r
+#define ICR43_ICR3 icr43.bit._ICR3\r
+#define ICR43_ICR2 icr43.bit._ICR2\r
+#define ICR43_ICR1 icr43.bit._ICR1\r
+#define ICR43_ICR0 icr43.bit._ICR0\r
+__IO_EXTERN ICR44STR icr44;  \r
+#define ICR44 icr44.byte\r
+#define ICR44_ICR4 icr44.bit._ICR4\r
+#define ICR44_ICR3 icr44.bit._ICR3\r
+#define ICR44_ICR2 icr44.bit._ICR2\r
+#define ICR44_ICR1 icr44.bit._ICR1\r
+#define ICR44_ICR0 icr44.bit._ICR0\r
+__IO_EXTERN ICR45STR icr45;  \r
+#define ICR45 icr45.byte\r
+#define ICR45_ICR4 icr45.bit._ICR4\r
+#define ICR45_ICR3 icr45.bit._ICR3\r
+#define ICR45_ICR2 icr45.bit._ICR2\r
+#define ICR45_ICR1 icr45.bit._ICR1\r
+#define ICR45_ICR0 icr45.bit._ICR0\r
+__IO_EXTERN ICR46STR icr46;  \r
+#define ICR46 icr46.byte\r
+#define ICR46_ICR4 icr46.bit._ICR4\r
+#define ICR46_ICR3 icr46.bit._ICR3\r
+#define ICR46_ICR2 icr46.bit._ICR2\r
+#define ICR46_ICR1 icr46.bit._ICR1\r
+#define ICR46_ICR0 icr46.bit._ICR0\r
+__IO_EXTERN ICR47STR icr47;  \r
+#define ICR47 icr47.byte\r
+#define ICR47_ICR4 icr47.bit._ICR4\r
+#define ICR47_ICR3 icr47.bit._ICR3\r
+#define ICR47_ICR2 icr47.bit._ICR2\r
+#define ICR47_ICR1 icr47.bit._ICR1\r
+#define ICR47_ICR0 icr47.bit._ICR0\r
+__IO_EXTERN ICR48STR icr48;  \r
+#define ICR48 icr48.byte\r
+#define ICR48_ICR4 icr48.bit._ICR4\r
+#define ICR48_ICR3 icr48.bit._ICR3\r
+#define ICR48_ICR2 icr48.bit._ICR2\r
+#define ICR48_ICR1 icr48.bit._ICR1\r
+#define ICR48_ICR0 icr48.bit._ICR0\r
+__IO_EXTERN ICR49STR icr49;  \r
+#define ICR49 icr49.byte\r
+#define ICR49_ICR4 icr49.bit._ICR4\r
+#define ICR49_ICR3 icr49.bit._ICR3\r
+#define ICR49_ICR2 icr49.bit._ICR2\r
+#define ICR49_ICR1 icr49.bit._ICR1\r
+#define ICR49_ICR0 icr49.bit._ICR0\r
+__IO_EXTERN ICR50STR icr50;  \r
+#define ICR50 icr50.byte\r
+#define ICR50_ICR4 icr50.bit._ICR4\r
+#define ICR50_ICR3 icr50.bit._ICR3\r
+#define ICR50_ICR2 icr50.bit._ICR2\r
+#define ICR50_ICR1 icr50.bit._ICR1\r
+#define ICR50_ICR0 icr50.bit._ICR0\r
+__IO_EXTERN ICR51STR icr51;  \r
+#define ICR51 icr51.byte\r
+#define ICR51_ICR4 icr51.bit._ICR4\r
+#define ICR51_ICR3 icr51.bit._ICR3\r
+#define ICR51_ICR2 icr51.bit._ICR2\r
+#define ICR51_ICR1 icr51.bit._ICR1\r
+#define ICR51_ICR0 icr51.bit._ICR0\r
+__IO_EXTERN ICR52STR icr52;  \r
+#define ICR52 icr52.byte\r
+#define ICR52_ICR4 icr52.bit._ICR4\r
+#define ICR52_ICR3 icr52.bit._ICR3\r
+#define ICR52_ICR2 icr52.bit._ICR2\r
+#define ICR52_ICR1 icr52.bit._ICR1\r
+#define ICR52_ICR0 icr52.bit._ICR0\r
+__IO_EXTERN ICR53STR icr53;  \r
+#define ICR53 icr53.byte\r
+#define ICR53_ICR4 icr53.bit._ICR4\r
+#define ICR53_ICR3 icr53.bit._ICR3\r
+#define ICR53_ICR2 icr53.bit._ICR2\r
+#define ICR53_ICR1 icr53.bit._ICR1\r
+#define ICR53_ICR0 icr53.bit._ICR0\r
+__IO_EXTERN ICR54STR icr54;  \r
+#define ICR54 icr54.byte\r
+#define ICR54_ICR4 icr54.bit._ICR4\r
+#define ICR54_ICR3 icr54.bit._ICR3\r
+#define ICR54_ICR2 icr54.bit._ICR2\r
+#define ICR54_ICR1 icr54.bit._ICR1\r
+#define ICR54_ICR0 icr54.bit._ICR0\r
+__IO_EXTERN ICR55STR icr55;  \r
+#define ICR55 icr55.byte\r
+#define ICR55_ICR4 icr55.bit._ICR4\r
+#define ICR55_ICR3 icr55.bit._ICR3\r
+#define ICR55_ICR2 icr55.bit._ICR2\r
+#define ICR55_ICR1 icr55.bit._ICR1\r
+#define ICR55_ICR0 icr55.bit._ICR0\r
+__IO_EXTERN ICR56STR icr56;  \r
+#define ICR56 icr56.byte\r
+#define ICR56_ICR4 icr56.bit._ICR4\r
+#define ICR56_ICR3 icr56.bit._ICR3\r
+#define ICR56_ICR2 icr56.bit._ICR2\r
+#define ICR56_ICR1 icr56.bit._ICR1\r
+#define ICR56_ICR0 icr56.bit._ICR0\r
+__IO_EXTERN ICR57STR icr57;  \r
+#define ICR57 icr57.byte\r
+#define ICR57_ICR4 icr57.bit._ICR4\r
+#define ICR57_ICR3 icr57.bit._ICR3\r
+#define ICR57_ICR2 icr57.bit._ICR2\r
+#define ICR57_ICR1 icr57.bit._ICR1\r
+#define ICR57_ICR0 icr57.bit._ICR0\r
+__IO_EXTERN ICR58STR icr58;  \r
+#define ICR58 icr58.byte\r
+#define ICR58_ICR4 icr58.bit._ICR4\r
+#define ICR58_ICR3 icr58.bit._ICR3\r
+#define ICR58_ICR2 icr58.bit._ICR2\r
+#define ICR58_ICR1 icr58.bit._ICR1\r
+#define ICR58_ICR0 icr58.bit._ICR0\r
+__IO_EXTERN ICR59STR icr59;  \r
+#define ICR59 icr59.byte\r
+#define ICR59_ICR4 icr59.bit._ICR4\r
+#define ICR59_ICR3 icr59.bit._ICR3\r
+#define ICR59_ICR2 icr59.bit._ICR2\r
+#define ICR59_ICR1 icr59.bit._ICR1\r
+#define ICR59_ICR0 icr59.bit._ICR0\r
+__IO_EXTERN ICR60STR icr60;  \r
+#define ICR60 icr60.byte\r
+#define ICR60_ICR4 icr60.bit._ICR4\r
+#define ICR60_ICR3 icr60.bit._ICR3\r
+#define ICR60_ICR2 icr60.bit._ICR2\r
+#define ICR60_ICR1 icr60.bit._ICR1\r
+#define ICR60_ICR0 icr60.bit._ICR0\r
+__IO_EXTERN ICR61STR icr61;  \r
+#define ICR61 icr61.byte\r
+#define ICR61_ICR4 icr61.bit._ICR4\r
+#define ICR61_ICR3 icr61.bit._ICR3\r
+#define ICR61_ICR2 icr61.bit._ICR2\r
+#define ICR61_ICR1 icr61.bit._ICR1\r
+#define ICR61_ICR0 icr61.bit._ICR0\r
+__IO_EXTERN ICR62STR icr62;  \r
+#define ICR62 icr62.byte\r
+#define ICR62_ICR4 icr62.bit._ICR4\r
+#define ICR62_ICR3 icr62.bit._ICR3\r
+#define ICR62_ICR2 icr62.bit._ICR2\r
+#define ICR62_ICR1 icr62.bit._ICR1\r
+#define ICR62_ICR0 icr62.bit._ICR0\r
+__IO_EXTERN ICR63STR icr63;  \r
+#define ICR63 icr63.byte\r
+#define ICR63_ICR4 icr63.bit._ICR4\r
+#define ICR63_ICR3 icr63.bit._ICR3\r
+#define ICR63_ICR2 icr63.bit._ICR2\r
+#define ICR63_ICR1 icr63.bit._ICR1\r
+#define ICR63_ICR0 icr63.bit._ICR0\r
+__IO_EXTERN RSRRSTR rsrr;   /* Clock Control Unit */\r
+#define RSRR rsrr.byte\r
+#define RSRR_INIT rsrr.bit._INIT\r
+#define RSRR_HSTB rsrr.bit._HSTB\r
+#define RSRR_WDOG rsrr.bit._WDOG\r
+#define RSRR_ERST rsrr.bit._ERST\r
+#define RSRR_SRST rsrr.bit._SRST\r
+#define RSRR_LINIT rsrr.bit._LINIT\r
+#define RSRR_WT1 rsrr.bit._WT1\r
+#define RSRR_WT0 rsrr.bit._WT0\r
+#define RSRR_WT rsrr.bitc._WT\r
+__IO_EXTERN STCRSTR stcr;  \r
+#define STCR stcr.byte\r
+#define STCR_STOP stcr.bit._STOP\r
+#define STCR_SLEEP stcr.bit._SLEEP\r
+#define STCR_HIZ stcr.bit._HIZ\r
+#define STCR_SRST stcr.bit._SRST\r
+#define STCR_OS1 stcr.bit._OS1\r
+#define STCR_OS0 stcr.bit._OS0\r
+#define STCR_OSCD2 stcr.bit._OSCD2\r
+#define STCR_OSCD1 stcr.bit._OSCD1\r
+#define STCR_OS stcr.bitc._OS\r
+#define STCR_OSCD stcr.bitc._OSCD\r
+__IO_EXTERN TBCRSTR tbcr;  \r
+#define TBCR tbcr.byte\r
+#define TBCR_TBIF tbcr.bit._TBIF\r
+#define TBCR_TBIE tbcr.bit._TBIE\r
+#define TBCR_TBC2 tbcr.bit._TBC2\r
+#define TBCR_TBC1 tbcr.bit._TBC1\r
+#define TBCR_TBC0 tbcr.bit._TBC0\r
+#define TBCR_SYNCR tbcr.bit._SYNCR\r
+#define TBCR_SYNCS tbcr.bit._SYNCS\r
+#define TBCR_TBC tbcr.bitc._TBC\r
+__IO_EXTERN CTBRSTR ctbr;  \r
+#define CTBR ctbr.byte\r
+#define CTBR_D7 ctbr.bit._D7\r
+#define CTBR_D6 ctbr.bit._D6\r
+#define CTBR_D5 ctbr.bit._D5\r
+#define CTBR_D4 ctbr.bit._D4\r
+#define CTBR_D3 ctbr.bit._D3\r
+#define CTBR_D2 ctbr.bit._D2\r
+#define CTBR_D1 ctbr.bit._D1\r
+#define CTBR_D0 ctbr.bit._D0\r
+__IO_EXTERN CLKRSTR clkr;  \r
+#define CLKR clkr.byte\r
+#define CLKR_SCKEN clkr.bit._SCKEN\r
+#define CLKR_PLL1EN clkr.bit._PLL1EN\r
+#define CLKR_CLKS1 clkr.bit._CLKS1\r
+#define CLKR_CLKS0 clkr.bit._CLKS0\r
+#define CLKR_CLKS clkr.bitc._CLKS\r
+__IO_EXTERN WPRSTR wpr;  \r
+#define WPR wpr.byte\r
+#define WPR_D7 wpr.bit._D7\r
+#define WPR_D6 wpr.bit._D6\r
+#define WPR_D5 wpr.bit._D5\r
+#define WPR_D4 wpr.bit._D4\r
+#define WPR_D3 wpr.bit._D3\r
+#define WPR_D2 wpr.bit._D2\r
+#define WPR_D1 wpr.bit._D1\r
+#define WPR_D0 wpr.bit._D0\r
+__IO_EXTERN DIVR0STR divr0;  \r
+#define DIVR0 divr0.byte\r
+#define DIVR0_B3 divr0.bit._B3\r
+#define DIVR0_B2 divr0.bit._B2\r
+#define DIVR0_B1 divr0.bit._B1\r
+#define DIVR0_B0 divr0.bit._B0\r
+#define DIVR0_P3 divr0.bit._P3\r
+#define DIVR0_P2 divr0.bit._P2\r
+#define DIVR0_P1 divr0.bit._P1\r
+#define DIVR0_P0 divr0.bit._P0\r
+#define DIVR0_B divr0.bitc._B\r
+#define DIVR0_P divr0.bitc._P\r
+__IO_EXTERN DIVR1STR divr1;  \r
+#define DIVR1 divr1.byte\r
+#define DIVR1_T3 divr1.bit._T3\r
+#define DIVR1_T2 divr1.bit._T2\r
+#define DIVR1_T1 divr1.bit._T1\r
+#define DIVR1_T0 divr1.bit._T0\r
+#define DIVR1_T divr1.bitc._T\r
+__IO_EXTERN PLLDIVMSTR plldivm;   /* PLL - Clock Gear Unit: */\r
+#define PLLDIVM plldivm.byte\r
+#define PLLDIVM_DVM3 plldivm.bit._DVM3\r
+#define PLLDIVM_DVM2 plldivm.bit._DVM2\r
+#define PLLDIVM_DVM1 plldivm.bit._DVM1\r
+#define PLLDIVM_DVM0 plldivm.bit._DVM0\r
+#define PLLDIVM_DVM plldivm.bitc._DVM\r
+__IO_EXTERN PLLDIVNSTR plldivn;  \r
+#define PLLDIVN plldivn.byte\r
+#define PLLDIVN_DVN5 plldivn.bit._DVN5\r
+#define PLLDIVN_DVN4 plldivn.bit._DVN4\r
+#define PLLDIVN_DVN3 plldivn.bit._DVN3\r
+#define PLLDIVN_DVN2 plldivn.bit._DVN2\r
+#define PLLDIVN_DVN1 plldivn.bit._DVN1\r
+#define PLLDIVN_DVN0 plldivn.bit._DVN0\r
+#define PLLDIVN_DVN plldivn.bitc._DVN\r
+__IO_EXTERN PLLDIVGSTR plldivg;  \r
+#define PLLDIVG plldivg.byte\r
+#define PLLDIVG_DVG3 plldivg.bit._DVG3\r
+#define PLLDIVG_DVG2 plldivg.bit._DVG2\r
+#define PLLDIVG_DVG1 plldivg.bit._DVG1\r
+#define PLLDIVG_DVG0 plldivg.bit._DVG0\r
+#define PLLDIVG_DVG plldivg.bitc._DVG\r
+__IO_EXTERN PLLMULGSTR pllmulg;  \r
+#define PLLMULG pllmulg.byte\r
+#define PLLMULG_MLG7 pllmulg.bit._MLG7\r
+#define PLLMULG_MLG6 pllmulg.bit._MLG6\r
+#define PLLMULG_MLG5 pllmulg.bit._MLG5\r
+#define PLLMULG_MLG4 pllmulg.bit._MLG4\r
+#define PLLMULG_MLG3 pllmulg.bit._MLG3\r
+#define PLLMULG_MLG2 pllmulg.bit._MLG2\r
+#define PLLMULG_MLG1 pllmulg.bit._MLG1\r
+#define PLLMULG_MLG0 pllmulg.bit._MLG0\r
+#define PLLMULG_MLG pllmulg.bitc._MLG\r
+__IO_EXTERN PLLCTRLSTR pllctrl;  \r
+#define PLLCTRL pllctrl.byte\r
+#define PLLCTRL_IEDN pllctrl.bit._IEDN\r
+#define PLLCTRL_GRDN pllctrl.bit._GRDN\r
+#define PLLCTRL_IEUP pllctrl.bit._IEUP\r
+#define PLLCTRL_GRUP pllctrl.bit._GRUP\r
+__IO_EXTERN OSCC1STR oscc1;   /* Main/Sub Oscillator Control */\r
+#define OSCC1 oscc1.byte\r
+#define OSCC1_FCI oscc1.bit._FCI\r
+#define OSCC1_RFBEN oscc1.bit._RFBEN\r
+#define OSCC1_OSCR oscc1.bit._OSCR\r
+__IO_EXTERN OSCS1STR oscs1;  \r
+#define OSCS1 oscs1.byte\r
+#define OSCS1_OSCS7 oscs1.bit._OSCS7\r
+#define OSCS1_OSCS6 oscs1.bit._OSCS6\r
+#define OSCS1_OSCS5 oscs1.bit._OSCS5\r
+#define OSCS1_OSCS4 oscs1.bit._OSCS4\r
+#define OSCS1_OSCS3 oscs1.bit._OSCS3\r
+#define OSCS1_OSCS2 oscs1.bit._OSCS2\r
+#define OSCS1_OSCS1 oscs1.bit._OSCS1\r
+#define OSCS1_OSCS0 oscs1.bit._OSCS0\r
+__IO_EXTERN OSCC2STR oscc2;  \r
+#define OSCC2 oscc2.byte\r
+#define OSCC2_FCI oscc2.bit._FCI\r
+#define OSCC2_RFBEN oscc2.bit._RFBEN\r
+#define OSCC2_OSCR oscc2.bit._OSCR\r
+__IO_EXTERN OSCS2STR oscs2;  \r
+#define OSCS2 oscs2.byte\r
+#define OSCS2_OSCS7 oscs2.bit._OSCS7\r
+#define OSCS2_OSCS6 oscs2.bit._OSCS6\r
+#define OSCS2_OSCS5 oscs2.bit._OSCS5\r
+#define OSCS2_OSCS4 oscs2.bit._OSCS4\r
+#define OSCS2_OSCS3 oscs2.bit._OSCS3\r
+#define OSCS2_OSCS2 oscs2.bit._OSCS2\r
+#define OSCS2_OSCS1 oscs2.bit._OSCS1\r
+#define OSCS2_OSCS0 oscs2.bit._OSCS0\r
+__IO_EXTERN PORTENSTR porten;   /* Port Input Enable Control */\r
+#define PORTEN porten.byte\r
+#define PORTEN_CPORTEN porten.bit._CPORTEN\r
+#define PORTEN_GPORTEN porten.bit._GPORTEN\r
+__IO_EXTERN WTCERSTR wtcer;   /* Real Time Clock (Watch Timer) */\r
+#define WTCER wtcer.byte\r
+#define WTCER_INTE4 wtcer.bit._INTE4\r
+#define WTCER_INT4 wtcer.bit._INT4\r
+__IO_EXTERN WTCRSTR wtcr;  \r
+#define WTCR wtcr.word\r
+#define WTCR_INTE3 wtcr.bit._INTE3\r
+#define WTCR_INT3 wtcr.bit._INT3\r
+#define WTCR_INTE2 wtcr.bit._INTE2\r
+#define WTCR_INT2 wtcr.bit._INT2\r
+#define WTCR_INTE1 wtcr.bit._INTE1\r
+#define WTCR_INT1 wtcr.bit._INT1\r
+#define WTCR_INTE0 wtcr.bit._INTE0\r
+#define WTCR_INT0 wtcr.bit._INT0\r
+#define WTCR_RUN wtcr.bit._RUN\r
+#define WTCR_UPDT wtcr.bit._UPDT\r
+#define WTCR_ST wtcr.bit._ST\r
+__IO_EXTERN WTBRSTR wtbr;  \r
+#define WTBR wtbr.lword\r
+#define WTBR_D20 wtbr.bit._D20\r
+#define WTBR_D19 wtbr.bit._D19\r
+#define WTBR_D18 wtbr.bit._D18\r
+#define WTBR_D17 wtbr.bit._D17\r
+#define WTBR_D16 wtbr.bit._D16\r
+#define WTBR_D15 wtbr.bit._D15\r
+#define WTBR_D14 wtbr.bit._D14\r
+#define WTBR_D13 wtbr.bit._D13\r
+#define WTBR_D12 wtbr.bit._D12\r
+#define WTBR_D11 wtbr.bit._D11\r
+#define WTBR_D10 wtbr.bit._D10\r
+#define WTBR_D9 wtbr.bit._D9\r
+#define WTBR_D8 wtbr.bit._D8\r
+#define WTBR_D7 wtbr.bit._D7\r
+#define WTBR_D6 wtbr.bit._D6\r
+#define WTBR_D5 wtbr.bit._D5\r
+#define WTBR_D4 wtbr.bit._D4\r
+#define WTBR_D3 wtbr.bit._D3\r
+#define WTBR_D2 wtbr.bit._D2\r
+#define WTBR_D1 wtbr.bit._D1\r
+#define WTBR_D0 wtbr.bit._D0\r
+__IO_EXTERN WTHRSTR wthr;  \r
+#define WTHR wthr.byte\r
+#define WTHR_H4 wthr.bit._H4\r
+#define WTHR_H3 wthr.bit._H3\r
+#define WTHR_H2 wthr.bit._H2\r
+#define WTHR_H1 wthr.bit._H1\r
+#define WTHR_H0 wthr.bit._H0\r
+__IO_EXTERN WTMRSTR wtmr;  \r
+#define WTMR wtmr.byte\r
+#define WTMR_M5 wtmr.bit._M5\r
+#define WTMR_M4 wtmr.bit._M4\r
+#define WTMR_M3 wtmr.bit._M3\r
+#define WTMR_M2 wtmr.bit._M2\r
+#define WTMR_M1 wtmr.bit._M1\r
+#define WTMR_M0 wtmr.bit._M0\r
+__IO_EXTERN WTSRSTR wtsr;  \r
+#define WTSR wtsr.byte\r
+#define WTSR_S5 wtsr.bit._S5\r
+#define WTSR_S4 wtsr.bit._S4\r
+#define WTSR_S3 wtsr.bit._S3\r
+#define WTSR_S2 wtsr.bit._S2\r
+#define WTSR_S1 wtsr.bit._S1\r
+#define WTSR_S0 wtsr.bit._S0\r
+__IO_EXTERN IO_BYTE csvtr;   /* Clock-Supervisor / Selecor / Monitor */\r
+#define CSVTR csvtr\r
+__IO_EXTERN CSVCRSTR csvcr;  \r
+#define CSVCR csvcr.byte\r
+#define CSVCR_SCKS csvcr.bit._SCKS\r
+#define CSVCR_MM csvcr.bit._MM\r
+#define CSVCR_SM csvcr.bit._SM\r
+#define CSVCR_RCE csvcr.bit._RCE\r
+#define CSVCR_MSVE csvcr.bit._MSVE\r
+#define CSVCR_SSVE csvcr.bit._SSVE\r
+#define CSVCR_SRST csvcr.bit._SRST\r
+#define CSVCR_OUTE csvcr.bit._OUTE\r
+__IO_EXTERN CSCFGSTR cscfg;  \r
+#define CSCFG cscfg.byte\r
+#define CSCFG_EDSUEN cscfg.bit._EDSUEN\r
+#define CSCFG_PLLLOCK cscfg.bit._PLLLOCK\r
+#define CSCFG_RCSEL cscfg.bit._RCSEL\r
+#define CSCFG_MONCKI cscfg.bit._MONCKI\r
+#define CSCFG_CSC3 cscfg.bit._CSC3\r
+#define CSCFG_CSC2 cscfg.bit._CSC2\r
+#define CSCFG_CSC1 cscfg.bit._CSC1\r
+#define CSCFG_CSC0 cscfg.bit._CSC0\r
+#define CSCFG_CSC cscfg.bitc._CSC\r
+__IO_EXTERN CMCFGSTR cmcfg;  \r
+#define CMCFG cmcfg.byte\r
+#define CMCFG_CMPRE3 cmcfg.bit._CMPRE3\r
+#define CMCFG_CMPRE2 cmcfg.bit._CMPRE2\r
+#define CMCFG_CMPRE1 cmcfg.bit._CMPRE1\r
+#define CMCFG_CMPRE0 cmcfg.bit._CMPRE0\r
+#define CMCFG_CMSEL3 cmcfg.bit._CMSEL3\r
+#define CMCFG_CMSEL2 cmcfg.bit._CMSEL2\r
+#define CMCFG_CMSEL1 cmcfg.bit._CMSEL1\r
+#define CMCFG_CMSEL0 cmcfg.bit._CMSEL0\r
+#define CMCFG_CMPRE cmcfg.bitc._CMPRE\r
+#define CMCFG_CMSEL cmcfg.bitc._CMSEL\r
+__IO_EXTERN CUCRSTR cucr;   /* Calibration Unit of Sub Oszillation */\r
+#define CUCR cucr.word\r
+#define CUCR_STRT cucr.bit._STRT\r
+#define CUCR_INT cucr.bit._INT\r
+#define CUCR_INTEN cucr.bit._INTEN\r
+__IO_EXTERN CUTDSTR cutd;  \r
+#define CUTD cutd.word\r
+#define CUTD_TDD15 cutd.bit._TDD15\r
+#define CUTD_TDD14 cutd.bit._TDD14\r
+#define CUTD_TDD13 cutd.bit._TDD13\r
+#define CUTD_TDD12 cutd.bit._TDD12\r
+#define CUTD_TDD11 cutd.bit._TDD11\r
+#define CUTD_TDD10 cutd.bit._TDD10\r
+#define CUTD_TDD9 cutd.bit._TDD9\r
+#define CUTD_TDD8 cutd.bit._TDD8\r
+#define CUTD_TDD7 cutd.bit._TDD7\r
+#define CUTD_TDD6 cutd.bit._TDD6\r
+#define CUTD_TDD5 cutd.bit._TDD5\r
+#define CUTD_TDD4 cutd.bit._TDD4\r
+#define CUTD_TDD3 cutd.bit._TDD3\r
+#define CUTD_TDD2 cutd.bit._TDD2\r
+#define CUTD_TDD1 cutd.bit._TDD1\r
+#define CUTD_TDD0 cutd.bit._TDD0\r
+__IO_EXTERN CUTR1STR cutr1;  \r
+#define CUTR1 cutr1.word\r
+#define CUTR1_TDR23 cutr1.bit._TDR23\r
+#define CUTR1_TDR22 cutr1.bit._TDR22\r
+#define CUTR1_TDR21 cutr1.bit._TDR21\r
+#define CUTR1_TDR20 cutr1.bit._TDR20\r
+#define CUTR1_TDR19 cutr1.bit._TDR19\r
+#define CUTR1_TDR18 cutr1.bit._TDR18\r
+#define CUTR1_TDR17 cutr1.bit._TDR17\r
+#define CUTR1_TDR16 cutr1.bit._TDR16\r
+__IO_EXTERN CUTR2STR cutr2;  \r
+#define CUTR2 cutr2.word\r
+#define CUTR2_TDR15 cutr2.bit._TDR15\r
+#define CUTR2_TDR14 cutr2.bit._TDR14\r
+#define CUTR2_TDR13 cutr2.bit._TDR13\r
+#define CUTR2_TDR12 cutr2.bit._TDR12\r
+#define CUTR2_TDR11 cutr2.bit._TDR11\r
+#define CUTR2_TDR10 cutr2.bit._TDR10\r
+#define CUTR2_TDR9 cutr2.bit._TDR9\r
+#define CUTR2_TDR8 cutr2.bit._TDR8\r
+#define CUTR2_TDR7 cutr2.bit._TDR7\r
+#define CUTR2_TDR6 cutr2.bit._TDR6\r
+#define CUTR2_TDR5 cutr2.bit._TDR5\r
+#define CUTR2_TDR4 cutr2.bit._TDR4\r
+#define CUTR2_TDR3 cutr2.bit._TDR3\r
+#define CUTR2_TDR2 cutr2.bit._TDR2\r
+#define CUTR2_TDR1 cutr2.bit._TDR1\r
+#define CUTR2_TDR0 cutr2.bit._TDR0\r
+__IO_EXTERN CMPRSTR cmpr;   /* Clock Modulator */\r
+#define CMPR cmpr.word\r
+#define CMPR_MP13 cmpr.bit._MP13\r
+#define CMPR_MP12 cmpr.bit._MP12\r
+#define CMPR_MP11 cmpr.bit._MP11\r
+#define CMPR_MP10 cmpr.bit._MP10\r
+#define CMPR_MP9 cmpr.bit._MP9\r
+#define CMPR_MP8 cmpr.bit._MP8\r
+#define CMPR_MP7 cmpr.bit._MP7\r
+#define CMPR_MP6 cmpr.bit._MP6\r
+#define CMPR_MP5 cmpr.bit._MP5\r
+#define CMPR_MP4 cmpr.bit._MP4\r
+#define CMPR_MP3 cmpr.bit._MP3\r
+#define CMPR_MP2 cmpr.bit._MP2\r
+#define CMPR_MP1 cmpr.bit._MP1\r
+#define CMPR_MP0 cmpr.bit._MP0\r
+__IO_EXTERN CMCRSTR cmcr;  \r
+#define CMCR cmcr.byte\r
+#define CMCR_FMODRUN cmcr.bit._FMODRUN\r
+#define CMCR_FMOD cmcr.bit._FMOD\r
+#define CMCR_PDX cmcr.bit._PDX\r
+__IO_EXTERN IO_WORD cmt1;  \r
+#define CMT1 cmt1\r
+__IO_EXTERN IO_WORD cmt2;  \r
+#define CMT2 cmt2\r
+__IO_EXTERN CANPRESTR canpre;   /* CAN clock control */\r
+#define CANPRE canpre.byte\r
+#define CANPRE_CPCKS1 canpre.bit._CPCKS1\r
+#define CANPRE_CPCKS0 canpre.bit._CPCKS0\r
+#define CANPRE_DVC3 canpre.bit._DVC3\r
+#define CANPRE_DVC2 canpre.bit._DVC2\r
+#define CANPRE_DVC1 canpre.bit._DVC1\r
+#define CANPRE_DVC0 canpre.bit._DVC0\r
+#define CANPRE_CPCKS canpre.bitc._CPCKS\r
+#define CANPRE_DVC canpre.bitc._DVC\r
+__IO_EXTERN CANCKDSTR canckd;  \r
+#define CANCKD canckd.byte\r
+#define CANCKD_CANCKD5 canckd.bit._CANCKD5\r
+#define CANCKD_CANCKD4 canckd.bit._CANCKD4\r
+#define CANCKD_CANCKD3 canckd.bit._CANCKD3\r
+#define CANCKD_CANCKD2 canckd.bit._CANCKD2\r
+#define CANCKD_CANCKD1 canckd.bit._CANCKD1\r
+#define CANCKD_CANCKD0 canckd.bit._CANCKD0\r
+__IO_EXTERN LVSELSTR lvsel;   /* LV Detection / Hardware-Watchdog */\r
+#define LVSEL lvsel.byte\r
+#define LVSEL_LVESEL3 lvsel.bit._LVESEL3\r
+#define LVSEL_LVESEL2 lvsel.bit._LVESEL2\r
+#define LVSEL_LVESEL1 lvsel.bit._LVESEL1\r
+#define LVSEL_LVESEL0 lvsel.bit._LVESEL0\r
+#define LVSEL_LVISEL3 lvsel.bit._LVISEL3\r
+#define LVSEL_LVISEL2 lvsel.bit._LVISEL2\r
+#define LVSEL_LVISEL1 lvsel.bit._LVISEL1\r
+#define LVSEL_LVISEL0 lvsel.bit._LVISEL0\r
+#define LVSEL_LVESEL lvsel.bitc._LVESEL\r
+#define LVSEL_LVISEL lvsel.bitc._LVISEL\r
+__IO_EXTERN LVDETSTR lvdet;  \r
+#define LVDET lvdet.byte\r
+#define LVDET_LVSEL lvdet.bit._LVSEL\r
+#define LVDET_LVEPD lvdet.bit._LVEPD\r
+#define LVDET_LVIPD lvdet.bit._LVIPD\r
+#define LVDET_LVREN lvdet.bit._LVREN\r
+#define LVDET_LVIEN lvdet.bit._LVIEN\r
+#define LVDET_LVIRQ lvdet.bit._LVIRQ\r
+__IO_EXTERN HWWDESTR hwwde;  \r
+#define HWWDE hwwde.byte\r
+#define HWWDE_ED1 hwwde.bit._ED1\r
+#define HWWDE_ED0 hwwde.bit._ED0\r
+#define HWWDE_ED hwwde.bitc._ED\r
+__IO_EXTERN HWWDSTR hwwd;  \r
+#define HWWD hwwd.byte\r
+#define HWWD_CL hwwd.bit._CL\r
+#define HWWD_CPUF hwwd.bit._CPUF\r
+__IO_EXTERN OSCRHSTR oscrh;   /* Main-/Sub-Oscillatio Stabilization Timer */\r
+#define OSCRH oscrh.byte\r
+#define OSCRH_WIF oscrh.bit._WIF\r
+#define OSCRH_WIE oscrh.bit._WIE\r
+#define OSCRH_WEN oscrh.bit._WEN\r
+#define OSCRH_WS1 oscrh.bit._WS1\r
+#define OSCRH_WS0 oscrh.bit._WS0\r
+#define OSCRH_WCL oscrh.bit._WCL\r
+#define OSCRH_WS oscrh.bitc._WS\r
+__IO_EXTERN IO_BYTE oscrl;  \r
+#define OSCRL oscrl\r
+__IO_EXTERN WPCRHSTR wpcrh;  \r
+#define WPCRH wpcrh.byte\r
+#define WPCRH_WIF wpcrh.bit._WIF\r
+#define WPCRH_WIE wpcrh.bit._WIE\r
+#define WPCRH_WEN wpcrh.bit._WEN\r
+#define WPCRH_WS1 wpcrh.bit._WS1\r
+#define WPCRH_WS0 wpcrh.bit._WS0\r
+#define WPCRH_WCL wpcrh.bit._WCL\r
+#define WPCRH_WS wpcrh.bitc._WS\r
+__IO_EXTERN IO_BYTE wpcrl;  \r
+#define WPCRL wpcrl\r
+__IO_EXTERN OSCCRSTR osccr;   /* Main-/Sub-Oscillatio Standby Control */\r
+#define OSCCR osccr.byte\r
+#define OSCCR_OSCDS1 osccr.bit._OSCDS1\r
+__IO_EXTERN REGSELSTR regsel;  \r
+#define REGSEL regsel.byte\r
+#define REGSEL_FLASHSEL regsel.bit._FLASHSEL\r
+#define REGSEL_MAINSEL regsel.bit._MAINSEL\r
+#define REGSEL_SUBSEL3 regsel.bit._SUBSEL3\r
+#define REGSEL_SUBSEL2 regsel.bit._SUBSEL2\r
+#define REGSEL_SUBSEL1 regsel.bit._SUBSEL1\r
+#define REGSEL_SUBSEL0 regsel.bit._SUBSEL0\r
+#define REGSEL_SUBSEL regsel.bitc._SUBSEL\r
+__IO_EXTERN REGCTRSTR regctr;  \r
+#define REGCTR regctr.byte\r
+#define REGCTR_MSTBO regctr.bit._MSTBO\r
+#define REGCTR_MAINKPEN regctr.bit._MAINKPEN\r
+#define REGCTR_MAINDSBL regctr.bit._MAINDSBL\r
+__IO_EXTERN MODRSTR modr;   /* Mode Register */\r
+#define MODR modr.byte\r
+#define MODR_ROMA modr.bit._ROMA\r
+#define MODR_WTH1 modr.bit._WTH1\r
+#define MODR_WTH0 modr.bit._WTH0\r
+#define MODR_WTH modr.bitc._WTH\r
+__IO_EXTERN PDRD14STR pdrd14;   /* R-bus Port Data Direct Read Register */\r
+#define PDRD14 pdrd14.byte\r
+#define PDRD14_D7 pdrd14.bit._D7\r
+#define PDRD14_D6 pdrd14.bit._D6\r
+#define PDRD14_D5 pdrd14.bit._D5\r
+#define PDRD14_D4 pdrd14.bit._D4\r
+#define PDRD14_D3 pdrd14.bit._D3\r
+#define PDRD14_D2 pdrd14.bit._D2\r
+#define PDRD14_D1 pdrd14.bit._D1\r
+#define PDRD14_D0 pdrd14.bit._D0\r
+__IO_EXTERN PDRD15STR pdrd15;  \r
+#define PDRD15 pdrd15.byte\r
+#define PDRD15_D7 pdrd15.bit._D7\r
+#define PDRD15_D6 pdrd15.bit._D6\r
+#define PDRD15_D5 pdrd15.bit._D5\r
+#define PDRD15_D4 pdrd15.bit._D4\r
+#define PDRD15_D3 pdrd15.bit._D3\r
+#define PDRD15_D2 pdrd15.bit._D2\r
+#define PDRD15_D1 pdrd15.bit._D1\r
+#define PDRD15_D0 pdrd15.bit._D0\r
+__IO_EXTERN PDRD16STR pdrd16;  \r
+#define PDRD16 pdrd16.byte\r
+#define PDRD16_D7 pdrd16.bit._D7\r
+#define PDRD16_D6 pdrd16.bit._D6\r
+#define PDRD16_D5 pdrd16.bit._D5\r
+#define PDRD16_D4 pdrd16.bit._D4\r
+#define PDRD16_D3 pdrd16.bit._D3\r
+#define PDRD16_D2 pdrd16.bit._D2\r
+#define PDRD16_D1 pdrd16.bit._D1\r
+#define PDRD16_D0 pdrd16.bit._D0\r
+__IO_EXTERN PDRD17STR pdrd17;  \r
+#define PDRD17 pdrd17.byte\r
+#define PDRD17_D7 pdrd17.bit._D7\r
+#define PDRD17_D6 pdrd17.bit._D6\r
+#define PDRD17_D5 pdrd17.bit._D5\r
+#define PDRD17_D4 pdrd17.bit._D4\r
+#define PDRD17_D3 pdrd17.bit._D3\r
+#define PDRD17_D2 pdrd17.bit._D2\r
+#define PDRD17_D1 pdrd17.bit._D1\r
+#define PDRD17_D0 pdrd17.bit._D0\r
+__IO_EXTERN PDRD18STR pdrd18;  \r
+#define PDRD18 pdrd18.byte\r
+#define PDRD18_D6 pdrd18.bit._D6\r
+#define PDRD18_D2 pdrd18.bit._D2\r
+__IO_EXTERN PDRD19STR pdrd19;  \r
+#define PDRD19 pdrd19.byte\r
+#define PDRD19_D6 pdrd19.bit._D6\r
+#define PDRD19_D2 pdrd19.bit._D2\r
+#define PDRD19_D1 pdrd19.bit._D1\r
+#define PDRD19_D0 pdrd19.bit._D0\r
+__IO_EXTERN PDRD20STR pdrd20;  \r
+#define PDRD20 pdrd20.byte\r
+#define PDRD20_D7 pdrd20.bit._D7\r
+#define PDRD20_D6 pdrd20.bit._D6\r
+#define PDRD20_D5 pdrd20.bit._D5\r
+#define PDRD20_D4 pdrd20.bit._D4\r
+#define PDRD20_D3 pdrd20.bit._D3\r
+#define PDRD20_D2 pdrd20.bit._D2\r
+#define PDRD20_D1 pdrd20.bit._D1\r
+#define PDRD20_D0 pdrd20.bit._D0\r
+__IO_EXTERN PDRD21STR pdrd21;  \r
+#define PDRD21 pdrd21.byte\r
+#define PDRD21_D7 pdrd21.bit._D7\r
+#define PDRD21_D6 pdrd21.bit._D6\r
+#define PDRD21_D5 pdrd21.bit._D5\r
+#define PDRD21_D4 pdrd21.bit._D4\r
+#define PDRD21_D3 pdrd21.bit._D3\r
+#define PDRD21_D2 pdrd21.bit._D2\r
+#define PDRD21_D1 pdrd21.bit._D1\r
+#define PDRD21_D0 pdrd21.bit._D0\r
+__IO_EXTERN PDRD22STR pdrd22;  \r
+#define PDRD22 pdrd22.byte\r
+#define PDRD22_D5 pdrd22.bit._D5\r
+#define PDRD22_D4 pdrd22.bit._D4\r
+#define PDRD22_D1 pdrd22.bit._D1\r
+#define PDRD22_D0 pdrd22.bit._D0\r
+__IO_EXTERN PDRD24STR pdrd24;  \r
+#define PDRD24 pdrd24.byte\r
+#define PDRD24_D7 pdrd24.bit._D7\r
+#define PDRD24_D6 pdrd24.bit._D6\r
+#define PDRD24_D5 pdrd24.bit._D5\r
+#define PDRD24_D4 pdrd24.bit._D4\r
+#define PDRD24_D3 pdrd24.bit._D3\r
+#define PDRD24_D2 pdrd24.bit._D2\r
+#define PDRD24_D1 pdrd24.bit._D1\r
+#define PDRD24_D0 pdrd24.bit._D0\r
+__IO_EXTERN PDRD26STR pdrd26;  \r
+#define PDRD26 pdrd26.byte\r
+#define PDRD26_D1 pdrd26.bit._D1\r
+#define PDRD26_D0 pdrd26.bit._D0\r
+__IO_EXTERN PDRD27STR pdrd27;  \r
+#define PDRD27 pdrd27.byte\r
+#define PDRD27_D7 pdrd27.bit._D7\r
+#define PDRD27_D6 pdrd27.bit._D6\r
+#define PDRD27_D5 pdrd27.bit._D5\r
+#define PDRD27_D4 pdrd27.bit._D4\r
+#define PDRD27_D3 pdrd27.bit._D3\r
+#define PDRD27_D2 pdrd27.bit._D2\r
+#define PDRD27_D1 pdrd27.bit._D1\r
+#define PDRD27_D0 pdrd27.bit._D0\r
+__IO_EXTERN PDRD28STR pdrd28;  \r
+#define PDRD28 pdrd28.byte\r
+#define PDRD28_D7 pdrd28.bit._D7\r
+#define PDRD28_D6 pdrd28.bit._D6\r
+#define PDRD28_D5 pdrd28.bit._D5\r
+#define PDRD28_D4 pdrd28.bit._D4\r
+#define PDRD28_D3 pdrd28.bit._D3\r
+#define PDRD28_D2 pdrd28.bit._D2\r
+#define PDRD28_D1 pdrd28.bit._D1\r
+#define PDRD28_D0 pdrd28.bit._D0\r
+__IO_EXTERN PDRD29STR pdrd29;  \r
+#define PDRD29 pdrd29.byte\r
+#define PDRD29_D7 pdrd29.bit._D7\r
+#define PDRD29_D6 pdrd29.bit._D6\r
+#define PDRD29_D5 pdrd29.bit._D5\r
+#define PDRD29_D4 pdrd29.bit._D4\r
+#define PDRD29_D3 pdrd29.bit._D3\r
+#define PDRD29_D2 pdrd29.bit._D2\r
+#define PDRD29_D1 pdrd29.bit._D1\r
+#define PDRD29_D0 pdrd29.bit._D0\r
+__IO_EXTERN DDR14STR ddr14;   /* R-bus Port Direction Register */\r
+#define DDR14 ddr14.byte\r
+#define DDR14_D7 ddr14.bit._D7\r
+#define DDR14_D6 ddr14.bit._D6\r
+#define DDR14_D5 ddr14.bit._D5\r
+#define DDR14_D4 ddr14.bit._D4\r
+#define DDR14_D3 ddr14.bit._D3\r
+#define DDR14_D2 ddr14.bit._D2\r
+#define DDR14_D1 ddr14.bit._D1\r
+#define DDR14_D0 ddr14.bit._D0\r
+__IO_EXTERN DDR15STR ddr15;  \r
+#define DDR15 ddr15.byte\r
+#define DDR15_D7 ddr15.bit._D7\r
+#define DDR15_D6 ddr15.bit._D6\r
+#define DDR15_D5 ddr15.bit._D5\r
+#define DDR15_D4 ddr15.bit._D4\r
+#define DDR15_D3 ddr15.bit._D3\r
+#define DDR15_D2 ddr15.bit._D2\r
+#define DDR15_D1 ddr15.bit._D1\r
+#define DDR15_D0 ddr15.bit._D0\r
+__IO_EXTERN DDR16STR ddr16;  \r
+#define DDR16 ddr16.byte\r
+#define DDR16_D7 ddr16.bit._D7\r
+#define DDR16_D6 ddr16.bit._D6\r
+#define DDR16_D5 ddr16.bit._D5\r
+#define DDR16_D4 ddr16.bit._D4\r
+#define DDR16_D3 ddr16.bit._D3\r
+#define DDR16_D2 ddr16.bit._D2\r
+#define DDR16_D1 ddr16.bit._D1\r
+#define DDR16_D0 ddr16.bit._D0\r
+__IO_EXTERN DDR17STR ddr17;  \r
+#define DDR17 ddr17.byte\r
+#define DDR17_D7 ddr17.bit._D7\r
+#define DDR17_D6 ddr17.bit._D6\r
+#define DDR17_D5 ddr17.bit._D5\r
+#define DDR17_D4 ddr17.bit._D4\r
+#define DDR17_D3 ddr17.bit._D3\r
+#define DDR17_D2 ddr17.bit._D2\r
+#define DDR17_D1 ddr17.bit._D1\r
+#define DDR17_D0 ddr17.bit._D0\r
+__IO_EXTERN DDR18STR ddr18;  \r
+#define DDR18 ddr18.byte\r
+#define DDR18_D6 ddr18.bit._D6\r
+#define DDR18_D2 ddr18.bit._D2\r
+__IO_EXTERN DDR19STR ddr19;  \r
+#define DDR19 ddr19.byte\r
+#define DDR19_D6 ddr19.bit._D6\r
+#define DDR19_D2 ddr19.bit._D2\r
+#define DDR19_D1 ddr19.bit._D1\r
+#define DDR19_D0 ddr19.bit._D0\r
+__IO_EXTERN DDR20STR ddr20;  \r
+#define DDR20 ddr20.byte\r
+#define DDR20_D7 ddr20.bit._D7\r
+#define DDR20_D6 ddr20.bit._D6\r
+#define DDR20_D5 ddr20.bit._D5\r
+#define DDR20_D4 ddr20.bit._D4\r
+#define DDR20_D3 ddr20.bit._D3\r
+#define DDR20_D2 ddr20.bit._D2\r
+#define DDR20_D1 ddr20.bit._D1\r
+#define DDR20_D0 ddr20.bit._D0\r
+__IO_EXTERN DDR21STR ddr21;  \r
+#define DDR21 ddr21.byte\r
+#define DDR21_D7 ddr21.bit._D7\r
+#define DDR21_D6 ddr21.bit._D6\r
+#define DDR21_D5 ddr21.bit._D5\r
+#define DDR21_D4 ddr21.bit._D4\r
+#define DDR21_D3 ddr21.bit._D3\r
+#define DDR21_D2 ddr21.bit._D2\r
+#define DDR21_D1 ddr21.bit._D1\r
+#define DDR21_D0 ddr21.bit._D0\r
+__IO_EXTERN DDR22STR ddr22;  \r
+#define DDR22 ddr22.byte\r
+#define DDR22_D5 ddr22.bit._D5\r
+#define DDR22_D4 ddr22.bit._D4\r
+#define DDR22_D1 ddr22.bit._D1\r
+#define DDR22_D0 ddr22.bit._D0\r
+__IO_EXTERN DDR24STR ddr24;  \r
+#define DDR24 ddr24.byte\r
+#define DDR24_D7 ddr24.bit._D7\r
+#define DDR24_D6 ddr24.bit._D6\r
+#define DDR24_D5 ddr24.bit._D5\r
+#define DDR24_D4 ddr24.bit._D4\r
+#define DDR24_D3 ddr24.bit._D3\r
+#define DDR24_D2 ddr24.bit._D2\r
+#define DDR24_D1 ddr24.bit._D1\r
+#define DDR24_D0 ddr24.bit._D0\r
+__IO_EXTERN DDR26STR ddr26;  \r
+#define DDR26 ddr26.byte\r
+#define DDR26_D1 ddr26.bit._D1\r
+#define DDR26_D0 ddr26.bit._D0\r
+__IO_EXTERN DDR27STR ddr27;  \r
+#define DDR27 ddr27.byte\r
+#define DDR27_D7 ddr27.bit._D7\r
+#define DDR27_D6 ddr27.bit._D6\r
+#define DDR27_D5 ddr27.bit._D5\r
+#define DDR27_D4 ddr27.bit._D4\r
+#define DDR27_D3 ddr27.bit._D3\r
+#define DDR27_D2 ddr27.bit._D2\r
+#define DDR27_D1 ddr27.bit._D1\r
+#define DDR27_D0 ddr27.bit._D0\r
+__IO_EXTERN DDR28STR ddr28;  \r
+#define DDR28 ddr28.byte\r
+#define DDR28_D7 ddr28.bit._D7\r
+#define DDR28_D6 ddr28.bit._D6\r
+#define DDR28_D5 ddr28.bit._D5\r
+#define DDR28_D4 ddr28.bit._D4\r
+#define DDR28_D3 ddr28.bit._D3\r
+#define DDR28_D2 ddr28.bit._D2\r
+#define DDR28_D1 ddr28.bit._D1\r
+#define DDR28_D0 ddr28.bit._D0\r
+__IO_EXTERN DDR29STR ddr29;  \r
+#define DDR29 ddr29.byte\r
+#define DDR29_D7 ddr29.bit._D7\r
+#define DDR29_D6 ddr29.bit._D6\r
+#define DDR29_D5 ddr29.bit._D5\r
+#define DDR29_D4 ddr29.bit._D4\r
+#define DDR29_D3 ddr29.bit._D3\r
+#define DDR29_D2 ddr29.bit._D2\r
+#define DDR29_D1 ddr29.bit._D1\r
+#define DDR29_D0 ddr29.bit._D0\r
+__IO_EXTERN PFR14STR pfr14;   /* R-bus Port Function Register */\r
+#define PFR14 pfr14.byte\r
+#define PFR14_D7 pfr14.bit._D7\r
+#define PFR14_D6 pfr14.bit._D6\r
+#define PFR14_D5 pfr14.bit._D5\r
+#define PFR14_D4 pfr14.bit._D4\r
+#define PFR14_D3 pfr14.bit._D3\r
+#define PFR14_D2 pfr14.bit._D2\r
+#define PFR14_D1 pfr14.bit._D1\r
+#define PFR14_D0 pfr14.bit._D0\r
+__IO_EXTERN PFR15STR pfr15;  \r
+#define PFR15 pfr15.byte\r
+#define PFR15_D7 pfr15.bit._D7\r
+#define PFR15_D6 pfr15.bit._D6\r
+#define PFR15_D5 pfr15.bit._D5\r
+#define PFR15_D4 pfr15.bit._D4\r
+#define PFR15_D3 pfr15.bit._D3\r
+#define PFR15_D2 pfr15.bit._D2\r
+#define PFR15_D1 pfr15.bit._D1\r
+#define PFR15_D0 pfr15.bit._D0\r
+__IO_EXTERN PFR16STR pfr16;  \r
+#define PFR16 pfr16.byte\r
+#define PFR16_D7 pfr16.bit._D7\r
+#define PFR16_D6 pfr16.bit._D6\r
+#define PFR16_D5 pfr16.bit._D5\r
+#define PFR16_D4 pfr16.bit._D4\r
+#define PFR16_D3 pfr16.bit._D3\r
+#define PFR16_D2 pfr16.bit._D2\r
+#define PFR16_D1 pfr16.bit._D1\r
+#define PFR16_D0 pfr16.bit._D0\r
+__IO_EXTERN PFR17STR pfr17;  \r
+#define PFR17 pfr17.byte\r
+#define PFR17_D7 pfr17.bit._D7\r
+#define PFR17_D6 pfr17.bit._D6\r
+#define PFR17_D5 pfr17.bit._D5\r
+#define PFR17_D4 pfr17.bit._D4\r
+#define PFR17_D3 pfr17.bit._D3\r
+#define PFR17_D2 pfr17.bit._D2\r
+#define PFR17_D1 pfr17.bit._D1\r
+#define PFR17_D0 pfr17.bit._D0\r
+__IO_EXTERN PFR18STR pfr18;  \r
+#define PFR18 pfr18.byte\r
+#define PFR18_D6 pfr18.bit._D6\r
+#define PFR18_D2 pfr18.bit._D2\r
+__IO_EXTERN PFR19STR pfr19;  \r
+#define PFR19 pfr19.byte\r
+#define PFR19_D6 pfr19.bit._D6\r
+#define PFR19_D2 pfr19.bit._D2\r
+#define PFR19_D1 pfr19.bit._D1\r
+#define PFR19_D0 pfr19.bit._D0\r
+__IO_EXTERN PFR20STR pfr20;  \r
+#define PFR20 pfr20.byte\r
+#define PFR20_D7 pfr20.bit._D7\r
+#define PFR20_D6 pfr20.bit._D6\r
+#define PFR20_D5 pfr20.bit._D5\r
+#define PFR20_D4 pfr20.bit._D4\r
+#define PFR20_D3 pfr20.bit._D3\r
+#define PFR20_D2 pfr20.bit._D2\r
+#define PFR20_D1 pfr20.bit._D1\r
+#define PFR20_D0 pfr20.bit._D0\r
+__IO_EXTERN PFR21STR pfr21;  \r
+#define PFR21 pfr21.byte\r
+#define PFR21_D7 pfr21.bit._D7\r
+#define PFR21_D6 pfr21.bit._D6\r
+#define PFR21_D5 pfr21.bit._D5\r
+#define PFR21_D4 pfr21.bit._D4\r
+#define PFR21_D3 pfr21.bit._D3\r
+#define PFR21_D2 pfr21.bit._D2\r
+#define PFR21_D1 pfr21.bit._D1\r
+#define PFR21_D0 pfr21.bit._D0\r
+__IO_EXTERN PFR22STR pfr22;  \r
+#define PFR22 pfr22.byte\r
+#define PFR22_D5 pfr22.bit._D5\r
+#define PFR22_D4 pfr22.bit._D4\r
+#define PFR22_D1 pfr22.bit._D1\r
+#define PFR22_D0 pfr22.bit._D0\r
+__IO_EXTERN PFR24STR pfr24;  \r
+#define PFR24 pfr24.byte\r
+#define PFR24_D7 pfr24.bit._D7\r
+#define PFR24_D6 pfr24.bit._D6\r
+#define PFR24_D5 pfr24.bit._D5\r
+#define PFR24_D4 pfr24.bit._D4\r
+#define PFR24_D3 pfr24.bit._D3\r
+#define PFR24_D2 pfr24.bit._D2\r
+#define PFR24_D1 pfr24.bit._D1\r
+#define PFR24_D0 pfr24.bit._D0\r
+__IO_EXTERN PFR26STR pfr26;  \r
+#define PFR26 pfr26.byte\r
+#define PFR26_D1 pfr26.bit._D1\r
+#define PFR26_D0 pfr26.bit._D0\r
+__IO_EXTERN PFR27STR pfr27;  \r
+#define PFR27 pfr27.byte\r
+#define PFR27_D7 pfr27.bit._D7\r
+#define PFR27_D6 pfr27.bit._D6\r
+#define PFR27_D5 pfr27.bit._D5\r
+#define PFR27_D4 pfr27.bit._D4\r
+#define PFR27_D3 pfr27.bit._D3\r
+#define PFR27_D2 pfr27.bit._D2\r
+#define PFR27_D1 pfr27.bit._D1\r
+#define PFR27_D0 pfr27.bit._D0\r
+__IO_EXTERN PFR28STR pfr28;  \r
+#define PFR28 pfr28.byte\r
+#define PFR28_D7 pfr28.bit._D7\r
+#define PFR28_D6 pfr28.bit._D6\r
+#define PFR28_D5 pfr28.bit._D5\r
+#define PFR28_D4 pfr28.bit._D4\r
+#define PFR28_D3 pfr28.bit._D3\r
+#define PFR28_D2 pfr28.bit._D2\r
+#define PFR28_D1 pfr28.bit._D1\r
+#define PFR28_D0 pfr28.bit._D0\r
+__IO_EXTERN PFR29STR pfr29;  \r
+#define PFR29 pfr29.byte\r
+#define PFR29_D7 pfr29.bit._D7\r
+#define PFR29_D6 pfr29.bit._D6\r
+#define PFR29_D5 pfr29.bit._D5\r
+#define PFR29_D4 pfr29.bit._D4\r
+#define PFR29_D3 pfr29.bit._D3\r
+#define PFR29_D2 pfr29.bit._D2\r
+#define PFR29_D1 pfr29.bit._D1\r
+#define PFR29_D0 pfr29.bit._D0\r
+__IO_EXTERN EPFR14STR epfr14;   /* R-bus Port Extra Function Register */\r
+#define EPFR14 epfr14.byte\r
+#define EPFR14_D7 epfr14.bit._D7\r
+#define EPFR14_D6 epfr14.bit._D6\r
+#define EPFR14_D5 epfr14.bit._D5\r
+#define EPFR14_D4 epfr14.bit._D4\r
+#define EPFR14_D3 epfr14.bit._D3\r
+#define EPFR14_D2 epfr14.bit._D2\r
+#define EPFR14_D1 epfr14.bit._D1\r
+#define EPFR14_D0 epfr14.bit._D0\r
+__IO_EXTERN EPFR15STR epfr15;  \r
+#define EPFR15 epfr15.byte\r
+#define EPFR15_D7 epfr15.bit._D7\r
+#define EPFR15_D6 epfr15.bit._D6\r
+#define EPFR15_D5 epfr15.bit._D5\r
+#define EPFR15_D4 epfr15.bit._D4\r
+#define EPFR15_D3 epfr15.bit._D3\r
+#define EPFR15_D2 epfr15.bit._D2\r
+#define EPFR15_D1 epfr15.bit._D1\r
+#define EPFR15_D0 epfr15.bit._D0\r
+__IO_EXTERN EPFR16STR epfr16;  \r
+#define EPFR16 epfr16.byte\r
+#define EPFR16_D7 epfr16.bit._D7\r
+__IO_EXTERN IO_BYTE epfr17;  \r
+#define EPFR17 epfr17\r
+__IO_EXTERN EPFR18STR epfr18;  \r
+#define EPFR18 epfr18.byte\r
+#define EPFR18_D6 epfr18.bit._D6\r
+#define EPFR18_D2 epfr18.bit._D2\r
+__IO_EXTERN EPFR19STR epfr19;  \r
+#define EPFR19 epfr19.byte\r
+#define EPFR19_D6 epfr19.bit._D6\r
+#define EPFR19_D2 epfr19.bit._D2\r
+__IO_EXTERN EPFR20STR epfr20;  \r
+#define EPFR20 epfr20.byte\r
+#define EPFR20_D6 epfr20.bit._D6\r
+#define EPFR20_D2 epfr20.bit._D2\r
+__IO_EXTERN EPFR21STR epfr21;  \r
+#define EPFR21 epfr21.byte\r
+#define EPFR21_D6 epfr21.bit._D6\r
+#define EPFR21_D2 epfr21.bit._D2\r
+__IO_EXTERN IO_BYTE epfr22;  \r
+#define EPFR22 epfr22\r
+__IO_EXTERN IO_BYTE epfr24;  \r
+#define EPFR24 epfr24\r
+__IO_EXTERN EPFR26STR epfr26;  \r
+#define EPFR26 epfr26.byte\r
+#define EPFR26_D1 epfr26.bit._D1\r
+#define EPFR26_D0 epfr26.bit._D0\r
+__IO_EXTERN EPFR27STR epfr27;  \r
+#define EPFR27 epfr27.byte\r
+#define EPFR27_D7 epfr27.bit._D7\r
+#define EPFR27_D6 epfr27.bit._D6\r
+#define EPFR27_D5 epfr27.bit._D5\r
+#define EPFR27_D4 epfr27.bit._D4\r
+#define EPFR27_D3 epfr27.bit._D3\r
+#define EPFR27_D2 epfr27.bit._D2\r
+#define EPFR27_D1 epfr27.bit._D1\r
+#define EPFR27_D0 epfr27.bit._D0\r
+__IO_EXTERN IO_BYTE epfr29;  \r
+#define EPFR29 epfr29\r
+__IO_EXTERN PODR14STR podr14;   /* R-bus Port Output Drive Select Register */\r
+#define PODR14 podr14.byte\r
+#define PODR14_D7 podr14.bit._D7\r
+#define PODR14_D6 podr14.bit._D6\r
+#define PODR14_D5 podr14.bit._D5\r
+#define PODR14_D4 podr14.bit._D4\r
+#define PODR14_D3 podr14.bit._D3\r
+#define PODR14_D2 podr14.bit._D2\r
+#define PODR14_D1 podr14.bit._D1\r
+#define PODR14_D0 podr14.bit._D0\r
+__IO_EXTERN PODR15STR podr15;  \r
+#define PODR15 podr15.byte\r
+#define PODR15_D7 podr15.bit._D7\r
+#define PODR15_D6 podr15.bit._D6\r
+#define PODR15_D5 podr15.bit._D5\r
+#define PODR15_D4 podr15.bit._D4\r
+#define PODR15_D3 podr15.bit._D3\r
+#define PODR15_D2 podr15.bit._D2\r
+#define PODR15_D1 podr15.bit._D1\r
+#define PODR15_D0 podr15.bit._D0\r
+__IO_EXTERN PODR16STR podr16;  \r
+#define PODR16 podr16.byte\r
+#define PODR16_D7 podr16.bit._D7\r
+#define PODR16_D6 podr16.bit._D6\r
+#define PODR16_D5 podr16.bit._D5\r
+#define PODR16_D4 podr16.bit._D4\r
+#define PODR16_D3 podr16.bit._D3\r
+#define PODR16_D2 podr16.bit._D2\r
+#define PODR16_D1 podr16.bit._D1\r
+#define PODR16_D0 podr16.bit._D0\r
+__IO_EXTERN PODR17STR podr17;  \r
+#define PODR17 podr17.byte\r
+#define PODR17_D7 podr17.bit._D7\r
+#define PODR17_D6 podr17.bit._D6\r
+#define PODR17_D5 podr17.bit._D5\r
+#define PODR17_D4 podr17.bit._D4\r
+#define PODR17_D3 podr17.bit._D3\r
+#define PODR17_D2 podr17.bit._D2\r
+#define PODR17_D1 podr17.bit._D1\r
+#define PODR17_D0 podr17.bit._D0\r
+__IO_EXTERN PODR18STR podr18;  \r
+#define PODR18 podr18.byte\r
+#define PODR18_D6 podr18.bit._D6\r
+#define PODR18_D2 podr18.bit._D2\r
+__IO_EXTERN PODR19STR podr19;  \r
+#define PODR19 podr19.byte\r
+#define PODR19_D6 podr19.bit._D6\r
+#define PODR19_D2 podr19.bit._D2\r
+#define PODR19_D1 podr19.bit._D1\r
+#define PODR19_D0 podr19.bit._D0\r
+__IO_EXTERN PODR20STR podr20;  \r
+#define PODR20 podr20.byte\r
+#define PODR20_D7 podr20.bit._D7\r
+#define PODR20_D6 podr20.bit._D6\r
+#define PODR20_D5 podr20.bit._D5\r
+#define PODR20_D4 podr20.bit._D4\r
+#define PODR20_D3 podr20.bit._D3\r
+#define PODR20_D2 podr20.bit._D2\r
+#define PODR20_D1 podr20.bit._D1\r
+#define PODR20_D0 podr20.bit._D0\r
+__IO_EXTERN PODR21STR podr21;  \r
+#define PODR21 podr21.byte\r
+#define PODR21_D7 podr21.bit._D7\r
+#define PODR21_D6 podr21.bit._D6\r
+#define PODR21_D5 podr21.bit._D5\r
+#define PODR21_D4 podr21.bit._D4\r
+#define PODR21_D3 podr21.bit._D3\r
+#define PODR21_D2 podr21.bit._D2\r
+#define PODR21_D1 podr21.bit._D1\r
+#define PODR21_D0 podr21.bit._D0\r
+__IO_EXTERN PODR22STR podr22;  \r
+#define PODR22 podr22.byte\r
+#define PODR22_D5 podr22.bit._D5\r
+#define PODR22_D4 podr22.bit._D4\r
+#define PODR22_D1 podr22.bit._D1\r
+#define PODR22_D0 podr22.bit._D0\r
+__IO_EXTERN PODR24STR podr24;  \r
+#define PODR24 podr24.byte\r
+#define PODR24_D7 podr24.bit._D7\r
+#define PODR24_D6 podr24.bit._D6\r
+#define PODR24_D5 podr24.bit._D5\r
+#define PODR24_D4 podr24.bit._D4\r
+#define PODR24_D3 podr24.bit._D3\r
+#define PODR24_D2 podr24.bit._D2\r
+#define PODR24_D1 podr24.bit._D1\r
+#define PODR24_D0 podr24.bit._D0\r
+__IO_EXTERN PODR26STR podr26;  \r
+#define PODR26 podr26.byte\r
+#define PODR26_D1 podr26.bit._D1\r
+#define PODR26_D0 podr26.bit._D0\r
+__IO_EXTERN PODR27STR podr27;  \r
+#define PODR27 podr27.byte\r
+#define PODR27_D7 podr27.bit._D7\r
+#define PODR27_D6 podr27.bit._D6\r
+#define PODR27_D5 podr27.bit._D5\r
+#define PODR27_D4 podr27.bit._D4\r
+#define PODR27_D3 podr27.bit._D3\r
+#define PODR27_D2 podr27.bit._D2\r
+#define PODR27_D1 podr27.bit._D1\r
+#define PODR27_D0 podr27.bit._D0\r
+__IO_EXTERN PODR28STR podr28;  \r
+#define PODR28 podr28.byte\r
+#define PODR28_D7 podr28.bit._D7\r
+#define PODR28_D6 podr28.bit._D6\r
+#define PODR28_D5 podr28.bit._D5\r
+#define PODR28_D4 podr28.bit._D4\r
+#define PODR28_D3 podr28.bit._D3\r
+#define PODR28_D2 podr28.bit._D2\r
+#define PODR28_D1 podr28.bit._D1\r
+#define PODR28_D0 podr28.bit._D0\r
+__IO_EXTERN PODR29STR podr29;  \r
+#define PODR29 podr29.byte\r
+#define PODR29_D7 podr29.bit._D7\r
+#define PODR29_D6 podr29.bit._D6\r
+#define PODR29_D5 podr29.bit._D5\r
+#define PODR29_D4 podr29.bit._D4\r
+#define PODR29_D3 podr29.bit._D3\r
+#define PODR29_D2 podr29.bit._D2\r
+#define PODR29_D1 podr29.bit._D1\r
+#define PODR29_D0 podr29.bit._D0\r
+__IO_EXTERN PILR14STR pilr14;   /* R-bus Port Input Level Select Register */\r
+#define PILR14 pilr14.byte\r
+#define PILR14_D7 pilr14.bit._D7\r
+#define PILR14_D6 pilr14.bit._D6\r
+#define PILR14_D5 pilr14.bit._D5\r
+#define PILR14_D4 pilr14.bit._D4\r
+#define PILR14_D3 pilr14.bit._D3\r
+#define PILR14_D2 pilr14.bit._D2\r
+#define PILR14_D1 pilr14.bit._D1\r
+#define PILR14_D0 pilr14.bit._D0\r
+__IO_EXTERN PILR15STR pilr15;  \r
+#define PILR15 pilr15.byte\r
+#define PILR15_D7 pilr15.bit._D7\r
+#define PILR15_D6 pilr15.bit._D6\r
+#define PILR15_D5 pilr15.bit._D5\r
+#define PILR15_D4 pilr15.bit._D4\r
+#define PILR15_D3 pilr15.bit._D3\r
+#define PILR15_D2 pilr15.bit._D2\r
+#define PILR15_D1 pilr15.bit._D1\r
+#define PILR15_D0 pilr15.bit._D0\r
+__IO_EXTERN PILR16STR pilr16;  \r
+#define PILR16 pilr16.byte\r
+#define PILR16_D7 pilr16.bit._D7\r
+#define PILR16_D6 pilr16.bit._D6\r
+#define PILR16_D5 pilr16.bit._D5\r
+#define PILR16_D4 pilr16.bit._D4\r
+#define PILR16_D3 pilr16.bit._D3\r
+#define PILR16_D2 pilr16.bit._D2\r
+#define PILR16_D1 pilr16.bit._D1\r
+#define PILR16_D0 pilr16.bit._D0\r
+__IO_EXTERN PILR17STR pilr17;  \r
+#define PILR17 pilr17.byte\r
+#define PILR17_D7 pilr17.bit._D7\r
+#define PILR17_D6 pilr17.bit._D6\r
+#define PILR17_D5 pilr17.bit._D5\r
+#define PILR17_D4 pilr17.bit._D4\r
+#define PILR17_D3 pilr17.bit._D3\r
+#define PILR17_D2 pilr17.bit._D2\r
+#define PILR17_D1 pilr17.bit._D1\r
+#define PILR17_D0 pilr17.bit._D0\r
+__IO_EXTERN PILR18STR pilr18;  \r
+#define PILR18 pilr18.byte\r
+#define PILR18_D6 pilr18.bit._D6\r
+#define PILR18_D2 pilr18.bit._D2\r
+__IO_EXTERN PILR19STR pilr19;  \r
+#define PILR19 pilr19.byte\r
+#define PILR19_D6 pilr19.bit._D6\r
+#define PILR19_D2 pilr19.bit._D2\r
+#define PILR19_D1 pilr19.bit._D1\r
+#define PILR19_D0 pilr19.bit._D0\r
+__IO_EXTERN PILR20STR pilr20;  \r
+#define PILR20 pilr20.byte\r
+#define PILR20_D7 pilr20.bit._D7\r
+#define PILR20_D6 pilr20.bit._D6\r
+#define PILR20_D5 pilr20.bit._D5\r
+#define PILR20_D4 pilr20.bit._D4\r
+#define PILR20_D3 pilr20.bit._D3\r
+#define PILR20_D2 pilr20.bit._D2\r
+#define PILR20_D1 pilr20.bit._D1\r
+#define PILR20_D0 pilr20.bit._D0\r
+__IO_EXTERN PILR21STR pilr21;  \r
+#define PILR21 pilr21.byte\r
+#define PILR21_D7 pilr21.bit._D7\r
+#define PILR21_D6 pilr21.bit._D6\r
+#define PILR21_D5 pilr21.bit._D5\r
+#define PILR21_D4 pilr21.bit._D4\r
+#define PILR21_D3 pilr21.bit._D3\r
+#define PILR21_D2 pilr21.bit._D2\r
+#define PILR21_D1 pilr21.bit._D1\r
+#define PILR21_D0 pilr21.bit._D0\r
+__IO_EXTERN PILR22STR pilr22;  \r
+#define PILR22 pilr22.byte\r
+#define PILR22_D5 pilr22.bit._D5\r
+#define PILR22_D4 pilr22.bit._D4\r
+#define PILR22_D1 pilr22.bit._D1\r
+#define PILR22_D0 pilr22.bit._D0\r
+__IO_EXTERN PILR24STR pilr24;  \r
+#define PILR24 pilr24.byte\r
+#define PILR24_D7 pilr24.bit._D7\r
+#define PILR24_D6 pilr24.bit._D6\r
+#define PILR24_D5 pilr24.bit._D5\r
+#define PILR24_D4 pilr24.bit._D4\r
+#define PILR24_D3 pilr24.bit._D3\r
+#define PILR24_D2 pilr24.bit._D2\r
+#define PILR24_D1 pilr24.bit._D1\r
+#define PILR24_D0 pilr24.bit._D0\r
+__IO_EXTERN PILR26STR pilr26;  \r
+#define PILR26 pilr26.byte\r
+#define PILR26_D1 pilr26.bit._D1\r
+#define PILR26_D0 pilr26.bit._D0\r
+__IO_EXTERN PILR27STR pilr27;  \r
+#define PILR27 pilr27.byte\r
+#define PILR27_D7 pilr27.bit._D7\r
+#define PILR27_D6 pilr27.bit._D6\r
+#define PILR27_D5 pilr27.bit._D5\r
+#define PILR27_D4 pilr27.bit._D4\r
+#define PILR27_D3 pilr27.bit._D3\r
+#define PILR27_D2 pilr27.bit._D2\r
+#define PILR27_D1 pilr27.bit._D1\r
+#define PILR27_D0 pilr27.bit._D0\r
+__IO_EXTERN PILR28STR pilr28;  \r
+#define PILR28 pilr28.byte\r
+#define PILR28_D7 pilr28.bit._D7\r
+#define PILR28_D6 pilr28.bit._D6\r
+#define PILR28_D5 pilr28.bit._D5\r
+#define PILR28_D4 pilr28.bit._D4\r
+#define PILR28_D3 pilr28.bit._D3\r
+#define PILR28_D2 pilr28.bit._D2\r
+#define PILR28_D1 pilr28.bit._D1\r
+#define PILR28_D0 pilr28.bit._D0\r
+__IO_EXTERN PILR29STR pilr29;  \r
+#define PILR29 pilr29.byte\r
+#define PILR29_D7 pilr29.bit._D7\r
+#define PILR29_D6 pilr29.bit._D6\r
+#define PILR29_D5 pilr29.bit._D5\r
+#define PILR29_D4 pilr29.bit._D4\r
+#define PILR29_D3 pilr29.bit._D3\r
+#define PILR29_D2 pilr29.bit._D2\r
+#define PILR29_D1 pilr29.bit._D1\r
+#define PILR29_D0 pilr29.bit._D0\r
+__IO_EXTERN EPILR14STR epilr14;   /* R-bus Port Extra Input Level Select Register */\r
+#define EPILR14 epilr14.byte\r
+#define EPILR14_D7 epilr14.bit._D7\r
+#define EPILR14_D6 epilr14.bit._D6\r
+#define EPILR14_D5 epilr14.bit._D5\r
+#define EPILR14_D4 epilr14.bit._D4\r
+#define EPILR14_D3 epilr14.bit._D3\r
+#define EPILR14_D2 epilr14.bit._D2\r
+#define EPILR14_D1 epilr14.bit._D1\r
+#define EPILR14_D0 epilr14.bit._D0\r
+__IO_EXTERN EPILR15STR epilr15;  \r
+#define EPILR15 epilr15.byte\r
+#define EPILR15_D7 epilr15.bit._D7\r
+#define EPILR15_D6 epilr15.bit._D6\r
+#define EPILR15_D5 epilr15.bit._D5\r
+#define EPILR15_D4 epilr15.bit._D4\r
+#define EPILR15_D3 epilr15.bit._D3\r
+#define EPILR15_D2 epilr15.bit._D2\r
+#define EPILR15_D1 epilr15.bit._D1\r
+#define EPILR15_D0 epilr15.bit._D0\r
+__IO_EXTERN EPILR16STR epilr16;  \r
+#define EPILR16 epilr16.byte\r
+#define EPILR16_D7 epilr16.bit._D7\r
+#define EPILR16_D6 epilr16.bit._D6\r
+#define EPILR16_D5 epilr16.bit._D5\r
+#define EPILR16_D4 epilr16.bit._D4\r
+#define EPILR16_D3 epilr16.bit._D3\r
+#define EPILR16_D2 epilr16.bit._D2\r
+#define EPILR16_D1 epilr16.bit._D1\r
+#define EPILR16_D0 epilr16.bit._D0\r
+__IO_EXTERN EPILR17STR epilr17;  \r
+#define EPILR17 epilr17.byte\r
+#define EPILR17_D7 epilr17.bit._D7\r
+#define EPILR17_D6 epilr17.bit._D6\r
+#define EPILR17_D5 epilr17.bit._D5\r
+#define EPILR17_D4 epilr17.bit._D4\r
+#define EPILR17_D3 epilr17.bit._D3\r
+#define EPILR17_D2 epilr17.bit._D2\r
+#define EPILR17_D1 epilr17.bit._D1\r
+#define EPILR17_D0 epilr17.bit._D0\r
+__IO_EXTERN EPILR18STR epilr18;  \r
+#define EPILR18 epilr18.byte\r
+#define EPILR18_D6 epilr18.bit._D6\r
+#define EPILR18_D2 epilr18.bit._D2\r
+__IO_EXTERN EPILR19STR epilr19;  \r
+#define EPILR19 epilr19.byte\r
+#define EPILR19_D6 epilr19.bit._D6\r
+#define EPILR19_D2 epilr19.bit._D2\r
+#define EPILR19_D1 epilr19.bit._D1\r
+#define EPILR19_D0 epilr19.bit._D0\r
+__IO_EXTERN EPILR20STR epilr20;  \r
+#define EPILR20 epilr20.byte\r
+#define EPILR20_D7 epilr20.bit._D7\r
+#define EPILR20_D6 epilr20.bit._D6\r
+#define EPILR20_D5 epilr20.bit._D5\r
+#define EPILR20_D4 epilr20.bit._D4\r
+#define EPILR20_D3 epilr20.bit._D3\r
+#define EPILR20_D2 epilr20.bit._D2\r
+#define EPILR20_D1 epilr20.bit._D1\r
+#define EPILR20_D0 epilr20.bit._D0\r
+__IO_EXTERN EPILR21STR epilr21;  \r
+#define EPILR21 epilr21.byte\r
+#define EPILR21_D7 epilr21.bit._D7\r
+#define EPILR21_D6 epilr21.bit._D6\r
+#define EPILR21_D5 epilr21.bit._D5\r
+#define EPILR21_D4 epilr21.bit._D4\r
+#define EPILR21_D3 epilr21.bit._D3\r
+#define EPILR21_D2 epilr21.bit._D2\r
+#define EPILR21_D1 epilr21.bit._D1\r
+#define EPILR21_D0 epilr21.bit._D0\r
+__IO_EXTERN EPILR22STR epilr22;  \r
+#define EPILR22 epilr22.byte\r
+#define EPILR22_D5 epilr22.bit._D5\r
+#define EPILR22_D4 epilr22.bit._D4\r
+#define EPILR22_D1 epilr22.bit._D1\r
+#define EPILR22_D0 epilr22.bit._D0\r
+__IO_EXTERN EPILR24STR epilr24;  \r
+#define EPILR24 epilr24.byte\r
+#define EPILR24_D7 epilr24.bit._D7\r
+#define EPILR24_D6 epilr24.bit._D6\r
+#define EPILR24_D5 epilr24.bit._D5\r
+#define EPILR24_D4 epilr24.bit._D4\r
+#define EPILR24_D3 epilr24.bit._D3\r
+#define EPILR24_D2 epilr24.bit._D2\r
+#define EPILR24_D1 epilr24.bit._D1\r
+#define EPILR24_D0 epilr24.bit._D0\r
+__IO_EXTERN EPILR26STR epilr26;  \r
+#define EPILR26 epilr26.byte\r
+#define EPILR26_D1 epilr26.bit._D1\r
+#define EPILR26_D0 epilr26.bit._D0\r
+__IO_EXTERN EPILR27STR epilr27;  \r
+#define EPILR27 epilr27.byte\r
+#define EPILR27_D7 epilr27.bit._D7\r
+#define EPILR27_D6 epilr27.bit._D6\r
+#define EPILR27_D5 epilr27.bit._D5\r
+#define EPILR27_D4 epilr27.bit._D4\r
+#define EPILR27_D3 epilr27.bit._D3\r
+#define EPILR27_D2 epilr27.bit._D2\r
+#define EPILR27_D1 epilr27.bit._D1\r
+#define EPILR27_D0 epilr27.bit._D0\r
+__IO_EXTERN EPILR28STR epilr28;  \r
+#define EPILR28 epilr28.byte\r
+#define EPILR28_D7 epilr28.bit._D7\r
+#define EPILR28_D6 epilr28.bit._D6\r
+#define EPILR28_D5 epilr28.bit._D5\r
+#define EPILR28_D4 epilr28.bit._D4\r
+#define EPILR28_D3 epilr28.bit._D3\r
+#define EPILR28_D2 epilr28.bit._D2\r
+#define EPILR28_D1 epilr28.bit._D1\r
+#define EPILR28_D0 epilr28.bit._D0\r
+__IO_EXTERN EPILR29STR epilr29;  \r
+#define EPILR29 epilr29.byte\r
+#define EPILR29_D7 epilr29.bit._D7\r
+#define EPILR29_D6 epilr29.bit._D6\r
+#define EPILR29_D5 epilr29.bit._D5\r
+#define EPILR29_D4 epilr29.bit._D4\r
+#define EPILR29_D3 epilr29.bit._D3\r
+#define EPILR29_D2 epilr29.bit._D2\r
+#define EPILR29_D1 epilr29.bit._D1\r
+#define EPILR29_D0 epilr29.bit._D0\r
+__IO_EXTERN PPER14STR pper14;   /* R-bus Port Pull-Up/Down  Enable Register */\r
+#define PPER14 pper14.byte\r
+#define PPER14_D7 pper14.bit._D7\r
+#define PPER14_D6 pper14.bit._D6\r
+#define PPER14_D5 pper14.bit._D5\r
+#define PPER14_D4 pper14.bit._D4\r
+#define PPER14_D3 pper14.bit._D3\r
+#define PPER14_D2 pper14.bit._D2\r
+#define PPER14_D1 pper14.bit._D1\r
+#define PPER14_D0 pper14.bit._D0\r
+__IO_EXTERN PPER15STR pper15;  \r
+#define PPER15 pper15.byte\r
+#define PPER15_D7 pper15.bit._D7\r
+#define PPER15_D6 pper15.bit._D6\r
+#define PPER15_D5 pper15.bit._D5\r
+#define PPER15_D4 pper15.bit._D4\r
+#define PPER15_D3 pper15.bit._D3\r
+#define PPER15_D2 pper15.bit._D2\r
+#define PPER15_D1 pper15.bit._D1\r
+#define PPER15_D0 pper15.bit._D0\r
+__IO_EXTERN PPER16STR pper16;  \r
+#define PPER16 pper16.byte\r
+#define PPER16_D7 pper16.bit._D7\r
+#define PPER16_D6 pper16.bit._D6\r
+#define PPER16_D5 pper16.bit._D5\r
+#define PPER16_D4 pper16.bit._D4\r
+#define PPER16_D3 pper16.bit._D3\r
+#define PPER16_D2 pper16.bit._D2\r
+#define PPER16_D1 pper16.bit._D1\r
+#define PPER16_D0 pper16.bit._D0\r
+__IO_EXTERN PPER17STR pper17;  \r
+#define PPER17 pper17.byte\r
+#define PPER17_D7 pper17.bit._D7\r
+#define PPER17_D6 pper17.bit._D6\r
+#define PPER17_D5 pper17.bit._D5\r
+#define PPER17_D4 pper17.bit._D4\r
+#define PPER17_D3 pper17.bit._D3\r
+#define PPER17_D2 pper17.bit._D2\r
+#define PPER17_D1 pper17.bit._D1\r
+#define PPER17_D0 pper17.bit._D0\r
+__IO_EXTERN PPER18STR pper18;  \r
+#define PPER18 pper18.byte\r
+#define PPER18_D6 pper18.bit._D6\r
+#define PPER18_D2 pper18.bit._D2\r
+__IO_EXTERN PPER19STR pper19;  \r
+#define PPER19 pper19.byte\r
+#define PPER19_D6 pper19.bit._D6\r
+#define PPER19_D2 pper19.bit._D2\r
+#define PPER19_D1 pper19.bit._D1\r
+#define PPER19_D0 pper19.bit._D0\r
+__IO_EXTERN PPER20STR pper20;  \r
+#define PPER20 pper20.byte\r
+#define PPER20_D7 pper20.bit._D7\r
+#define PPER20_D6 pper20.bit._D6\r
+#define PPER20_D5 pper20.bit._D5\r
+#define PPER20_D4 pper20.bit._D4\r
+#define PPER20_D3 pper20.bit._D3\r
+#define PPER20_D2 pper20.bit._D2\r
+#define PPER20_D1 pper20.bit._D1\r
+#define PPER20_D0 pper20.bit._D0\r
+__IO_EXTERN PPER21STR pper21;  \r
+#define PPER21 pper21.byte\r
+#define PPER21_D7 pper21.bit._D7\r
+#define PPER21_D6 pper21.bit._D6\r
+#define PPER21_D5 pper21.bit._D5\r
+#define PPER21_D4 pper21.bit._D4\r
+#define PPER21_D3 pper21.bit._D3\r
+#define PPER21_D2 pper21.bit._D2\r
+#define PPER21_D1 pper21.bit._D1\r
+#define PPER21_D0 pper21.bit._D0\r
+__IO_EXTERN PPER22STR pper22;  \r
+#define PPER22 pper22.byte\r
+#define PPER22_D5 pper22.bit._D5\r
+#define PPER22_D4 pper22.bit._D4\r
+#define PPER22_D1 pper22.bit._D1\r
+#define PPER22_D0 pper22.bit._D0\r
+__IO_EXTERN PPER24STR pper24;  \r
+#define PPER24 pper24.byte\r
+#define PPER24_D7 pper24.bit._D7\r
+#define PPER24_D6 pper24.bit._D6\r
+#define PPER24_D5 pper24.bit._D5\r
+#define PPER24_D4 pper24.bit._D4\r
+#define PPER24_D3 pper24.bit._D3\r
+#define PPER24_D2 pper24.bit._D2\r
+#define PPER24_D1 pper24.bit._D1\r
+#define PPER24_D0 pper24.bit._D0\r
+__IO_EXTERN PPER26STR pper26;  \r
+#define PPER26 pper26.byte\r
+#define PPER26_D1 pper26.bit._D1\r
+#define PPER26_D0 pper26.bit._D0\r
+__IO_EXTERN PPER27STR pper27;  \r
+#define PPER27 pper27.byte\r
+#define PPER27_D7 pper27.bit._D7\r
+#define PPER27_D6 pper27.bit._D6\r
+#define PPER27_D5 pper27.bit._D5\r
+#define PPER27_D4 pper27.bit._D4\r
+#define PPER27_D3 pper27.bit._D3\r
+#define PPER27_D2 pper27.bit._D2\r
+#define PPER27_D1 pper27.bit._D1\r
+#define PPER27_D0 pper27.bit._D0\r
+__IO_EXTERN PPER28STR pper28;  \r
+#define PPER28 pper28.byte\r
+#define PPER28_D7 pper28.bit._D7\r
+#define PPER28_D6 pper28.bit._D6\r
+#define PPER28_D5 pper28.bit._D5\r
+#define PPER28_D4 pper28.bit._D4\r
+#define PPER28_D3 pper28.bit._D3\r
+#define PPER28_D2 pper28.bit._D2\r
+#define PPER28_D1 pper28.bit._D1\r
+#define PPER28_D0 pper28.bit._D0\r
+__IO_EXTERN PPER29STR pper29;  \r
+#define PPER29 pper29.byte\r
+#define PPER29_D7 pper29.bit._D7\r
+#define PPER29_D6 pper29.bit._D6\r
+#define PPER29_D5 pper29.bit._D5\r
+#define PPER29_D4 pper29.bit._D4\r
+#define PPER29_D3 pper29.bit._D3\r
+#define PPER29_D2 pper29.bit._D2\r
+#define PPER29_D1 pper29.bit._D1\r
+#define PPER29_D0 pper29.bit._D0\r
+__IO_EXTERN PPCR14STR ppcr14;   /* R-bus Port Pull-Up/Down Control Register */\r
+#define PPCR14 ppcr14.byte\r
+#define PPCR14_D7 ppcr14.bit._D7\r
+#define PPCR14_D6 ppcr14.bit._D6\r
+#define PPCR14_D5 ppcr14.bit._D5\r
+#define PPCR14_D4 ppcr14.bit._D4\r
+#define PPCR14_D3 ppcr14.bit._D3\r
+#define PPCR14_D2 ppcr14.bit._D2\r
+#define PPCR14_D1 ppcr14.bit._D1\r
+#define PPCR14_D0 ppcr14.bit._D0\r
+__IO_EXTERN PPCR15STR ppcr15;  \r
+#define PPCR15 ppcr15.byte\r
+#define PPCR15_D7 ppcr15.bit._D7\r
+#define PPCR15_D6 ppcr15.bit._D6\r
+#define PPCR15_D5 ppcr15.bit._D5\r
+#define PPCR15_D4 ppcr15.bit._D4\r
+#define PPCR15_D3 ppcr15.bit._D3\r
+#define PPCR15_D2 ppcr15.bit._D2\r
+#define PPCR15_D1 ppcr15.bit._D1\r
+#define PPCR15_D0 ppcr15.bit._D0\r
+__IO_EXTERN PPCR16STR ppcr16;  \r
+#define PPCR16 ppcr16.byte\r
+#define PPCR16_D7 ppcr16.bit._D7\r
+#define PPCR16_D6 ppcr16.bit._D6\r
+#define PPCR16_D5 ppcr16.bit._D5\r
+#define PPCR16_D4 ppcr16.bit._D4\r
+#define PPCR16_D3 ppcr16.bit._D3\r
+#define PPCR16_D2 ppcr16.bit._D2\r
+#define PPCR16_D1 ppcr16.bit._D1\r
+#define PPCR16_D0 ppcr16.bit._D0\r
+__IO_EXTERN PPCR17STR ppcr17;  \r
+#define PPCR17 ppcr17.byte\r
+#define PPCR17_D7 ppcr17.bit._D7\r
+#define PPCR17_D6 ppcr17.bit._D6\r
+#define PPCR17_D5 ppcr17.bit._D5\r
+#define PPCR17_D4 ppcr17.bit._D4\r
+#define PPCR17_D3 ppcr17.bit._D3\r
+#define PPCR17_D2 ppcr17.bit._D2\r
+#define PPCR17_D1 ppcr17.bit._D1\r
+#define PPCR17_D0 ppcr17.bit._D0\r
+__IO_EXTERN PPCR18STR ppcr18;  \r
+#define PPCR18 ppcr18.byte\r
+#define PPCR18_D6 ppcr18.bit._D6\r
+#define PPCR18_D2 ppcr18.bit._D2\r
+__IO_EXTERN PPCR19STR ppcr19;  \r
+#define PPCR19 ppcr19.byte\r
+#define PPCR19_D6 ppcr19.bit._D6\r
+#define PPCR19_D2 ppcr19.bit._D2\r
+#define PPCR19_D1 ppcr19.bit._D1\r
+#define PPCR19_D0 ppcr19.bit._D0\r
+__IO_EXTERN PPCR20STR ppcr20;  \r
+#define PPCR20 ppcr20.byte\r
+#define PPCR20_D7 ppcr20.bit._D7\r
+#define PPCR20_D6 ppcr20.bit._D6\r
+#define PPCR20_D5 ppcr20.bit._D5\r
+#define PPCR20_D4 ppcr20.bit._D4\r
+#define PPCR20_D3 ppcr20.bit._D3\r
+#define PPCR20_D2 ppcr20.bit._D2\r
+#define PPCR20_D1 ppcr20.bit._D1\r
+#define PPCR20_D0 ppcr20.bit._D0\r
+__IO_EXTERN PPCR21STR ppcr21;  \r
+#define PPCR21 ppcr21.byte\r
+#define PPCR21_D7 ppcr21.bit._D7\r
+#define PPCR21_D6 ppcr21.bit._D6\r
+#define PPCR21_D5 ppcr21.bit._D5\r
+#define PPCR21_D4 ppcr21.bit._D4\r
+#define PPCR21_D3 ppcr21.bit._D3\r
+#define PPCR21_D2 ppcr21.bit._D2\r
+#define PPCR21_D1 ppcr21.bit._D1\r
+#define PPCR21_D0 ppcr21.bit._D0\r
+__IO_EXTERN PPCR22STR ppcr22;  \r
+#define PPCR22 ppcr22.byte\r
+#define PPCR22_D5 ppcr22.bit._D5\r
+#define PPCR22_D4 ppcr22.bit._D4\r
+#define PPCR22_D1 ppcr22.bit._D1\r
+#define PPCR22_D0 ppcr22.bit._D0\r
+__IO_EXTERN PPCR24STR ppcr24;  \r
+#define PPCR24 ppcr24.byte\r
+#define PPCR24_D7 ppcr24.bit._D7\r
+#define PPCR24_D6 ppcr24.bit._D6\r
+#define PPCR24_D5 ppcr24.bit._D5\r
+#define PPCR24_D4 ppcr24.bit._D4\r
+#define PPCR24_D3 ppcr24.bit._D3\r
+#define PPCR24_D2 ppcr24.bit._D2\r
+#define PPCR24_D1 ppcr24.bit._D1\r
+#define PPCR24_D0 ppcr24.bit._D0\r
+__IO_EXTERN PPCR26STR ppcr26;  \r
+#define PPCR26 ppcr26.byte\r
+#define PPCR26_D1 ppcr26.bit._D1\r
+#define PPCR26_D0 ppcr26.bit._D0\r
+__IO_EXTERN PPCR27STR ppcr27;  \r
+#define PPCR27 ppcr27.byte\r
+#define PPCR27_D7 ppcr27.bit._D7\r
+#define PPCR27_D6 ppcr27.bit._D6\r
+#define PPCR27_D5 ppcr27.bit._D5\r
+#define PPCR27_D4 ppcr27.bit._D4\r
+#define PPCR27_D3 ppcr27.bit._D3\r
+#define PPCR27_D2 ppcr27.bit._D2\r
+#define PPCR27_D1 ppcr27.bit._D1\r
+#define PPCR27_D0 ppcr27.bit._D0\r
+__IO_EXTERN PPCR28STR ppcr28;  \r
+#define PPCR28 ppcr28.byte\r
+#define PPCR28_D7 ppcr28.bit._D7\r
+#define PPCR28_D6 ppcr28.bit._D6\r
+#define PPCR28_D5 ppcr28.bit._D5\r
+#define PPCR28_D4 ppcr28.bit._D4\r
+#define PPCR28_D3 ppcr28.bit._D3\r
+#define PPCR28_D2 ppcr28.bit._D2\r
+#define PPCR28_D1 ppcr28.bit._D1\r
+#define PPCR28_D0 ppcr28.bit._D0\r
+__IO_EXTERN PPCR29STR ppcr29;  \r
+#define PPCR29 ppcr29.byte\r
+#define PPCR29_D7 ppcr29.bit._D7\r
+#define PPCR29_D6 ppcr29.bit._D6\r
+#define PPCR29_D5 ppcr29.bit._D5\r
+#define PPCR29_D4 ppcr29.bit._D4\r
+#define PPCR29_D3 ppcr29.bit._D3\r
+#define PPCR29_D2 ppcr29.bit._D2\r
+#define PPCR29_D1 ppcr29.bit._D1\r
+#define PPCR29_D0 ppcr29.bit._D0\r
+__IO_EXTERN IO_LWORD dmasa0;   /* DMAC */\r
+#define DMASA0 dmasa0\r
+__IO_EXTERN IO_LWORD dmada0;  \r
+#define DMADA0 dmada0\r
+__IO_EXTERN IO_LWORD dmasa1;  \r
+#define DMASA1 dmasa1\r
+__IO_EXTERN IO_LWORD dmada1;  \r
+#define DMADA1 dmada1\r
+__IO_EXTERN IO_LWORD dmasa2;  \r
+#define DMASA2 dmasa2\r
+__IO_EXTERN IO_LWORD dmada2;  \r
+#define DMADA2 dmada2\r
+__IO_EXTERN IO_LWORD dmasa3;  \r
+#define DMASA3 dmasa3\r
+__IO_EXTERN IO_LWORD dmada3;  \r
+#define DMADA3 dmada3\r
+__IO_EXTERN IO_LWORD dmasa4;  \r
+#define DMASA4 dmasa4\r
+__IO_EXTERN IO_LWORD dmada4;  \r
+#define DMADA4 dmada4\r
+__IO_EXTERN FMCSSTR fmcs;   /* Flash Memory/I-Cache Control Register */\r
+#define FMCS fmcs.byte\r
+#define FMCS_ASYNC fmcs.bit._ASYNC\r
+#define FMCS_FIXE fmcs.bit._FIXE\r
+#define FMCS_BIRE fmcs.bit._BIRE\r
+#define FMCS_RDYEG fmcs.bit._RDYEG\r
+#define FMCS_RDY fmcs.bit._RDY\r
+#define FMCS_RDYI fmcs.bit._RDYI\r
+#define FMCS_RW16 fmcs.bit._RW16\r
+#define FMCS_LPM fmcs.bit._LPM\r
+__IO_EXTERN FMCRSTR fmcr;  \r
+#define FMCR fmcr.byte\r
+#define FMCR_LOCK fmcr.bit._LOCK\r
+#define FMCR_PHASE fmcr.bit._PHASE\r
+#define FMCR_PF2I fmcr.bit._PF2I\r
+#define FMCR_RD64 fmcr.bit._RD64\r
+__IO_EXTERN FCHCRSTR fchcr;  \r
+#define FCHCR fchcr.word\r
+#define FCHCR_REN fchcr.bit._REN\r
+#define FCHCR_TAGE fchcr.bit._TAGE\r
+#define FCHCR_FLUSH fchcr.bit._FLUSH\r
+#define FCHCR_DBEN fchcr.bit._DBEN\r
+#define FCHCR_PFEN fchcr.bit._PFEN\r
+#define FCHCR_PFMC fchcr.bit._PFMC\r
+#define FCHCR_LOCK fchcr.bit._LOCK\r
+#define FCHCR_ENAB fchcr.bit._ENAB\r
+#define FCHCR_SIZE1 fchcr.bit._SIZE1\r
+#define FCHCR_SIZE0 fchcr.bit._SIZE0\r
+#define FCHCR_SIZE fchcr.bitc._SIZE\r
+__IO_EXTERN FMWTSTR fmwt;  \r
+#define FMWT fmwt.word\r
+#define FMWT_WTP1 fmwt.bit._WTP1\r
+#define FMWT_WTP0 fmwt.bit._WTP0\r
+#define FMWT_WEXH1 fmwt.bit._WEXH1\r
+#define FMWT_WEXH0 fmwt.bit._WEXH0\r
+#define FMWT_WTC3 fmwt.bit._WTC3\r
+#define FMWT_WTC2 fmwt.bit._WTC2\r
+#define FMWT_WTC1 fmwt.bit._WTC1\r
+#define FMWT_WTC0 fmwt.bit._WTC0\r
+#define FMWT_FRAM fmwt.bit._FRAM\r
+#define FMWT_ATD2 fmwt.bit._ATD2\r
+#define FMWT_ATD1 fmwt.bit._ATD1\r
+#define FMWT_ATD0 fmwt.bit._ATD0\r
+#define FMWT_EQ3 fmwt.bit._EQ3\r
+#define FMWT_EQ2 fmwt.bit._EQ2\r
+#define FMWT_EQ1 fmwt.bit._EQ1\r
+#define FMWT_EQ0 fmwt.bit._EQ0\r
+#define FMWT_WTP fmwt.bitc._WTP\r
+#define FMWT_WEXH fmwt.bitc._WEXH\r
+#define FMWT_WTC fmwt.bitc._WTC\r
+#define FMWT_ATD fmwt.bitc._ATD\r
+#define FMWT_EQ fmwt.bitc._EQ\r
+__IO_EXTERN FMWT2STR fmwt2;  \r
+#define FMWT2 fmwt2.byte\r
+#define FMWT2_ALEH2 fmwt2.bit._ALEH2\r
+#define FMWT2_ALEH1 fmwt2.bit._ALEH1\r
+#define FMWT2_ALEH0 fmwt2.bit._ALEH0\r
+#define FMWT2_ALEH fmwt2.bitc._ALEH\r
+__IO_EXTERN FMPSSTR fmps;  \r
+#define FMPS fmps.byte\r
+#define FMPS_PS2 fmps.bit._PS2\r
+#define FMPS_PS1 fmps.bit._PS1\r
+#define FMPS_PS0 fmps.bit._PS0\r
+#define FMPS_PS fmps.bitc._PS\r
+__IO_EXTERN IO_LWORD fmac;  \r
+#define FMAC fmac\r
+__IO_EXTERN IO_LWORD fcha0;   /* I_Cache Nonchachable area settings Register */\r
+#define FCHA0 fcha0\r
+__IO_EXTERN IO_LWORD fcha1;  \r
+#define FCHA1 fcha1\r
+__IO_EXTERN FSCR0STR fscr0;   /* Flash Security Control Register */\r
+#define FSCR0 fscr0.lword\r
+#define FSCR0_CRC31 fscr0.bit._CRC31\r
+#define FSCR0_CRC30 fscr0.bit._CRC30\r
+#define FSCR0_CRC29 fscr0.bit._CRC29\r
+#define FSCR0_CRC28 fscr0.bit._CRC28\r
+#define FSCR0_CRC27 fscr0.bit._CRC27\r
+#define FSCR0_CRC26 fscr0.bit._CRC26\r
+#define FSCR0_CRC25 fscr0.bit._CRC25\r
+#define FSCR0_CRC24 fscr0.bit._CRC24\r
+#define FSCR0_CRC23 fscr0.bit._CRC23\r
+#define FSCR0_CRC22 fscr0.bit._CRC22\r
+#define FSCR0_CRC21 fscr0.bit._CRC21\r
+#define FSCR0_CRC20 fscr0.bit._CRC20\r
+#define FSCR0_CRC19 fscr0.bit._CRC19\r
+#define FSCR0_CRC18 fscr0.bit._CRC18\r
+#define FSCR0_CRC17 fscr0.bit._CRC17\r
+#define FSCR0_CRC16 fscr0.bit._CRC16\r
+#define FSCR0_CRC15 fscr0.bit._CRC15\r
+#define FSCR0_CRC14 fscr0.bit._CRC14\r
+#define FSCR0_CRC13 fscr0.bit._CRC13\r
+#define FSCR0_CRC12 fscr0.bit._CRC12\r
+#define FSCR0_CRC11 fscr0.bit._CRC11\r
+#define FSCR0_CRC10 fscr0.bit._CRC10\r
+#define FSCR0_CRC9 fscr0.bit._CRC9\r
+#define FSCR0_CRC8 fscr0.bit._CRC8\r
+#define FSCR0_CRC7 fscr0.bit._CRC7\r
+#define FSCR0_CRC6 fscr0.bit._CRC6\r
+#define FSCR0_CRC5 fscr0.bit._CRC5\r
+#define FSCR0_CRC4 fscr0.bit._CRC4\r
+#define FSCR0_CRC3 fscr0.bit._CRC3\r
+#define FSCR0_CRC2 fscr0.bit._CRC2\r
+#define FSCR0_CRC1 fscr0.bit._CRC1\r
+#define FSCR0_CRC0 fscr0.bit._CRC0\r
+__IO_EXTERN FSCR1STR fscr1;  \r
+#define FSCR1 fscr1.lword\r
+#define FSCR1_RDY fscr1.bit._RDY\r
+#define FSCR1_CSZ3 fscr1.bit._CSZ3\r
+#define FSCR1_CSZ2 fscr1.bit._CSZ2\r
+#define FSCR1_CSZ1 fscr1.bit._CSZ1\r
+#define FSCR1_CSZ0 fscr1.bit._CSZ0\r
+#define FSCR1_CSA15 fscr1.bit._CSA15\r
+#define FSCR1_CSA14 fscr1.bit._CSA14\r
+#define FSCR1_CSA13 fscr1.bit._CSA13\r
+#define FSCR1_CSA12 fscr1.bit._CSA12\r
+#define FSCR1_CSA11 fscr1.bit._CSA11\r
+#define FSCR1_CSA10 fscr1.bit._CSA10\r
+#define FSCR1_CSA9 fscr1.bit._CSA9\r
+#define FSCR1_CSA8 fscr1.bit._CSA8\r
+#define FSCR1_CSA7 fscr1.bit._CSA7\r
+#define FSCR1_CSA6 fscr1.bit._CSA6\r
+#define FSCR1_CSA5 fscr1.bit._CSA5\r
+#define FSCR1_CSA4 fscr1.bit._CSA4\r
+#define FSCR1_CSA3 fscr1.bit._CSA3\r
+#define FSCR1_CSA2 fscr1.bit._CSA2\r
+#define FSCR1_CSA1 fscr1.bit._CSA1\r
+#define FSCR1_CSA0 fscr1.bit._CSA0\r
+#define FSCR1_CSZ fscr1.bitc._CSZ\r
+__IO_EXTERN CTRLR4STR ctrlr4;   /* CAN 4 Control Register */\r
+#define CTRLR4 ctrlr4.word\r
+#define CTRLR4_Test ctrlr4.bit._Test\r
+#define CTRLR4_CCE ctrlr4.bit._CCE\r
+#define CTRLR4_DAR ctrlr4.bit._DAR\r
+#define CTRLR4_EIE ctrlr4.bit._EIE\r
+#define CTRLR4_SIE ctrlr4.bit._SIE\r
+#define CTRLR4_IE ctrlr4.bit._IE\r
+#define CTRLR4_Init ctrlr4.bit._Init\r
+__IO_EXTERN STATR4STR statr4;  \r
+#define STATR4 statr4.word\r
+#define STATR4_BOff statr4.bit._BOff\r
+#define STATR4_EWarn statr4.bit._EWarn\r
+#define STATR4_EPass statr4.bit._EPass\r
+#define STATR4_RxOK statr4.bit._RxOK\r
+#define STATR4_TxOK statr4.bit._TxOK\r
+#define STATR4_LEC2 statr4.bit._LEC2\r
+#define STATR4_LEC1 statr4.bit._LEC1\r
+#define STATR4_LEC0 statr4.bit._LEC0\r
+#define STATR4_LEC statr4.bitc._LEC\r
+__IO_EXTERN ERRCNT4STR errcnt4;  \r
+#define ERRCNT4 errcnt4.word\r
+#define ERRCNT4_RP errcnt4.bit._RP\r
+#define ERRCNT4_REC6 errcnt4.bit._REC6\r
+#define ERRCNT4_REC5 errcnt4.bit._REC5\r
+#define ERRCNT4_REC4 errcnt4.bit._REC4\r
+#define ERRCNT4_REC3 errcnt4.bit._REC3\r
+#define ERRCNT4_REC2 errcnt4.bit._REC2\r
+#define ERRCNT4_REC1 errcnt4.bit._REC1\r
+#define ERRCNT4_REC0 errcnt4.bit._REC0\r
+#define ERRCNT4_TEC7 errcnt4.bit._TEC7\r
+#define ERRCNT4_TEC6 errcnt4.bit._TEC6\r
+#define ERRCNT4_TEC5 errcnt4.bit._TEC5\r
+#define ERRCNT4_TEC4 errcnt4.bit._TEC4\r
+#define ERRCNT4_TEC3 errcnt4.bit._TEC3\r
+#define ERRCNT4_TEC2 errcnt4.bit._TEC2\r
+#define ERRCNT4_TEC1 errcnt4.bit._TEC1\r
+#define ERRCNT4_TEC0 errcnt4.bit._TEC0\r
+#define ERRCNT4_REC errcnt4.bitc._REC\r
+#define ERRCNT4_TEC errcnt4.bitc._TEC\r
+__IO_EXTERN BTR4STR btr4;  \r
+#define BTR4 btr4.word\r
+#define BTR4_Tseg22 btr4.bit._Tseg22\r
+#define BTR4_Tseg21 btr4.bit._Tseg21\r
+#define BTR4_Tseg20 btr4.bit._Tseg20\r
+#define BTR4_Tseg13 btr4.bit._Tseg13\r
+#define BTR4_Tseg12 btr4.bit._Tseg12\r
+#define BTR4_Tseg11 btr4.bit._Tseg11\r
+#define BTR4_Tseg10 btr4.bit._Tseg10\r
+#define BTR4_SJW1 btr4.bit._SJW1\r
+#define BTR4_SJW0 btr4.bit._SJW0\r
+#define BTR4_BRP5 btr4.bit._BRP5\r
+#define BTR4_BRP4 btr4.bit._BRP4\r
+#define BTR4_BRP3 btr4.bit._BRP3\r
+#define BTR4_BRP2 btr4.bit._BRP2\r
+#define BTR4_BRP1 btr4.bit._BRP1\r
+#define BTR4_BRP0 btr4.bit._BRP0\r
+#define BTR4_Tseg2 btr4.bitc._Tseg2\r
+#define BTR4_Tseg1 btr4.bitc._Tseg1\r
+#define BTR4_SJW btr4.bitc._SJW\r
+#define BTR4_BRP btr4.bitc._BRP\r
+__IO_EXTERN IO_WORD intr4;  \r
+#define INTR4 intr4\r
+__IO_EXTERN TESTR4STR testr4;  \r
+#define TESTR4 testr4.word\r
+#define TESTR4_Rx testr4.bit._Rx\r
+#define TESTR4_Tx1 testr4.bit._Tx1\r
+#define TESTR4_Tx0 testr4.bit._Tx0\r
+#define TESTR4_LBack testr4.bit._LBack\r
+#define TESTR4_Silent testr4.bit._Silent\r
+#define TESTR4_Basic testr4.bit._Basic\r
+#define TESTR4_Tx testr4.bitc._Tx\r
+__IO_EXTERN BRPER4STR brper4;  \r
+#define BRPER4 brper4.word\r
+#define BRPER4_BRPE3 brper4.bit._BRPE3\r
+#define BRPER4_BRPE2 brper4.bit._BRPE2\r
+#define BRPER4_BRPE1 brper4.bit._BRPE1\r
+#define BRPER4_BRPE0 brper4.bit._BRPE0\r
+#define BRPER4_BRPE brper4.bitc._BRPE\r
+__IO_EXTERN BRPE4STR brpe4;  \r
+#define BRPE4 brpe4.word\r
+__IO_EXTERN IF1CREQ4STR if1creq4;   /* CAN 4 IF 1 */\r
+#define IF1CREQ4 if1creq4.word\r
+#define IF1CREQ4_Busy if1creq4.bit._Busy\r
+#define IF1CREQ4_MN5 if1creq4.bit._MN5\r
+#define IF1CREQ4_MN4 if1creq4.bit._MN4\r
+#define IF1CREQ4_MN3 if1creq4.bit._MN3\r
+#define IF1CREQ4_MN2 if1creq4.bit._MN2\r
+#define IF1CREQ4_MN1 if1creq4.bit._MN1\r
+#define IF1CREQ4_MN0 if1creq4.bit._MN0\r
+#define IF1CREQ4_MN if1creq4.bitc._MN\r
+__IO_EXTERN IF1CMSK4STR if1cmsk4;  \r
+#define IF1CMSK4 if1cmsk4.word\r
+#define IF1CMSK4_WR if1cmsk4.bit._WR\r
+#define IF1CMSK4_Mask if1cmsk4.bit._Mask\r
+#define IF1CMSK4_Arb if1cmsk4.bit._Arb\r
+#define IF1CMSK4_Control if1cmsk4.bit._Control\r
+#define IF1CMSK4_CIP if1cmsk4.bit._CIP\r
+#define IF1CMSK4_TxReq if1cmsk4.bit._TxReq\r
+#define IF1CMSK4_DataA if1cmsk4.bit._DataA\r
+#define IF1CMSK4_DataB if1cmsk4.bit._DataB\r
+__IO_EXTERN IO_LWORD if1msk124;  \r
+#define IF1MSK124 if1msk124\r
+__IO_EXTERN IF1MSK24STR if1msk24;  \r
+#define IF1MSK24 if1msk24.word\r
+#define IF1MSK24_MXtd if1msk24.bit._MXtd\r
+#define IF1MSK24_MDir if1msk24.bit._MDir\r
+__IO_EXTERN IO_WORD if1msk14;  \r
+#define IF1MSK14 if1msk14\r
+__IO_EXTERN IO_LWORD if1arb124;  \r
+#define IF1ARB124 if1arb124\r
+__IO_EXTERN IF1ARB24STR if1arb24;  \r
+#define IF1ARB24 if1arb24.word\r
+#define IF1ARB24_MsgVal if1arb24.bit._MsgVal\r
+#define IF1ARB24_Xtd if1arb24.bit._Xtd\r
+#define IF1ARB24_DIR if1arb24.bit._DIR\r
+__IO_EXTERN IO_WORD if1arb14;  \r
+#define IF1ARB14 if1arb14\r
+__IO_EXTERN IF1MCTR4STR if1mctr4;  \r
+#define IF1MCTR4 if1mctr4.word\r
+#define IF1MCTR4_NewDat if1mctr4.bit._NewDat\r
+#define IF1MCTR4_MsgLst if1mctr4.bit._MsgLst\r
+#define IF1MCTR4_IntPnd if1mctr4.bit._IntPnd\r
+#define IF1MCTR4_UMask if1mctr4.bit._UMask\r
+#define IF1MCTR4_TxIE if1mctr4.bit._TxIE\r
+#define IF1MCTR4_RxIE if1mctr4.bit._RxIE\r
+#define IF1MCTR4_RmtEn if1mctr4.bit._RmtEn\r
+#define IF1MCTR4_TxRqst if1mctr4.bit._TxRqst\r
+#define IF1MCTR4_EoB if1mctr4.bit._EoB\r
+#define IF1MCTR4_DLC3 if1mctr4.bit._DLC3\r
+#define IF1MCTR4_DLC2 if1mctr4.bit._DLC2\r
+#define IF1MCTR4_DLC1 if1mctr4.bit._DLC1\r
+#define IF1MCTR4_DLC0 if1mctr4.bit._DLC0\r
+#define IF1MCTR4_DLC if1mctr4.bitc._DLC\r
+__IO_EXTERN IO_LWORD if1dta124;  \r
+#define IF1DTA124 if1dta124\r
+__IO_EXTERN IO_WORD if1dta14;  \r
+#define IF1DTA14 if1dta14\r
+__IO_EXTERN IO_WORD if1dta24;  \r
+#define IF1DTA24 if1dta24\r
+__IO_EXTERN IO_LWORD if1dtb124;  \r
+#define IF1DTB124 if1dtb124\r
+__IO_EXTERN IO_WORD if1dtb14;  \r
+#define IF1DTB14 if1dtb14\r
+__IO_EXTERN IO_WORD if1dtb24;  \r
+#define IF1DTB24 if1dtb24\r
+__IO_EXTERN IO_LWORD if1dta_swp124;  \r
+#define IF1DTA_SWP124 if1dta_swp124\r
+__IO_EXTERN IO_WORD if1dta_swp24;  \r
+#define IF1DTA_SWP24 if1dta_swp24\r
+__IO_EXTERN IO_WORD if1dta_swp14;  \r
+#define IF1DTA_SWP14 if1dta_swp14\r
+__IO_EXTERN IO_LWORD if1dtb_swp124;  \r
+#define IF1DTB_SWP124 if1dtb_swp124\r
+__IO_EXTERN IO_WORD if1dtb_swp24;  \r
+#define IF1DTB_SWP24 if1dtb_swp24\r
+__IO_EXTERN IO_WORD if1dtb_swp14;  \r
+#define IF1DTB_SWP14 if1dtb_swp14\r
+__IO_EXTERN IF2CREQ4STR if2creq4;   /* CAN 4 IF 2 */\r
+#define IF2CREQ4 if2creq4.word\r
+#define IF2CREQ4_Busy if2creq4.bit._Busy\r
+#define IF2CREQ4_MN5 if2creq4.bit._MN5\r
+#define IF2CREQ4_MN4 if2creq4.bit._MN4\r
+#define IF2CREQ4_MN3 if2creq4.bit._MN3\r
+#define IF2CREQ4_MN2 if2creq4.bit._MN2\r
+#define IF2CREQ4_MN1 if2creq4.bit._MN1\r
+#define IF2CREQ4_MN0 if2creq4.bit._MN0\r
+#define IF2CREQ4_MN if2creq4.bitc._MN\r
+__IO_EXTERN IF2CMSK4STR if2cmsk4;  \r
+#define IF2CMSK4 if2cmsk4.word\r
+#define IF2CMSK4_WR if2cmsk4.bit._WR\r
+#define IF2CMSK4_Mask if2cmsk4.bit._Mask\r
+#define IF2CMSK4_Arb if2cmsk4.bit._Arb\r
+#define IF2CMSK4_Control if2cmsk4.bit._Control\r
+#define IF2CMSK4_CIP if2cmsk4.bit._CIP\r
+#define IF2CMSK4_TxReq if2cmsk4.bit._TxReq\r
+#define IF2CMSK4_DataA if2cmsk4.bit._DataA\r
+#define IF2CMSK4_DataB if2cmsk4.bit._DataB\r
+__IO_EXTERN IO_LWORD if2msk124;  \r
+#define IF2MSK124 if2msk124\r
+__IO_EXTERN IF2MSK24STR if2msk24;  \r
+#define IF2MSK24 if2msk24.word\r
+#define IF2MSK24_MXtd if2msk24.bit._MXtd\r
+#define IF2MSK24_MDir if2msk24.bit._MDir\r
+__IO_EXTERN IO_WORD if2msk14;  \r
+#define IF2MSK14 if2msk14\r
+__IO_EXTERN IO_LWORD if2arb124;  \r
+#define IF2ARB124 if2arb124\r
+__IO_EXTERN IF2ARB24STR if2arb24;  \r
+#define IF2ARB24 if2arb24.word\r
+#define IF2ARB24_MsgVal if2arb24.bit._MsgVal\r
+#define IF2ARB24_Xtd if2arb24.bit._Xtd\r
+#define IF2ARB24_DIR if2arb24.bit._DIR\r
+__IO_EXTERN IO_WORD if2arb14;  \r
+#define IF2ARB14 if2arb14\r
+__IO_EXTERN IF2MCTR4STR if2mctr4;  \r
+#define IF2MCTR4 if2mctr4.word\r
+#define IF2MCTR4_NewDat if2mctr4.bit._NewDat\r
+#define IF2MCTR4_MsgLst if2mctr4.bit._MsgLst\r
+#define IF2MCTR4_IntPnd if2mctr4.bit._IntPnd\r
+#define IF2MCTR4_UMask if2mctr4.bit._UMask\r
+#define IF2MCTR4_TxIE if2mctr4.bit._TxIE\r
+#define IF2MCTR4_RxIE if2mctr4.bit._RxIE\r
+#define IF2MCTR4_RmtEn if2mctr4.bit._RmtEn\r
+#define IF2MCTR4_TxRqst if2mctr4.bit._TxRqst\r
+#define IF2MCTR4_EoB if2mctr4.bit._EoB\r
+#define IF2MCTR4_DLC3 if2mctr4.bit._DLC3\r
+#define IF2MCTR4_DLC2 if2mctr4.bit._DLC2\r
+#define IF2MCTR4_DLC1 if2mctr4.bit._DLC1\r
+#define IF2MCTR4_DLC0 if2mctr4.bit._DLC0\r
+#define IF2MCTR4_DLC if2mctr4.bitc._DLC\r
+__IO_EXTERN IO_LWORD if2dta124;  \r
+#define IF2DTA124 if2dta124\r
+__IO_EXTERN IO_WORD if2dta14;  \r
+#define IF2DTA14 if2dta14\r
+__IO_EXTERN IO_WORD if2dta24;  \r
+#define IF2DTA24 if2dta24\r
+__IO_EXTERN IO_LWORD if2dtb124;  \r
+#define IF2DTB124 if2dtb124\r
+__IO_EXTERN IO_WORD if2dtb14;  \r
+#define IF2DTB14 if2dtb14\r
+__IO_EXTERN IO_WORD if2dtb24;  \r
+#define IF2DTB24 if2dtb24\r
+__IO_EXTERN IO_LWORD if2dta_swp124;  \r
+#define IF2DTA_SWP124 if2dta_swp124\r
+__IO_EXTERN IO_WORD if2dta_swp24;  \r
+#define IF2DTA_SWP24 if2dta_swp24\r
+__IO_EXTERN IO_WORD if2dta_swp14;  \r
+#define IF2DTA_SWP14 if2dta_swp14\r
+__IO_EXTERN IO_LWORD if2dtb_swp124;  \r
+#define IF2DTB_SWP124 if2dtb_swp124\r
+__IO_EXTERN IO_WORD if2dtb_swp24;  \r
+#define IF2DTB_SWP24 if2dtb_swp24\r
+__IO_EXTERN IO_WORD if2dtb_swp14;  \r
+#define IF2DTB_SWP14 if2dtb_swp14\r
+__IO_EXTERN IO_LWORD treqr124;   /* CAN 4 Status Flags */\r
+#define TREQR124 treqr124\r
+__IO_EXTERN IO_WORD treqr24;  \r
+#define TREQR24 treqr24\r
+__IO_EXTERN IO_WORD treqr14;  \r
+#define TREQR14 treqr14\r
+__IO_EXTERN IO_LWORD treqr344;  \r
+#define TREQR344 treqr344\r
+__IO_EXTERN IO_LWORD newdt124;  \r
+#define NEWDT124 newdt124\r
+__IO_EXTERN IO_WORD newdt24;  \r
+#define NEWDT24 newdt24\r
+__IO_EXTERN IO_WORD newdt14;  \r
+#define NEWDT14 newdt14\r
+__IO_EXTERN IO_LWORD intpnd124;  \r
+#define INTPND124 intpnd124\r
+__IO_EXTERN IO_WORD intpnd24;  \r
+#define INTPND24 intpnd24\r
+__IO_EXTERN IO_WORD intpnd14;  \r
+#define INTPND14 intpnd14\r
+__IO_EXTERN IO_LWORD msgval124;  \r
+#define MSGVAL124 msgval124\r
+__IO_EXTERN IO_WORD msgval24;  \r
+#define MSGVAL24 msgval24\r
+__IO_EXTERN IO_WORD msgval14;  \r
+#define MSGVAL14 msgval14\r
+__IO_EXTERN BCTRLSTR bctrl;   /* EDSU/MPU Registers */\r
+#define BCTRL bctrl.lword\r
+#define BCTRL_SR bctrl.bit._SR\r
+#define BCTRL_SW bctrl.bit._SW\r
+#define BCTRL_SX bctrl.bit._SX\r
+#define BCTRL_UR bctrl.bit._UR\r
+#define BCTRL_UW bctrl.bit._UW\r
+#define BCTRL_UX bctrl.bit._UX\r
+#define BCTRL_FCPU bctrl.bit._FCPU\r
+#define BCTRL_FDMA bctrl.bit._FDMA\r
+#define BCTRL_EEMM bctrl.bit._EEMM\r
+#define BCTRL_PFD bctrl.bit._PFD\r
+#define BCTRL_SINT1 bctrl.bit._SINT1\r
+#define BCTRL_SINT0 bctrl.bit._SINT0\r
+#define BCTRL_EINT1 bctrl.bit._EINT1\r
+#define BCTRL_EINT0 bctrl.bit._EINT0\r
+#define BCTRL_EINTT bctrl.bit._EINTT\r
+#define BCTRL_EINTR bctrl.bit._EINTR\r
+#define BCTRL_SINT bctrl.bitc._SINT\r
+#define BCTRL_EINT bctrl.bitc._EINT\r
+__IO_EXTERN BSTATSTR bstat;  \r
+#define BSTAT bstat.lword\r
+#define BSTAT_IDX4 bstat.bit._IDX4\r
+#define BSTAT_IDX3 bstat.bit._IDX3\r
+#define BSTAT_IDX2 bstat.bit._IDX2\r
+#define BSTAT_IDX1 bstat.bit._IDX1\r
+#define BSTAT_IDX0 bstat.bit._IDX0\r
+#define BSTAT_CDMA bstat.bit._CDMA\r
+#define BSTAT_CSZ1 bstat.bit._CSZ1\r
+#define BSTAT_CSZ0 bstat.bit._CSZ0\r
+#define BSTAT_CRW1 bstat.bit._CRW1\r
+#define BSTAT_CRW0 bstat.bit._CRW0\r
+#define BSTAT_PV bstat.bit._PV\r
+#define BSTAT_RST bstat.bit._RST\r
+#define BSTAT_INT1 bstat.bit._INT1\r
+#define BSTAT_INT0 bstat.bit._INT0\r
+#define BSTAT_INTT bstat.bit._INTT\r
+#define BSTAT_INTR bstat.bit._INTR\r
+#define BSTAT_IDX bstat.bitc._IDX\r
+#define BSTAT_CSZ bstat.bitc._CSZ\r
+#define BSTAT_CRW bstat.bitc._CRW\r
+#define BSTAT_INT bstat.bitc._INT\r
+__IO_EXTERN IO_LWORD biac;  \r
+#define BIAC biac\r
+__IO_EXTERN IO_LWORD boac;  \r
+#define BOAC boac\r
+__IO_EXTERN BIRQSTR birq;  \r
+#define BIRQ birq.lword\r
+#define BIRQ_BD31 birq.bit._BD31\r
+#define BIRQ_BD30 birq.bit._BD30\r
+#define BIRQ_BD29 birq.bit._BD29\r
+#define BIRQ_BD28 birq.bit._BD28\r
+#define BIRQ_BD27 birq.bit._BD27\r
+#define BIRQ_BD26 birq.bit._BD26\r
+#define BIRQ_BD25 birq.bit._BD25\r
+#define BIRQ_BD24 birq.bit._BD24\r
+#define BIRQ_BD23 birq.bit._BD23\r
+#define BIRQ_BD22 birq.bit._BD22\r
+#define BIRQ_BD21 birq.bit._BD21\r
+#define BIRQ_BD20 birq.bit._BD20\r
+#define BIRQ_BD19 birq.bit._BD19\r
+#define BIRQ_BD18 birq.bit._BD18\r
+#define BIRQ_BD17 birq.bit._BD17\r
+#define BIRQ_BD16 birq.bit._BD16\r
+#define BIRQ_BD15 birq.bit._BD15\r
+#define BIRQ_BD14 birq.bit._BD14\r
+#define BIRQ_BD13 birq.bit._BD13\r
+#define BIRQ_BD12 birq.bit._BD12\r
+#define BIRQ_BD11 birq.bit._BD11\r
+#define BIRQ_BD10 birq.bit._BD10\r
+#define BIRQ_BD9 birq.bit._BD9\r
+#define BIRQ_BD8 birq.bit._BD8\r
+#define BIRQ_BD7 birq.bit._BD7\r
+#define BIRQ_BD6 birq.bit._BD6\r
+#define BIRQ_BD5 birq.bit._BD5\r
+#define BIRQ_BD4 birq.bit._BD4\r
+#define BIRQ_BD3 birq.bit._BD3\r
+#define BIRQ_BD2 birq.bit._BD2\r
+#define BIRQ_BD1 birq.bit._BD1\r
+#define BIRQ_BD0 birq.bit._BD0\r
+__IO_EXTERN BCR0STR bcr0;  \r
+#define BCR0 bcr0.lword\r
+#define BCR0_SRX1 bcr0.bit._SRX1\r
+#define BCR0_SW1 bcr0.bit._SW1\r
+#define BCR0_SRX0 bcr0.bit._SRX0\r
+#define BCR0_SW0 bcr0.bit._SW0\r
+#define BCR0_URX1 bcr0.bit._URX1\r
+#define BCR0_UW1 bcr0.bit._UW1\r
+#define BCR0_URX0 bcr0.bit._URX0\r
+#define BCR0_UW0 bcr0.bit._UW0\r
+#define BCR0_MPE bcr0.bit._MPE\r
+#define BCR0_COMB bcr0.bit._COMB\r
+#define BCR0_CTC1 bcr0.bit._CTC1\r
+#define BCR0_CTC0 bcr0.bit._CTC0\r
+#define BCR0_OBS1 bcr0.bit._OBS1\r
+#define BCR0_OBS0 bcr0.bit._OBS0\r
+#define BCR0_OBT1 bcr0.bit._OBT1\r
+#define BCR0_OBT0 bcr0.bit._OBT0\r
+#define BCR0_EP3 bcr0.bit._EP3\r
+#define BCR0_EP2 bcr0.bit._EP2\r
+#define BCR0_EP1 bcr0.bit._EP1\r
+#define BCR0_EP0 bcr0.bit._EP0\r
+#define BCR0_EM1 bcr0.bit._EM1\r
+#define BCR0_EM0 bcr0.bit._EM0\r
+#define BCR0_ER1 bcr0.bit._ER1\r
+#define BCR0_ER0 bcr0.bit._ER0\r
+#define BCR0_CTC bcr0.bitc._CTC\r
+#define BCR0_OBS bcr0.bitc._OBS\r
+#define BCR0_OBT bcr0.bitc._OBT\r
+#define BCR0_EP bcr0.bitc._EP\r
+#define BCR0_EM bcr0.bitc._EM\r
+#define BCR0_ER bcr0.bitc._ER\r
+__IO_EXTERN BCR1STR bcr1;  \r
+#define BCR1 bcr1.lword\r
+#define BCR1_SRX1 bcr1.bit._SRX1\r
+#define BCR1_SW1 bcr1.bit._SW1\r
+#define BCR1_SRX0 bcr1.bit._SRX0\r
+#define BCR1_SW0 bcr1.bit._SW0\r
+#define BCR1_URX1 bcr1.bit._URX1\r
+#define BCR1_UW1 bcr1.bit._UW1\r
+#define BCR1_URX0 bcr1.bit._URX0\r
+#define BCR1_UW0 bcr1.bit._UW0\r
+#define BCR1_MPE bcr1.bit._MPE\r
+#define BCR1_COMB bcr1.bit._COMB\r
+#define BCR1_CTC1 bcr1.bit._CTC1\r
+#define BCR1_CTC0 bcr1.bit._CTC0\r
+#define BCR1_OBS1 bcr1.bit._OBS1\r
+#define BCR1_OBS0 bcr1.bit._OBS0\r
+#define BCR1_OBT1 bcr1.bit._OBT1\r
+#define BCR1_OBT0 bcr1.bit._OBT0\r
+#define BCR1_EP3 bcr1.bit._EP3\r
+#define BCR1_EP2 bcr1.bit._EP2\r
+#define BCR1_EP1 bcr1.bit._EP1\r
+#define BCR1_EP0 bcr1.bit._EP0\r
+#define BCR1_EM1 bcr1.bit._EM1\r
+#define BCR1_EM0 bcr1.bit._EM0\r
+#define BCR1_ER1 bcr1.bit._ER1\r
+#define BCR1_ER0 bcr1.bit._ER0\r
+#define BCR1_CTC bcr1.bitc._CTC\r
+#define BCR1_OBS bcr1.bitc._OBS\r
+#define BCR1_OBT bcr1.bitc._OBT\r
+#define BCR1_EP bcr1.bitc._EP\r
+#define BCR1_EM bcr1.bitc._EM\r
+#define BCR1_ER bcr1.bitc._ER\r
+__IO_EXTERN IO_LWORD bad0;  \r
+#define BAD0 bad0\r
+__IO_EXTERN IO_LWORD bad1;  \r
+#define BAD1 bad1\r
+__IO_EXTERN IO_LWORD bad2;  \r
+#define BAD2 bad2\r
+__IO_EXTERN IO_LWORD bad3;  \r
+#define BAD3 bad3\r
+__IO_EXTERN IO_LWORD bad4;  \r
+#define BAD4 bad4\r
+__IO_EXTERN IO_LWORD bad5;  \r
+#define BAD5 bad5\r
+__IO_EXTERN IO_LWORD bad6;  \r
+#define BAD6 bad6\r
+__IO_EXTERN IO_LWORD bad7;  \r
+#define BAD7 bad7\r
+__IO_EXTERN IO_LWORD fsv1;   /* FSV & BSV Registers */\r
+#define FSV1 fsv1\r
+__IO_EXTERN IO_LWORD bsv1;  \r
+#define BSV1 bsv1\r
+__IO_EXTERN IO_LWORD fsv2;  \r
+#define FSV2 fsv2\r
+__IO_EXTERN IO_LWORD bsv2;  \r
+#define BSV2 bsv2\r
+/* include : INC465k_BSYNC.INC */\r
+/*-------------------------------------------------------------------*/\r
+/* INC465k.BSYNC :  Macros Bus Sync*/\r
+\r
+#define RB_SYNC if(RBSYNC)\r
+#define CB_SYNC4 if(CBSYNC4)\r
+/*-------------------------------------------------------------------*/\r
+#endif                   /* __FASM__    */\r
+#endif                   /* __MB91XXX_H */\r
+#endif                   /* __IO_DEFINE */\r
diff --git a/readme.txt b/readme.txt
new file mode 100644 (file)
index 0000000..c74f757
--- /dev/null
@@ -0,0 +1,58 @@
+==========================================================================\r
+                   FLASH Programming Demo for MB91F465K \r
+==========================================================================\r
+                   Fujitsu Microelectronics Europe GmbH                       \r
+                 http://emea.fujitsu.com/microelectronics \r
+                                                            \r
+ The following  software  is for  demonstration  purposes only.  It is not\r
+ fully  tested, nor validated  in order  to fullfill  its task  under  all\r
+ circumstances.  Therefore,  this software or  any part of it must only be\r
+ used in an evaluation laboratory environment.                        \r
+ This software is subject to the rules of our standard DISCLAIMER, that is\r
+ delivered with our  SW-tools on the Fujitsu Microcontrollers CD \r
+ (V3.4 or higher "\START.HTM") or on our Internet Pages:\r
+ http://www.fme.gsdc.de/gsdc.htm\r
+ http://emea.fujitsu.com/microelectronics \r
+==========================================================================\r
+               \r
+History\r
+Date      Ver   Author  Softune   Description\r
+10.07.07  1.0   MVo     V60L06    First Version\r
+                                                                 \r
+==========================================================================\r
+\r
+This is simple demo showing how to use the FLASH programming Auto\r
+Algorithms.\r
+\r
+Target of this demo is to show application of the following aspects of\r
+FLASH memory erase/write:\r
+\r
+1) Preparation of FLASH Read/Write Mode (via BootROM routine)\r
+2) Sector Erase\r
+3) Sector Erase Suspend/Resume\r
+4) Write Halfword to FLASH memory addresses\r
+\r
+Remarks:\r
+\r
+A) Reload Timer 0 is setup to demonstrate handling of Interrupt Requests\r
+   via polling and subsequent Sector Erase Suspend, Restore Global \r
+   Interrupt Flag, Handling of IRQ and Sector Erase Resume.\r
+   \r
+B) Handling of RAMCODE is added to Start91460.asm because FLASH prog.\r
+   routines have to be run from RAM since no code fetching is possible\r
+   while write/erase of FLASH memory.\r
+\r
+Connect MCU UART4 to Terminal Program (19200Baud 8N1). After Power On\r
+a welcome message is output on UART4. The Action of Reload Timer 0 is\r
+to increase a counter displayed on LED D1..D8 of SK-91F465K-120PMT each\r
+0.010s.\r
+The FLASH memory Sector content at Addresses 0xA0000 up to 0xA001F is\r
+shown.\r
+After this the Sector 0xA0000 will be erased by Sector\r
+Erase command (this Sector Erase Commands is repeatedly interrupted by\r
+Reload Timer 0 Interrupts which are handled from FLASH memory (Sector \r
+Erase Suspend/Resume).\r
+When the Sectors are earsed a few data are programmed to some given \r
+addresses in the sector 0xA0000. \r
+Finaly the FLASH memory content at addresses 0xA0000 up to 0xA001F is shown\r
+again to confirm the programmed values.\r
diff --git a/tags b/tags
new file mode 100644 (file)
index 0000000..992b012
--- /dev/null
+++ b/tags
@@ -0,0 +1,543 @@
+!_TAG_FILE_FORMAT      2       /extended format; --format=1 will not append ;" to lines/
+!_TAG_FILE_SORTED      1       /0=unsorted, 1=sorted, 2=foldcase/
+!_TAG_PROGRAM_AUTHOR   Darren Hiebert  /dhiebert@users.sourceforge.net/
+!_TAG_PROGRAM_NAME     Exuberant Ctags //
+!_TAG_PROGRAM_URL      http://ctags.sourceforge.net    /official site/
+!_TAG_PROGRAM_VERSION  5.8     //
+AS     Makefile        /^AS = $(PREFIX)fasm911s$/;"    m
+ASCII  uart.c  /^const char ASCII[] = "0123456789ABCDEF";$/;"  v
+ASFLAGS        Makefile        /^ASFLAGS = -g -w 2 -O 0 -linf ON -lsrc ON -lsec ON$/;" m
+CC     Makefile        /^CC = $(PREFIX)fcc911s$/;"     m
+CFLAGS Makefile        /^CFLAGS = -g -w 1 -O 4 -B -K SPEED -K LONGADDRESS$/;"  m
+CONV   Makefile        /^CONV = $(PREFIX)f2ms$/;"      m
+CONVFLAGS      Makefile        /^CONVFLAGS = -cwno$/;" m
+CPUT   Makefile        /^CPUT = -cpu MB91F465K #TODO: change to X$/;"  m
+DEFINES        Makefile        /^DEFINES =$/;" m
+DEPDIR Makefile        /^DEPDIR = .deps$/;"    m
+DPOLL  Flash.h 17;"    d
+DefaultIRQHandler      vectors.c       /^void DefaultIRQHandler (void)$/;"     f
+Echo4  uart.c  /^char Echo4(void)        \/* Echo UART and return ch *\/$/;"   f
+FLASH_CheckPendingInterrupt    Flash.c /^unsigned char FLASH_CheckPendingInterrupt()$/;"       f
+FLASH_PrepareReadMode  Flash.c /^void FLASH_PrepareReadMode()$/;"      f
+FLASH_PrepareWriteHalfWordMode Flash.c /^void FLASH_PrepareWriteHalfWordMode()$/;"     f
+FLASH_ReadReset        Flash.c /^unsigned char FLASH_ReadReset()$/;"   f
+FLASH_ResumeSectorErase        Flash.c /^unsigned char FLASH_ResumeSectorErase(unsigned int secaddr)$/;"       f
+FLASH_SectorBlankCheck Flash.c /^unsigned char FLASH_SectorBlankCheck(unsigned int secaddr, unsigned int size)$/;"     f
+FLASH_SectorErase      Flash.c /^unsigned char FLASH_SectorErase(unsigned int secadr)$/;"      f
+FLASH_WriteHalfWord    Flash.c /^unsigned char FLASH_WriteHalfWord(unsigned int adr, unsigned short int data)$/;"      f
+FMODwait       Start91460.asm  /^FMODwait:        $/;" l
+Getch4 uart.c  /^char Getch4(void)            \/* waits for and returns incomming char         *\/$/;" f
+IFlag  Flash.c /^static unsigned int IFlag;$/;"        v       file:
+InitIrqLevels  vectors.c       /^void InitIrqLevels(void)$/;"  f
+InitUart4      uart.c  /^void InitUart4(void)$/;"      f
+L0     Start91460.asm  /^L0:$/;"       l
+L1     Start91460.asm  /^L1:$/;"       l
+LD     Makefile        /^LD = $(PREFIX)flnk911s$/;"    m
+LDFLAGS        Makefile        /^LDFLAGS = -g -AL 2$/;"        m
+LDM0   Flash.c /^      LDM0 (R0)$/;"   f
+LIBR   Makefile        /^LIBR = $(PREFIX)flibs$/;"     m
+LIBRFLAGS      Makefile        /^LIBRFLAGS = -dt s,d,r,a -pl 60 -pw 132 -g -cwno $(CPUT)$/;"   m
+NoMAINCSVreset Start91460.asm  /^NoMAINCSVreset: $/;"  l
+NoSUBCSVreset  Start91460.asm  /^NoSUBCSVreset:       $/;"     l
+OBJS   Makefile        /^OBJS = Flash.obj MAIN.obj RLT.obj uart.obj vectors.obj Start91460.obj mb91465k.obj$/;"        m
+PLLwait        Start91460.asm  /^PLLwait:        $/;"  l
+PREFIX Makefile        /^PREFIX = wine $(FUJDEV)\/Bin\/$/;"    m
+PS     Flash.c /^      MOV R0,PS                       ; Write back PS$/;"     v
+Putch4 uart.c  /^void Putch4(char ch)         \/* sends a char *\/$/;" f
+Putdec4        uart.c  /^void Putdec4(unsigned long x, int digits)$/;" f
+Puthex4        uart.c  /^void Puthex4(unsigned long n, unsigned char digits)$/;"       f
+Puts4  uart.c  /^void Puts4(const char *Name2)  \/* Puts a String to UART *\/$/;"      f
+R0     Flash.c /^      MOV R0,PS                       ; Write back PS$/;"     v
+R0     Flash.c /^      OR R4,R0                        ; Set Flag as saved$/;" v
+R4     Flash.c /^      OR R4,R0                        ; Set Flag as saved$/;" v
+RLT_CLOCKMODE_DIV128   RLT.h   21;"    d
+RLT_CLOCKMODE_DIV2     RLT.h   16;"    d
+RLT_CLOCKMODE_DIV32    RLT.h   18;"    d
+RLT_CLOCKMODE_DIV64    RLT.h   20;"    d
+RLT_CLOCKMODE_DIV8     RLT.h   17;"    d
+RLT_CLOCKMODE_EXT      RLT.h   19;"    d
+RLT_Channel0_ISR       RLT.c   /^__interrupt void RLT_Channel0_ISR()$/;"       f
+RLT_Channel1_ISR       RLT.c   /^__interrupt void RLT_Channel1_ISR()$/;"       f
+RLT_Channel2_ISR       RLT.c   /^__interrupt void RLT_Channel2_ISR()$/;"       f
+RLT_Channel3_ISR       RLT.c   /^__interrupt void RLT_Channel3_ISR()$/;"       f
+RLT_Channel4_ISR       RLT.c   /^__interrupt void RLT_Channel4_ISR()$/;"       f
+RLT_Channel5_ISR       RLT.c   /^__interrupt void RLT_Channel5_ISR()$/;"       f
+RLT_Channel6_ISR       RLT.c   /^__interrupt void RLT_Channel6_ISR()$/;"       f
+RLT_Channel7_ISR       RLT.c   /^__interrupt void RLT_Channel7_ISR()$/;"       f
+RLT_EnableInterrupt    RLT.c   /^void RLT_EnableInterrupt(unsigned char channel)$/;"   f
+RLT_InitializeTimer    RLT.c   /^void RLT_InitializeTimer(unsigned char channel, unsigned char runmode, unsigned char clockmode, unsigned char triggermode, unsigned char outputmode)$/;"      f
+RLT_OUTOUTMODE_HIGHLEVEL       RLT.h   28;"    d
+RLT_OUTPUTMODE_LOWLEVEL        RLT.h   29;"    d
+RLT_RUMMODE_RELOAD     RLT.h   14;"    d
+RLT_RUNMODE_ONESHOT    RLT.h   13;"    d
+RLT_SetReloadValue     RLT.c   /^void RLT_SetReloadValue(unsigned char channel, unsigned short int value)$/;"  f
+RLT_TRIGGER_BOTHEDGES  RLT.h   26;"    d
+RLT_TRIGGER_EXT_FALLINGEDGE    RLT.h   24;"    d
+RLT_TRIGGER_EXT_RISINGEDGE     RLT.h   25;"    d
+RLT_TRIGGER_SOFTWARE   RLT.h   23;"    d
+RLT_TriggerTimer       RLT.c   /^void RLT_TriggerTimer(unsigned char channel)$/;"      f
+SETIMR Flash.h 19;"    d
+TARGET Makefile        /^TARGET = $(TNAME).abs$/;"     m
+TARGET_MHX     Makefile        /^TARGET_MHX = $(TNAME).mhx$/;" m
+TLOVER Flash.h 18;"    d
+TNAME  Makefile        /^TNAME = fuj$/;"       m
+__FLASH_H__    Flash.h 9;"     d
+__IO_DEFINE    mb91465k.asm    /^#define __IO_DEFINE$/;"       d
+__IO_EXTERN    mb91465k.h      33;"    d
+__IO_EXTERN    mb91465k.h      35;"    d
+__RLT_H__      RLT.h   9;"     d
+__abort        Start91460.asm  /^       __abort:$/;"   l
+__exit Start91460.asm  /^       __exit:$/;"    l
+__start        Start91460.asm  /^__start:                                        ; start point   $/;"  l
+__systemstack  Start91460.asm  /^ __systemstack:$/;"   l
+__systemstack_top      Start91460.asm  /^ __systemstack_top: $/;"      l
+__userstack    Start91460.asm  /^ __userstack:$/;"     l
+__userstack_top        Start91460.asm  /^ __userstack_top:$/;" l
+_adcr0 mb91465k.h      /^ .GLOBAL _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr$/;"     v
+_adcr1 mb91465k.h      /^ .GLOBAL _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr$/;"     v
+_adcs  mb91465k.h      /^ .GLOBAL _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr$/;"     v
+_adcs0 mb91465k.h      /^ .GLOBAL _adcs1,    _adcs0,    _adcs,     _adcr1,    _adcr0,    _adcr$/;"     v
+_adct  mb91465k.h      /^ .GLOBAL _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0$/;"   v
+_adct0 mb91465k.h      /^ .GLOBAL _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0$/;"   v
+_adech mb91465k.h      /^ .GLOBAL _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0$/;"   v
+_aderh mb91465k.h      /^ .GLOBAL _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader$/;"     v
+_aderl mb91465k.h      /^ .GLOBAL _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader$/;"     v
+_adsch mb91465k.h      /^ .GLOBAL _adct1,    _adct0,    _adct,     _adsch,    _adech,    _tmrlr0$/;"   v
+_bad2  mb91465k.h      /^ .GLOBAL _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6$/;"     v
+_bad3  mb91465k.h      /^ .GLOBAL _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6$/;"     v
+_bad4  mb91465k.h      /^ .GLOBAL _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6$/;"     v
+_bad5  mb91465k.h      /^ .GLOBAL _bad1,     _bad2,     _bad3,     _bad4,     _bad5,     _bad6$/;"     v
+_bcr0  mb91465k.h      /^ .GLOBAL _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0$/;"     v
+_bcr1  mb91465k.h      /^ .GLOBAL _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0$/;"     v
+_bctrl mb91465k.h      /^ .GLOBAL _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat$/;"   v
+_bgr000        mb91465k.h      /^ .GLOBAL _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001$/;"   v
+_bgr002        mb91465k.h      /^ .GLOBAL _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003$/;"   v
+_bgr004        mb91465k.h      /^ .GLOBAL _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0$/;"    v
+_bgr01 mb91465k.h      /^ .GLOBAL _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001$/;"   v
+_bgr03 mb91465k.h      /^ .GLOBAL _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003$/;"   v
+_bgr100        mb91465k.h      /^ .GLOBAL _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001$/;"   v
+_bgr101        mb91465k.h      /^ .GLOBAL _bgr00,    _bgr100,   _bgr000,   _bgr01,    _bgr101,   _bgr001$/;"   v
+_bgr102        mb91465k.h      /^ .GLOBAL _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003$/;"   v
+_bgr103        mb91465k.h      /^ .GLOBAL _bgr02,    _bgr102,   _bgr002,   _bgr03,    _bgr103,   _bgr003$/;"   v
+_bgr104        mb91465k.h      /^ .GLOBAL _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0$/;"    v
+_birq  mb91465k.h      /^ .GLOBAL _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0$/;"     v
+_boac  mb91465k.h      /^ .GLOBAL _biac,     _boac,     _birq,     _bcr0,     _bcr1,     _bad0$/;"     v
+_brpe4 mb91465k.h      /^ .GLOBAL _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124$/;"        v
+_brper4        mb91465k.h      /^ .GLOBAL _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124$/;"        v
+_bsd0  mb91465k.h      /^ .GLOBAL _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00$/;"    v
+_bsd1  mb91465k.h      /^ .GLOBAL _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00$/;"    v
+_bsdc  mb91465k.h      /^ .GLOBAL _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00$/;"    v
+_bsrr  mb91465k.h      /^ .GLOBAL _roms,     _bsd0,     _bsd1,     _bsdc,     _bsrr,     _icr00$/;"    v
+_bsv1  mb91465k.h      /^ .GLOBAL _bad7,     _fsv1,     _bsv1,     _fsv2,     _bsv2$/;"        v
+_btr4  mb91465k.h      /^ .GLOBAL _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4$/;"    v
+_canckd        mb91465k.h      /^ .GLOBAL _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel$/;"    v
+_canpre        mb91465k.h      /^ .GLOBAL _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel$/;"    v
+_clkr  mb91465k.h      /^ .GLOBAL _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm$/;"  v
+_cmt1  mb91465k.h      /^ .GLOBAL _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel$/;"    v
+_cmt2  mb91465k.h      /^ .GLOBAL _cmcr,     _cmt1,     _cmt2,     _canpre,   _canckd,   _lvsel$/;"    v
+_csvcr mb91465k.h      /^ .GLOBAL _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg$/;"    v
+_csvtr mb91465k.h      /^ .GLOBAL _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg$/;"    v
+_ctrlr4        mb91465k.h      /^ .GLOBAL _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4$/;"    v
+_cucr  mb91465k.h      /^ .GLOBAL _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr$/;"     v
+_cutd  mb91465k.h      /^ .GLOBAL _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr$/;"     v
+_cutr1 mb91465k.h      /^ .GLOBAL _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr$/;"     v
+_cutr2 mb91465k.h      /^ .GLOBAL _cmcfg,    _cucr,     _cutd,     _cutr1,    _cutr2,    _cmpr$/;"     v
+_ddr14 mb91465k.h      /^ .GLOBAL _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18$/;"    v
+_ddr15 mb91465k.h      /^ .GLOBAL _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18$/;"    v
+_ddr16 mb91465k.h      /^ .GLOBAL _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18$/;"    v
+_ddr17 mb91465k.h      /^ .GLOBAL _pdrd29,   _ddr14,    _ddr15,    _ddr16,    _ddr17,    _ddr18$/;"    v
+_ddr20 mb91465k.h      /^ .GLOBAL _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26$/;"    v
+_ddr21 mb91465k.h      /^ .GLOBAL _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26$/;"    v
+_ddr22 mb91465k.h      /^ .GLOBAL _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26$/;"    v
+_ddr24 mb91465k.h      /^ .GLOBAL _ddr19,    _ddr20,    _ddr21,    _ddr22,    _ddr24,    _ddr26$/;"    v
+_ddr28 mb91465k.h      /^ .GLOBAL _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16$/;"    v
+_ddr29 mb91465k.h      /^ .GLOBAL _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16$/;"    v
+_dicr  mb91465k.h      /^ .GLOBAL _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00$/;"    v
+_divr0 mb91465k.h      /^ .GLOBAL _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm$/;"  v
+_divr1 mb91465k.h      /^ .GLOBAL _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm$/;"  v
+_dmaca1        mb91465k.h      /^ .GLOBAL _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3$/;"   v
+_dmaca2        mb91465k.h      /^ .GLOBAL _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3$/;"   v
+_dmaca4        mb91465k.h      /^ .GLOBAL _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67$/;"    v
+_dmacb1        mb91465k.h      /^ .GLOBAL _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3$/;"   v
+_dmacb2        mb91465k.h      /^ .GLOBAL _dmacb0,   _dmaca1,   _dmacb1,   _dmaca2,   _dmacb2,   _dmaca3$/;"   v
+_dmacb4        mb91465k.h      /^ .GLOBAL _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67$/;"    v
+_dmacr mb91465k.h      /^ .GLOBAL _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67$/;"    v
+_dmada1        mb91465k.h      /^ .GLOBAL _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3$/;"   v
+_dmada2        mb91465k.h      /^ .GLOBAL _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3$/;"   v
+_dmada4        mb91465k.h      /^ .GLOBAL _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt$/;"     v
+_dmasa0        mb91465k.h      /^ .GLOBAL _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0$/;"   v
+_dmasa2        mb91465k.h      /^ .GLOBAL _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3$/;"   v
+_dmasa3        mb91465k.h      /^ .GLOBAL _dmasa1,   _dmada1,   _dmasa2,   _dmada2,   _dmasa3,   _dmada3$/;"   v
+_eccr02        mb91465k.h      /^ .GLOBAL _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03$/;"    v
+_eccr03        mb91465k.h      /^ .GLOBAL _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04$/;"    v
+_eccr04        mb91465k.h      /^ .GLOBAL _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04$/;"    v
+_eirr0 mb91465k.h      /^ .GLOBAL _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1$/;"    v
+_elvr0 mb91465k.h      /^ .GLOBAL _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1$/;"    v
+_elvr1 mb91465k.h      /^ .GLOBAL _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00$/;"    v
+_enir0 mb91465k.h      /^ .GLOBAL _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1$/;"    v
+_epfr16        mb91465k.h      /^ .GLOBAL _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20$/;"   v
+_epfr17        mb91465k.h      /^ .GLOBAL _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20$/;"   v
+_epfr18        mb91465k.h      /^ .GLOBAL _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20$/;"   v
+_epfr19        mb91465k.h      /^ .GLOBAL _epfr15,   _epfr16,   _epfr17,   _epfr18,   _epfr19,   _epfr20$/;"   v
+_epfr22        mb91465k.h      /^ .GLOBAL _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29$/;"   v
+_epfr24        mb91465k.h      /^ .GLOBAL _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29$/;"   v
+_epfr26        mb91465k.h      /^ .GLOBAL _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29$/;"   v
+_epfr27        mb91465k.h      /^ .GLOBAL _epfr21,   _epfr22,   _epfr24,   _epfr26,   _epfr27,   _epfr29$/;"   v
+_epilr14       mb91465k.h      /^ .GLOBAL _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15$/;"  v
+_epilr17       mb91465k.h      /^ .GLOBAL _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21$/;"  v
+_epilr18       mb91465k.h      /^ .GLOBAL _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21$/;"  v
+_epilr19       mb91465k.h      /^ .GLOBAL _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21$/;"  v
+_epilr20       mb91465k.h      /^ .GLOBAL _epilr16,  _epilr17,  _epilr18,  _epilr19,  _epilr20,  _epilr21$/;"  v
+_epilr24       mb91465k.h      /^ .GLOBAL _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29$/;"  v
+_epilr26       mb91465k.h      /^ .GLOBAL _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29$/;"  v
+_epilr27       mb91465k.h      /^ .GLOBAL _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29$/;"  v
+_epilr28       mb91465k.h      /^ .GLOBAL _epilr22,  _epilr24,  _epilr26,  _epilr27,  _epilr28,  _epilr29$/;"  v
+_errcnt4       mb91465k.h      /^ .GLOBAL _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4$/;"    v
+_escr00        mb91465k.h      /^ .GLOBAL _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00$/;"   v
+_escr03        mb91465k.h      /^ .GLOBAL _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04$/;"    v
+_escr04        mb91465k.h      /^ .GLOBAL _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04$/;"    v
+_fcha0 mb91465k.h      /^ .GLOBAL _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0$/;"    v
+_fcha1 mb91465k.h      /^ .GLOBAL _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0$/;"    v
+_fchcr mb91465k.h      /^ .GLOBAL _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt$/;"     v
+_fmac  mb91465k.h      /^ .GLOBAL _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0$/;"    v
+_fmcr  mb91465k.h      /^ .GLOBAL _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt$/;"     v
+_fmcs  mb91465k.h      /^ .GLOBAL _dmasa4,   _dmada4,   _fmcs,     _fmcr,     _fchcr,    _fmwt$/;"     v
+_fmps  mb91465k.h      /^ .GLOBAL _fmwt2,    _fmps,     _fmac,     _fcha0,    _fcha1,    _fscr0$/;"    v
+_fsr04 mb91465k.h      /^ .GLOBAL _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04$/;"    v
+_fsv1  mb91465k.h      /^ .GLOBAL _bad7,     _fsv1,     _bsv1,     _fsv2,     _bsv2$/;"        v
+_fsv2  mb91465k.h      /^ .GLOBAL _bad7,     _fsv1,     _bsv1,     _fsv2,     _bsv2$/;"        v
+_gcn10 mb91465k.h      /^ .GLOBAL _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11$/;"    v
+_gcn12 mb91465k.h      /^ .GLOBAL _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00$/;"   v
+_gcn20 mb91465k.h      /^ .GLOBAL _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11$/;"    v
+_gcn22 mb91465k.h      /^ .GLOBAL _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00$/;"   v
+_hrcl  mb91465k.h      /^ .GLOBAL _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00$/;"    v
+_hwwd  mb91465k.h      /^ .GLOBAL _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh$/;"    v
+_hwwde mb91465k.h      /^ .GLOBAL _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh$/;"    v
+_ibcr0 mb91465k.h      /^ .GLOBAL _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0$/;"    v
+_ibsr0 mb91465k.h      /^ .GLOBAL _bgr04,    _bgr104,   _bgr004,   _ibcr0,    _ibsr0,    _itba0$/;"    v
+_iccr0 mb91465k.h      /^ .GLOBAL _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11$/;"    v
+_icr02 mb91465k.h      /^ .GLOBAL _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06$/;"    v
+_icr03 mb91465k.h      /^ .GLOBAL _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06$/;"    v
+_icr04 mb91465k.h      /^ .GLOBAL _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06$/;"    v
+_icr05 mb91465k.h      /^ .GLOBAL _icr01,    _icr02,    _icr03,    _icr04,    _icr05,    _icr06$/;"    v
+_icr08 mb91465k.h      /^ .GLOBAL _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12$/;"    v
+_icr09 mb91465k.h      /^ .GLOBAL _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12$/;"    v
+_icr10 mb91465k.h      /^ .GLOBAL _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12$/;"    v
+_icr11 mb91465k.h      /^ .GLOBAL _icr07,    _icr08,    _icr09,    _icr10,    _icr11,    _icr12$/;"    v
+_icr14 mb91465k.h      /^ .GLOBAL _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18$/;"    v
+_icr15 mb91465k.h      /^ .GLOBAL _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18$/;"    v
+_icr16 mb91465k.h      /^ .GLOBAL _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18$/;"    v
+_icr17 mb91465k.h      /^ .GLOBAL _icr13,    _icr14,    _icr15,    _icr16,    _icr17,    _icr18$/;"    v
+_icr20 mb91465k.h      /^ .GLOBAL _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24$/;"    v
+_icr21 mb91465k.h      /^ .GLOBAL _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24$/;"    v
+_icr22 mb91465k.h      /^ .GLOBAL _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24$/;"    v
+_icr23 mb91465k.h      /^ .GLOBAL _icr19,    _icr20,    _icr21,    _icr22,    _icr23,    _icr24$/;"    v
+_icr26 mb91465k.h      /^ .GLOBAL _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30$/;"    v
+_icr27 mb91465k.h      /^ .GLOBAL _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30$/;"    v
+_icr28 mb91465k.h      /^ .GLOBAL _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30$/;"    v
+_icr29 mb91465k.h      /^ .GLOBAL _icr25,    _icr26,    _icr27,    _icr28,    _icr29,    _icr30$/;"    v
+_icr32 mb91465k.h      /^ .GLOBAL _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36$/;"    v
+_icr33 mb91465k.h      /^ .GLOBAL _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36$/;"    v
+_icr34 mb91465k.h      /^ .GLOBAL _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36$/;"    v
+_icr35 mb91465k.h      /^ .GLOBAL _icr31,    _icr32,    _icr33,    _icr34,    _icr35,    _icr36$/;"    v
+_icr38 mb91465k.h      /^ .GLOBAL _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42$/;"    v
+_icr39 mb91465k.h      /^ .GLOBAL _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42$/;"    v
+_icr40 mb91465k.h      /^ .GLOBAL _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42$/;"    v
+_icr41 mb91465k.h      /^ .GLOBAL _icr37,    _icr38,    _icr39,    _icr40,    _icr41,    _icr42$/;"    v
+_icr44 mb91465k.h      /^ .GLOBAL _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48$/;"    v
+_icr45 mb91465k.h      /^ .GLOBAL _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48$/;"    v
+_icr46 mb91465k.h      /^ .GLOBAL _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48$/;"    v
+_icr47 mb91465k.h      /^ .GLOBAL _icr43,    _icr44,    _icr45,    _icr46,    _icr47,    _icr48$/;"    v
+_icr50 mb91465k.h      /^ .GLOBAL _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54$/;"    v
+_icr51 mb91465k.h      /^ .GLOBAL _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54$/;"    v
+_icr52 mb91465k.h      /^ .GLOBAL _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54$/;"    v
+_icr53 mb91465k.h      /^ .GLOBAL _icr49,    _icr50,    _icr51,    _icr52,    _icr53,    _icr54$/;"    v
+_icr56 mb91465k.h      /^ .GLOBAL _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60$/;"    v
+_icr57 mb91465k.h      /^ .GLOBAL _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60$/;"    v
+_icr58 mb91465k.h      /^ .GLOBAL _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60$/;"    v
+_icr59 mb91465k.h      /^ .GLOBAL _icr55,    _icr56,    _icr57,    _icr58,    _icr59,    _icr60$/;"    v
+_icr62 mb91465k.h      /^ .GLOBAL _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr$/;"     v
+_icr63 mb91465k.h      /^ .GLOBAL _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr$/;"     v
+_ics01 mb91465k.h      /^ .GLOBAL _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0$/;"    v
+_ics23 mb91465k.h      /^ .GLOBAL _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0$/;"    v
+_ics45 mb91465k.h      /^ .GLOBAL _dmacb3,   _dmaca4,   _dmacb4,   _dmacr,    _ics45,    _ics67$/;"    v
+_idar0 mb91465k.h      /^ .GLOBAL _isba0,    _idar0,    _iccr0,    _gcn10,    _gcn20,    _gcn11$/;"    v
+_if1arb124     mb91465k.h      /^ .GLOBAL _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4$/;"        v
+_if1arb14      mb91465k.h      /^ .GLOBAL _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4$/;"        v
+_if1arb24      mb91465k.h      /^ .GLOBAL _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4$/;"        v
+_if1cmsk4      mb91465k.h      /^ .GLOBAL _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124$/;"        v
+_if1creq4      mb91465k.h      /^ .GLOBAL _testr4,   _brper4,   _brpe4,    _if1creq4, _if1cmsk4, _if1msk124$/;"        v
+_if1dta14      mb91465k.h      /^ .GLOBAL _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24$/;"       v
+_if1dta24      mb91465k.h      /^ .GLOBAL _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24$/;"       v
+_if1dta_swp14  mb91465k.h      /^ .GLOBAL _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14$/;"       v
+_if1dta_swp24  mb91465k.h      /^ .GLOBAL _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14$/;"       v
+_if1dtb124     mb91465k.h      /^ .GLOBAL _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24$/;"       v
+_if1dtb14      mb91465k.h      /^ .GLOBAL _if1dta124, _if1dta14, _if1dta24, _if1dtb124, _if1dtb14, _if1dtb24$/;"       v
+_if1dtb_swp124 mb91465k.h      /^ .GLOBAL _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14$/;"       v
+_if1dtb_swp24  mb91465k.h      /^ .GLOBAL _if1dta_swp124, _if1dta_swp24, _if1dta_swp14, _if1dtb_swp124, _if1dtb_swp24, _if1dtb_swp14$/;"       v
+_if1msk14      mb91465k.h      /^ .GLOBAL _if1msk24, _if1msk14, _if1arb124, _if1arb24, _if1arb14, _if1mctr4$/;"        v
+_if2arb14      mb91465k.h      /^ .GLOBAL _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24$/;"        v
+_if2cmsk4      mb91465k.h      /^ .GLOBAL _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124$/;"       v
+_if2dta124     mb91465k.h      /^ .GLOBAL _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24$/;"        v
+_if2dta14      mb91465k.h      /^ .GLOBAL _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24$/;"        v
+_if2dta_swp124 mb91465k.h      /^ .GLOBAL _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14$/;"   v
+_if2dta_swp24  mb91465k.h      /^ .GLOBAL _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14$/;"   v
+_if2dtb14      mb91465k.h      /^ .GLOBAL _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14$/;"   v
+_if2dtb24      mb91465k.h      /^ .GLOBAL _if2dtb124, _if2dtb14, _if2dtb24, _if2dta_swp124, _if2dta_swp24, _if2dta_swp14$/;"   v
+_if2dtb_swp14  mb91465k.h      /^ .GLOBAL _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14$/;"     v
+_if2dtb_swp24  mb91465k.h      /^ .GLOBAL _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14$/;"     v
+_if2mctr4      mb91465k.h      /^ .GLOBAL _if2arb24, _if2arb14, _if2mctr4, _if2dta124, _if2dta14, _if2dta24$/;"        v
+_if2msk124     mb91465k.h      /^ .GLOBAL _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124$/;"       v
+_if2msk14      mb91465k.h      /^ .GLOBAL _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124$/;"       v
+_if2msk24      mb91465k.h      /^ .GLOBAL _if2creq4, _if2cmsk4, _if2msk124, _if2msk24, _if2msk14, _if2arb124$/;"       v
+_intpnd124     mb91465k.h      /^ .GLOBAL _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24$/;"        v
+_ipcp2 mb91465k.h      /^ .GLOBAL _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0$/;"    v
+_ipcp3 mb91465k.h      /^ .GLOBAL _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0$/;"    v
+_ipcp5 mb91465k.h      /^ .GLOBAL _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67$/;"    v
+_ipcp6 mb91465k.h      /^ .GLOBAL _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67$/;"    v
+_ipcp7 mb91465k.h      /^ .GLOBAL _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67$/;"    v
+_itbal0        mb91465k.h      /^ .GLOBAL _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0$/;"    v
+_itmk0 mb91465k.h      /^ .GLOBAL _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0$/;"    v
+_itmkh0        mb91465k.h      /^ .GLOBAL _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0$/;"    v
+_itmkl0        mb91465k.h      /^ .GLOBAL _itbah0,   _itbal0,   _itmk0,    _itmkh0,   _itmkl0,   _ismk0$/;"    v
+_modr  mb91465k.h      /^ .GLOBAL _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14$/;"   v
+_msgval124     mb91465k.h      /^ .GLOBAL _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat$/;"   v
+_msgval14      mb91465k.h      /^ .GLOBAL _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat$/;"   v
+_msgval24      mb91465k.h      /^ .GLOBAL _intpnd14, _msgval124, _msgval24, _msgval14, _bctrl,    _bstat$/;"   v
+_newdt124      mb91465k.h      /^ .GLOBAL _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24$/;"        v
+_newdt14       mb91465k.h      /^ .GLOBAL _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24$/;"        v
+_newdt24       mb91465k.h      /^ .GLOBAL _treqr344, _newdt124, _newdt24,  _newdt14,  _intpnd124, _intpnd24$/;"        v
+_occp2 mb91465k.h      /^ .GLOBAL _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader$/;"     v
+_occp3 mb91465k.h      /^ .GLOBAL _occp1,    _occp2,    _occp3,    _aderh,    _aderl,    _ader$/;"     v
+_occp5 mb91465k.h      /^ .GLOBAL _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4$/;"    v
+_occp6 mb91465k.h      /^ .GLOBAL _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4$/;"    v
+_occp7 mb91465k.h      /^ .GLOBAL _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4$/;"    v
+_ocs01 mb91465k.h      /^ .GLOBAL _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0$/;"    v
+_ocs23 mb91465k.h      /^ .GLOBAL _ipcp1,    _ipcp2,    _ipcp3,    _ocs01,    _ocs23,    _occp0$/;"    v
+_ocs45 mb91465k.h      /^ .GLOBAL _ipcp4,    _ipcp5,    _ipcp6,    _ipcp7,    _ocs45,    _ocs67$/;"    v
+_oscc1 mb91465k.h      /^ .GLOBAL _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1$/;"    v
+_osccr mb91465k.h      /^ .GLOBAL _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14$/;"   v
+_oscrh mb91465k.h      /^ .GLOBAL _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh$/;"    v
+_oscrl mb91465k.h      /^ .GLOBAL _lvdet,    _hwwde,    _hwwd,     _oscrh,    _oscrl,    _wpcrh$/;"    v
+_oscs2 mb91465k.h      /^ .GLOBAL _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr$/;"     v
+_pcnh00        mb91465k.h      /^ .GLOBAL _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01$/;"   v
+_pcnh01        mb91465k.h      /^ .GLOBAL _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02$/;"   v
+_pcnh02        mb91465k.h      /^ .GLOBAL _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03$/;"   v
+_pcnh03        mb91465k.h      /^ .GLOBAL _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04$/;"   v
+_pcnh04        mb91465k.h      /^ .GLOBAL _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05$/;"   v
+_pcnh05        mb91465k.h      /^ .GLOBAL _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06$/;"   v
+_pcnh06        mb91465k.h      /^ .GLOBAL _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07$/;"   v
+_pcnh07        mb91465k.h      /^ .GLOBAL _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08$/;"   v
+_pcnh08        mb91465k.h      /^ .GLOBAL _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09$/;"   v
+_pcnh09        mb91465k.h      /^ .GLOBAL _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10$/;"   v
+_pcnh10        mb91465k.h      /^ .GLOBAL _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11$/;"   v
+_pcnh11        mb91465k.h      /^ .GLOBAL _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0$/;"    v
+_pcnl00        mb91465k.h      /^ .GLOBAL _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01$/;"   v
+_pcnl01        mb91465k.h      /^ .GLOBAL _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02$/;"   v
+_pcnl02        mb91465k.h      /^ .GLOBAL _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03$/;"   v
+_pcnl03        mb91465k.h      /^ .GLOBAL _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04$/;"   v
+_pcnl04        mb91465k.h      /^ .GLOBAL _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05$/;"   v
+_pcnl05        mb91465k.h      /^ .GLOBAL _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06$/;"   v
+_pcnl06        mb91465k.h      /^ .GLOBAL _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07$/;"   v
+_pcnl07        mb91465k.h      /^ .GLOBAL _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08$/;"   v
+_pcnl08        mb91465k.h      /^ .GLOBAL _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09$/;"   v
+_pcnl09        mb91465k.h      /^ .GLOBAL _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10$/;"   v
+_pcnl10        mb91465k.h      /^ .GLOBAL _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11$/;"   v
+_pcnl11        mb91465k.h      /^ .GLOBAL _pcn11,    _pcnh11,   _pcnl11,   _ics01,    _ics23,    _ipcp0$/;"    v
+_pcsr00        mb91465k.h      /^ .GLOBAL _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00$/;"   v
+_pcsr01        mb91465k.h      /^ .GLOBAL _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01$/;"   v
+_pcsr02        mb91465k.h      /^ .GLOBAL _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02$/;"   v
+_pcsr03        mb91465k.h      /^ .GLOBAL _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03$/;"   v
+_pcsr04        mb91465k.h      /^ .GLOBAL _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04$/;"   v
+_pcsr05        mb91465k.h      /^ .GLOBAL _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05$/;"   v
+_pcsr06        mb91465k.h      /^ .GLOBAL _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06$/;"   v
+_pcsr07        mb91465k.h      /^ .GLOBAL _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07$/;"   v
+_pcsr08        mb91465k.h      /^ .GLOBAL _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08$/;"   v
+_pcsr09        mb91465k.h      /^ .GLOBAL _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09$/;"   v
+_pcsr10        mb91465k.h      /^ .GLOBAL _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10$/;"   v
+_pcsr11        mb91465k.h      /^ .GLOBAL _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11$/;"   v
+_pdr15 mb91465k.h      /^ .GLOBAL _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19$/;"    v
+_pdr16 mb91465k.h      /^ .GLOBAL _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19$/;"    v
+_pdr17 mb91465k.h      /^ .GLOBAL _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19$/;"    v
+_pdr18 mb91465k.h      /^ .GLOBAL _pdr14,    _pdr15,    _pdr16,    _pdr17,    _pdr18,    _pdr19$/;"    v
+_pdr21 mb91465k.h      /^ .GLOBAL _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27$/;"    v
+_pdr22 mb91465k.h      /^ .GLOBAL _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27$/;"    v
+_pdr24 mb91465k.h      /^ .GLOBAL _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27$/;"    v
+_pdr26 mb91465k.h      /^ .GLOBAL _pdr20,    _pdr21,    _pdr22,    _pdr24,    _pdr26,    _pdr27$/;"    v
+_pdr29 mb91465k.h      /^ .GLOBAL _pdr28,    _pdr29,    _eirr0,    _enir0,    _elvr0,    _eirr1$/;"    v
+_pdrd16        mb91465k.h      /^ .GLOBAL _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20$/;"   v
+_pdrd17        mb91465k.h      /^ .GLOBAL _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20$/;"   v
+_pdrd18        mb91465k.h      /^ .GLOBAL _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20$/;"   v
+_pdrd19        mb91465k.h      /^ .GLOBAL _pdrd15,   _pdrd16,   _pdrd17,   _pdrd18,   _pdrd19,   _pdrd20$/;"   v
+_pdrd22        mb91465k.h      /^ .GLOBAL _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28$/;"   v
+_pdrd24        mb91465k.h      /^ .GLOBAL _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28$/;"   v
+_pdrd26        mb91465k.h      /^ .GLOBAL _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28$/;"   v
+_pdrd27        mb91465k.h      /^ .GLOBAL _pdrd21,   _pdrd22,   _pdrd24,   _pdrd26,   _pdrd27,   _pdrd28$/;"   v
+_pfr14 mb91465k.h      /^ .GLOBAL _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16$/;"    v
+_pfr15 mb91465k.h      /^ .GLOBAL _ddr27,    _ddr28,    _ddr29,    _pfr14,    _pfr15,    _pfr16$/;"    v
+_pfr18 mb91465k.h      /^ .GLOBAL _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22$/;"    v
+_pfr19 mb91465k.h      /^ .GLOBAL _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22$/;"    v
+_pfr20 mb91465k.h      /^ .GLOBAL _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22$/;"    v
+_pfr21 mb91465k.h      /^ .GLOBAL _pfr17,    _pfr18,    _pfr19,    _pfr20,    _pfr21,    _pfr22$/;"    v
+_pfr26 mb91465k.h      /^ .GLOBAL _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14$/;"   v
+_pfr27 mb91465k.h      /^ .GLOBAL _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14$/;"   v
+_pfr28 mb91465k.h      /^ .GLOBAL _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14$/;"   v
+_pfr29 mb91465k.h      /^ .GLOBAL _pfr24,    _pfr26,    _pfr27,    _pfr28,    _pfr29,    _epfr14$/;"   v
+_pilr14        mb91465k.h      /^ .GLOBAL _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17$/;"   v
+_pilr15        mb91465k.h      /^ .GLOBAL _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17$/;"   v
+_pilr16        mb91465k.h      /^ .GLOBAL _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17$/;"   v
+_pilr19        mb91465k.h      /^ .GLOBAL _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24$/;"   v
+_pilr20        mb91465k.h      /^ .GLOBAL _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24$/;"   v
+_pilr21        mb91465k.h      /^ .GLOBAL _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24$/;"   v
+_pilr22        mb91465k.h      /^ .GLOBAL _pilr18,   _pilr19,   _pilr20,   _pilr21,   _pilr22,   _pilr24$/;"   v
+_pilr27        mb91465k.h      /^ .GLOBAL _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15$/;"  v
+_pilr28        mb91465k.h      /^ .GLOBAL _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15$/;"  v
+_pilr29        mb91465k.h      /^ .GLOBAL _pilr26,   _pilr27,   _pilr28,   _pilr29,   _epilr14,  _epilr15$/;"  v
+_pllctrl       mb91465k.h      /^ .GLOBAL _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1$/;"    v
+_plldivg       mb91465k.h      /^ .GLOBAL _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1$/;"    v
+_pllmulg       mb91465k.h      /^ .GLOBAL _plldivn,  _plldivg,  _pllmulg,  _pllctrl,  _oscc1,    _oscs1$/;"    v
+_podr15        mb91465k.h      /^ .GLOBAL _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19$/;"   v
+_podr16        mb91465k.h      /^ .GLOBAL _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19$/;"   v
+_podr17        mb91465k.h      /^ .GLOBAL _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19$/;"   v
+_podr18        mb91465k.h      /^ .GLOBAL _podr14,   _podr15,   _podr16,   _podr17,   _podr18,   _podr19$/;"   v
+_podr21        mb91465k.h      /^ .GLOBAL _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27$/;"   v
+_podr22        mb91465k.h      /^ .GLOBAL _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27$/;"   v
+_podr24        mb91465k.h      /^ .GLOBAL _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27$/;"   v
+_podr26        mb91465k.h      /^ .GLOBAL _podr20,   _podr21,   _podr22,   _podr24,   _podr26,   _podr27$/;"   v
+_podr29        mb91465k.h      /^ .GLOBAL _podr28,   _podr29,   _pilr14,   _pilr15,   _pilr16,   _pilr17$/;"   v
+_porten        mb91465k.h      /^ .GLOBAL _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr$/;"     v
+_ppcr14        mb91465k.h      /^ .GLOBAL _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17$/;"   v
+_ppcr15        mb91465k.h      /^ .GLOBAL _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17$/;"   v
+_ppcr16        mb91465k.h      /^ .GLOBAL _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17$/;"   v
+_ppcr19        mb91465k.h      /^ .GLOBAL _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24$/;"   v
+_ppcr20        mb91465k.h      /^ .GLOBAL _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24$/;"   v
+_ppcr21        mb91465k.h      /^ .GLOBAL _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24$/;"   v
+_ppcr22        mb91465k.h      /^ .GLOBAL _ppcr18,   _ppcr19,   _ppcr20,   _ppcr21,   _ppcr22,   _ppcr24$/;"   v
+_ppcr27        mb91465k.h      /^ .GLOBAL _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0$/;"   v
+_ppcr28        mb91465k.h      /^ .GLOBAL _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0$/;"   v
+_ppcr29        mb91465k.h      /^ .GLOBAL _ppcr26,   _ppcr27,   _ppcr28,   _ppcr29,   _dmasa0,   _dmada0$/;"   v
+_pper15        mb91465k.h      /^ .GLOBAL _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19$/;"   v
+_pper16        mb91465k.h      /^ .GLOBAL _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19$/;"   v
+_pper17        mb91465k.h      /^ .GLOBAL _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19$/;"   v
+_pper18        mb91465k.h      /^ .GLOBAL _pper14,   _pper15,   _pper16,   _pper17,   _pper18,   _pper19$/;"   v
+_pper21        mb91465k.h      /^ .GLOBAL _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27$/;"   v
+_pper22        mb91465k.h      /^ .GLOBAL _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27$/;"   v
+_pper24        mb91465k.h      /^ .GLOBAL _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27$/;"   v
+_pper26        mb91465k.h      /^ .GLOBAL _pper20,   _pper21,   _pper22,   _pper24,   _pper26,   _pper27$/;"   v
+_pper29        mb91465k.h      /^ .GLOBAL _pper28,   _pper29,   _ppcr14,   _ppcr15,   _ppcr16,   _ppcr17$/;"   v
+_ptmr00        mb91465k.h      /^ .GLOBAL _gcn21,    _gcn12,    _gcn22,    _ptmr00,   _pcsr00,   _pdut00$/;"   v
+_ptmr01        mb91465k.h      /^ .GLOBAL _pcn00,    _pcnh00,   _pcnl00,   _ptmr01,   _pcsr01,   _pdut01$/;"   v
+_ptmr02        mb91465k.h      /^ .GLOBAL _pcn01,    _pcnh01,   _pcnl01,   _ptmr02,   _pcsr02,   _pdut02$/;"   v
+_ptmr03        mb91465k.h      /^ .GLOBAL _pcn02,    _pcnh02,   _pcnl02,   _ptmr03,   _pcsr03,   _pdut03$/;"   v
+_ptmr04        mb91465k.h      /^ .GLOBAL _pcn03,    _pcnh03,   _pcnl03,   _ptmr04,   _pcsr04,   _pdut04$/;"   v
+_ptmr05        mb91465k.h      /^ .GLOBAL _pcn04,    _pcnh04,   _pcnl04,   _ptmr05,   _pcsr05,   _pdut05$/;"   v
+_ptmr06        mb91465k.h      /^ .GLOBAL _pcn05,    _pcnh05,   _pcnl05,   _ptmr06,   _pcsr06,   _pdut06$/;"   v
+_ptmr07        mb91465k.h      /^ .GLOBAL _pcn06,    _pcnh06,   _pcnl06,   _ptmr07,   _pcsr07,   _pdut07$/;"   v
+_ptmr08        mb91465k.h      /^ .GLOBAL _pcn07,    _pcnh07,   _pcnl07,   _ptmr08,   _pcsr08,   _pdut08$/;"   v
+_ptmr09        mb91465k.h      /^ .GLOBAL _pcn08,    _pcnh08,   _pcnl08,   _ptmr09,   _pcsr09,   _pdut09$/;"   v
+_ptmr10        mb91465k.h      /^ .GLOBAL _pcn09,    _pcnh09,   _pcnl09,   _ptmr10,   _pcsr10,   _pdut10$/;"   v
+_ptmr11        mb91465k.h      /^ .GLOBAL _pcn10,    _pcnh10,   _pcnl10,   _ptmr11,   _pcsr11,   _pdut11$/;"   v
+_rbsync        mb91465k.h      /^ .GLOBAL _enir1,    _elvr1,    _dicr,     _hrcl,     _rbsync,   _scr00$/;"    v
+_rdr00 mb91465k.h      /^ .GLOBAL _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00$/;"   v
+_rdr01 mb91465k.h      /^ .GLOBAL _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01$/;"   v
+_rdr02 mb91465k.h      /^ .GLOBAL _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02$/;"    v
+_regctr        mb91465k.h      /^ .GLOBAL _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14$/;"   v
+_regsel        mb91465k.h      /^ .GLOBAL _wpcrl,    _osccr,    _regsel,   _regctr,   _modr,     _pdrd14$/;"   v
+_rsrr  mb91465k.h      /^ .GLOBAL _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr$/;"     v
+_scr02 mb91465k.h      /^ .GLOBAL _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02$/;"    v
+_scr03 mb91465k.h      /^ .GLOBAL _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03$/;"    v
+_scr04 mb91465k.h      /^ .GLOBAL _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04$/;"    v
+_smr01 mb91465k.h      /^ .GLOBAL _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01$/;"   v
+_smr02 mb91465k.h      /^ .GLOBAL _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02$/;"    v
+_smr03 mb91465k.h      /^ .GLOBAL _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03$/;"    v
+_smr04 mb91465k.h      /^ .GLOBAL _tdr03,    _escr03,   _eccr03,   _scr04,    _smr04,    _ssr04$/;"    v
+_ssr00 mb91465k.h      /^ .GLOBAL _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00$/;"   v
+_ssr01 mb91465k.h      /^ .GLOBAL _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01$/;"   v
+_ssr02 mb91465k.h      /^ .GLOBAL _eccr01,   _scr02,    _smr02,    _ssr02,    _rdr02,    _tdr02$/;"    v
+_ssr03 mb91465k.h      /^ .GLOBAL _escr02,   _eccr02,   _scr03,    _smr03,    _ssr03,    _rdr03$/;"    v
+_statr4        mb91465k.h      /^ .GLOBAL _fscr1,    _ctrlr4,   _statr4,   _errcnt4,  _btr4,     _intr4$/;"    v
+_stcr  mb91465k.h      /^ .GLOBAL _icr61,    _icr62,    _icr63,    _rsrr,     _stcr,     _tbcr$/;"     v
+_tccs0 mb91465k.h      /^ .GLOBAL _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1$/;"    v
+_tccs2 mb91465k.h      /^ .GLOBAL _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0$/;"   v
+_tccs3 mb91465k.h      /^ .GLOBAL _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0$/;"   v
+_tccs5 mb91465k.h      /^ .GLOBAL _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7$/;"    v
+_tccs6 mb91465k.h      /^ .GLOBAL _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7$/;"    v
+_tcdt0 mb91465k.h      /^ .GLOBAL _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1$/;"    v
+_tcdt2 mb91465k.h      /^ .GLOBAL _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0$/;"   v
+_tcdt3 mb91465k.h      /^ .GLOBAL _tccs1,    _tcdt2,    _tccs2,    _tcdt3,    _tccs3,    _dmaca0$/;"   v
+_tcdt4 mb91465k.h      /^ .GLOBAL _occp4,    _occp5,    _occp6,    _occp7,    _tcdt4,    _tccs4$/;"    v
+_tcdt6 mb91465k.h      /^ .GLOBAL _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7$/;"    v
+_tcdt7 mb91465k.h      /^ .GLOBAL _tcdt5,    _tccs5,    _tcdt6,    _tccs6,    _tcdt7,    _tccs7$/;"    v
+_tdr00 mb91465k.h      /^ .GLOBAL _smr00,    _ssr00,    _rdr00,    _tdr00,    _escr00,   _eccr00$/;"   v
+_tdr01 mb91465k.h      /^ .GLOBAL _scr01,    _smr01,    _ssr01,    _rdr01,    _tdr01,    _escr01$/;"   v
+_tdr04 mb91465k.h      /^ .GLOBAL _rdr04,    _tdr04,    _escr04,   _eccr04,   _fsr04,    _fcr04$/;"    v
+_tmcsr0        mb91465k.h      /^ .GLOBAL _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1$/;"     v
+_tmcsr3        mb91465k.h      /^ .GLOBAL _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3$/;"  v
+_tmcsr4        mb91465k.h      /^ .GLOBAL _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4$/;"  v
+_tmcsr5        mb91465k.h      /^ .GLOBAL _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6$/;"   v
+_tmcsr6        mb91465k.h      /^ .GLOBAL _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7$/;"     v
+_tmcsrh0       mb91465k.h      /^ .GLOBAL _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1$/;"     v
+_tmcsrh1       mb91465k.h      /^ .GLOBAL _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2$/;"   v
+_tmcsrh4       mb91465k.h      /^ .GLOBAL _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4$/;"  v
+_tmcsrh5       mb91465k.h      /^ .GLOBAL _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6$/;"   v
+_tmcsrh6       mb91465k.h      /^ .GLOBAL _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7$/;"     v
+_tmcsrh7       mb91465k.h      /^ .GLOBAL _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1$/;"    v
+_tmcsrl0       mb91465k.h      /^ .GLOBAL _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1$/;"     v
+_tmcsrl1       mb91465k.h      /^ .GLOBAL _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2$/;"   v
+_tmcsrl2       mb91465k.h      /^ .GLOBAL _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3$/;"  v
+_tmcsrl5       mb91465k.h      /^ .GLOBAL _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6$/;"   v
+_tmcsrl6       mb91465k.h      /^ .GLOBAL _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7$/;"     v
+_tmcsrl7       mb91465k.h      /^ .GLOBAL _tmcsr7,   _tmcsrh7,  _tmcsrl7,  _tcdt0,    _tccs0,    _tcdt1$/;"    v
+_tmr2  mb91465k.h      /^ .GLOBAL _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2$/;"   v
+_tmr3  mb91465k.h      /^ .GLOBAL _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3$/;"  v
+_tmr4  mb91465k.h      /^ .GLOBAL _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4$/;"  v
+_tmr5  mb91465k.h      /^ .GLOBAL _tmrlr5,   _tmr5,     _tmcsr5,   _tmcsrh5,  _tmcsrl5,  _tmrlr6$/;"   v
+_tmrlr1        mb91465k.h      /^ .GLOBAL _tmr0,     _tmcsr0,   _tmcsrh0,  _tmcsrl0,  _tmrlr1,   _tmr1$/;"     v
+_tmrlr2        mb91465k.h      /^ .GLOBAL _tmcsr1,   _tmcsrh1,  _tmcsrl1,  _tmrlr2,   _tmr2,     _tmcsr2$/;"   v
+_tmrlr3        mb91465k.h      /^ .GLOBAL _tmcsrh2,  _tmcsrl2,  _tmrlr3,   _tmr3,     _tmcsr3,   _tmcsrh3$/;"  v
+_tmrlr4        mb91465k.h      /^ .GLOBAL _tmcsrl3,  _tmrlr4,   _tmr4,     _tmcsr4,   _tmcsrh4,  _tmcsrl4$/;"  v
+_tmrlr7        mb91465k.h      /^ .GLOBAL _tmr6,     _tmcsr6,   _tmcsrh6,  _tmcsrl6,  _tmrlr7,   _tmr7$/;"     v
+_treqr124      mb91465k.h      /^ .GLOBAL _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14$/;"     v
+_treqr24       mb91465k.h      /^ .GLOBAL _if2dtb_swp124, _if2dtb_swp24, _if2dtb_swp14, _treqr124, _treqr24,  _treqr14$/;"     v
+_wait64_loop   Start91460.asm  /^_wait64_loop:$/;"     l
+_wpr   mb91465k.h      /^ .GLOBAL _ctbr,     _clkr,     _wpr,      _divr0,    _divr1,    _plldivm$/;"  v
+_wtcer mb91465k.h      /^ .GLOBAL _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr$/;"     v
+_wtcr  mb91465k.h      /^ .GLOBAL _oscc2,    _oscs2,    _porten,   _wtcer,    _wtcr,     _wtbr$/;"     v
+_wtmr  mb91465k.h      /^ .GLOBAL _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg$/;"    v
+_wtsr  mb91465k.h      /^ .GLOBAL _wthr,     _wtmr,     _wtsr,     _csvtr,    _csvcr,    _cscfg$/;"    v
+clock_startup  Start91460.asm  /^clock_startup:$/;"    l
+copy_iram1     Start91460.asm  /^copy_iram1: $/;"      l
+copy_iram_end  Start91460.asm  /^copy_iram_end: $/;"   l
+copy_rom1      Start91460.asm  /^copy_rom1:$/;"        l
+copy_rom2      Start91460.asm  /^copy_rom2:$/;"        l
+copy_rom_end   Start91460.asm  /^copy_rom_end:$/;"     l
+data_clr0      Start91460.asm  /^data_clr0:$/;"        l
+data_clr1      Start91460.asm  /^data_clr1:$/;"        l
+data_clr2      Start91460.asm  /^data_clr2:$/;"        l
+data_clr_end   Start91460.asm  /^data_clr_end:$/;"     l
+emu_sram_cs_mb91461r   Start91460.asm  /^emu_sram_cs_mb91461r:    $/;" l
+emu_sram_cs_mb91461r   Start91460.asm  /^emu_sram_cs_mb91461r:$/;"     l
+fill_sstack1   Start91460.asm  /^fill_sstack1:$/;"     l
+fill_sstack2   Start91460.asm  /^fill_sstack2:$/;"     l
+fill_sstack_end        Start91460.asm  /^fill_sstack_end:$/;"  l
+fill_ustack1   Start91460.asm  /^fill_ustack1:$/;"     l
+fill_ustack2   Start91460.asm  /^fill_ustack2:$/;"     l
+fill_ustack_end        Start91460.asm  /^fill_ustack_end:$/;"  l
+gearDownLoop   Start91460.asm  /^gearDownLoop:    $/;" l
+gearUpLoop     Start91460.asm  /^gearUpLoop:    $/;"   l
+hseq_1 Flash.h 13;"    d
+hseq_2 Flash.h 14;"    d
+main   MAIN.c  /^void main(void)$/;"   f
+mainNotStopped Start91460.asm  /^mainNotStopped:        $/;"   l
+mainStabTime   Start91460.asm  /^        mainStabTime:                           ; Wait for stabilisation time$/;"     l
+noClockStartup Start91460.asm  /^noClockStartup:$/;"   l
+notOnPll       Start91460.asm  /^notOnPll:$/;" l
+notOnSubClock  Start91460.asm  /^notOnSubClock:$/;"    l
+smd_cs Start91460.asm  /^smd_cs:                                                    $/;"       l
+smd_cs Start91460.asm  /^smd_cs:$/;"   l
+smd_cs_mb91461r        Start91460.asm  /^smd_cs_mb91461r:$/;"  l
+smd_tbr        Start91460.asm  /^smd_tbr: $/;" l
+start_main     Start91460.asm  /^start_main:$/;"       l
+startnop       Start91460.asm  /^startnop: $/;"        l
+subStabTime    Start91460.asm  /^subStabTime:        $/;"      l
+xFFFFFFEF      Flash.c /^      ANDCCR #0xFFFFFFEF      ; Clear Interrupt Flag$/;"      v
diff --git a/uart.c b/uart.c
new file mode 100644 (file)
index 0000000..f4ccfb7
--- /dev/null
+++ b/uart.c
@@ -0,0 +1,129 @@
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*---------------------------------------------------------------------------*/\r
+\r
+#include "mb91465k.h"\r
+\r
+const char ASCII[] = "0123456789ABCDEF";\r
+\r
+void InitUart4(void)\r
+{\r
+  // Initialize UART asynchronous mode\r
+  // BGR04 = 1666; //  9600 Baud @ 16MHz\r
+     BGR04 = 832;  // 19200 Baud @ 16MHz\r
+  // BGR04 = 416;  // 38400 Baud @ 16MHz\r
+\r
+  // BGR04 = 2083; //  9600 Baud @ 20MHz\r
+  // BGR04 = 1041; // 19200 Baud @ 20MHz\r
+  // BGR04 = 520;  // 38400 Baud @ 20MHz\r
+\r
+  // BGR04 = 2499; //  9600 Baud @ 24MHz\r
+  // BGR04 = 1249; // 19200 Baud @ 24MHz\r
+  // BGR04 = 624;  // 38400 Baud @ 24MHz  \r
+  SCR04 = 0x17;    // 8N1\r
+  SMR04 = 0x0d;    // enable SOT3, Reset, normal mode\r
+  SSR04 = 0x00;    // LSB first\r
+  PFR19 = (PFR19 & 0xFC) | 0x03;       // enable UART: SIN,SOT for async. transfer\r
+  EPFR19 = 0x00;   // enable UART\r
+}\r
+\r
+void Putch4(char ch)         /* sends a char */\r
+{\r
+  while (SSR04_TDRE == 0);    /* wait for transmit buffer empty        */\r
+  TDR04 = ch;                 /* put ch into buffer                    */\r
+}\r
+\r
+char Getch4(void)            /* waits for and returns incomming char   */\r
+{\r
+  volatile unsigned ch;\r
+\r
+  for(;;)\r
+  {\r
+  \r
+     while(SSR04_RDRF == 0)     /* wait for data received      */\r
+       HWWD = 0x00; \r
+  \r
+     ch = RDR04;\r
+  \r
+     if ((SSR04 & 0xE0) != 0)    /* Check for errors PE, ORE, FRE */\r
+     {\r
+         SCR04_CRE = 1;            /* Clear error flags        */\r
+     }\r
+     else   \r
+        return (ch);            /* return char                         */\r
+  }\r
+}\r
+\r
+\r
+void Puts4(const char *Name2)  /* Puts a String to UART */\r
+{\r
+  volatile int i,len;\r
+   \r
+  len = strlen(Name2);\r
+       \r
+  for (i=0; i<strlen(Name2); i++)   /* go through string                     */\r
+  {\r
+    if (Name2[i] == 10)\r
+      Putch4(13);\r
+    Putch4(Name2[i]);              /* send it out                           */\r
+  }\r
+}\r
+\r
+\r
+char Echo4(void)        /* Echo UART and return ch */\r
+{\r
+  char ch;\r
+  \r
+  Puts4("UART 4 receive: ");      // send text to UART\r
+  ch = RDR04;                     // readout character              \r
+  Putch4(ch);                         // send character to UART                 \r
+  if (ch==13)  \r
+    Putch4(10);\r
+\r
+  return (ch);\r
+}\r
+\r
+void Puthex4(unsigned long n, unsigned char digits)\r
+{\r
+   unsigned char digit=0,div=0,i;\r
+\r
+   div=(4*(digits-1)); /* init shift divisor */\r
+   for (i=0;i<digits;i++)\r
+   {\r
+     digit = ((n >> div)&0xF); /* get hex-digit value */\r
+        Putch4(digit + ((digit < 0xA) ? '0' : 'A' - 0xA));\r
+     div-=4;                   /* next digit shift */\r
+   }\r
+}\r
+\r
+void Putdec4(unsigned long x, int digits)\r
+{\r
+       int i;\r
+       char buf[10],sign=1;\r
+       \r
+       if (digits < 0) {     /* should be print of zero? */\r
+         digits *= (-1);\r
+         sign =1;\r
+       }  \r
+       buf[digits]='\0';                       /* end sign of string */\r
+       \r
+       for (i=digits; i>0; i--) {\r
+               buf[i-1] = ASCII[x % 10];\r
+               x = x/10;\r
+       }\r
+\r
+    if ( sign )\r
+    {\r
+         for (i=0; buf[i]=='0'; i++) { /* no print of zero */\r
+               if ( i<digits-1)\r
+                       buf[i] = ' ';\r
+         }             \r
+    }\r
+    \r
+       Puts4(buf);                                     /* send string */\r
+}\r
+\r
+\r
+\r
diff --git a/vectors.c b/vectors.c
new file mode 100644 (file)
index 0000000..eb4a4b9
--- /dev/null
+++ b/vectors.c
@@ -0,0 +1,339 @@
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*------------------------------------------------------------------------\r
+  VECTORS.C\r
+  - Interrupt level (priority) setting\r
+  - Interrupt vector definition\r
+\r
+  31.04.05  1.00   UMa    Initial Version\r
+  08.11.05  1.01   MSt    SWB Mondeb switch for ICR00 Register added\r
+  27.02.06  1.02   UMa    added comment in DefaultIRQHandler \r
+  17.03.06  1.03   UMa    comment out ICR01\r
+  28.07.06  1.04   UMa    changed comment\r
+  06.10.06  1.05   UMa    changed DefaultIRQHandler\r
+-------------------------------------------------------------------------*/\r
+\r
+#include "mb91465k.h"\r
+#include "RLT.h"\r
+\r
+/*------------------------------------------------------------------------\r
+  InitIrqLevels()\r
+\r
+  This function  pre-sets all interrupt control registers. It can be used\r
+  to set all interrupt priorities in static applications. If this file\r
+  contains assignments to dedicated resources, verify  that the\r
+  appropriate controller is used. Not all devices of the MB91460 Series\r
+  offer all recources.\r
+\r
+  NOTE: value 31 disables the interrupt and value 16 sets highest priority.\r
+-------------------------------------------------------------------------*/\r
+void InitIrqLevels(void)\r
+{\r
+    /*  ICRxx */ \r
+/* Softune Workbench Monitor Debugger is using ext int0 for abort function */\r
+/*  ICR00 = 31;  *//* External Interrupt 0         */\r
+                   /* External Interrupt 1         */                 \r
+    ICR01 = 31;    /* External Interrupt 2         */\r
+                   /* External Interrupt 3         */\r
+    ICR02 = 31;    /* External Interrupt 4         */\r
+                   /* External Interrupt 5         */\r
+    ICR03 = 31;    /* External Interrupt 6         */\r
+                   /* External Interrupt 7         */\r
+    ICR04 = 31;    /* External Interrupt 8         */\r
+                   /* External Interrupt 9         */\r
+    ICR05 = 31;    /* External Interrupt 10        */\r
+                   /* External Interrupt 11        */\r
+    ICR06 = 31;    /* External Interrupt 12        */\r
+                   /* External Interrupt 13        */\r
+    ICR07 = 31;    /* External Interrupt 14        */\r
+                   /* External Interrupt 15        */\r
+    ICR08 = 30;    /* Reload Timer 0               */\r
+                   /* Reload Timer 1               */\r
+    ICR09 = 31;    /* Reload Timer 2               */\r
+                   /* Reload Timer 3               */\r
+    ICR10 = 31;    /* Reload Timer 4               */\r
+                   /* Reload Timer 5               */\r
+    ICR11 = 31;    /* Reload Timer 6               */\r
+                   /* Reload Timer 7               */\r
+    ICR12 = 31;    /* Free Run Timer 0             */\r
+                   /* Free Run Timer 1             */\r
+    ICR13 = 31;    /* Free Run Timer 2             */\r
+                   /* Free Run Timer 3             */\r
+    ICR14 = 31;    /* Free Run Timer 4             */\r
+                   /* Free Run Timer 5             */\r
+    ICR15 = 31;    /* Free Run Timer 6             */\r
+                   /* Free Run Timer 7             */\r
+    ICR16 = 31;    /* CAN 0                        */\r
+                   /* CAN 1                        */\r
+    ICR17 = 31;    /* CAN 2                        */\r
+                   /* CAN 3                        */\r
+    ICR18 = 31;    /* CAN 4                        */\r
+                   /* CAN 5                        */\r
+    ICR19 = 31;    /* USART (LIN) 0 RX             */\r
+                   /* USART (LIN) 0 TX             */\r
+    ICR20 = 31;    /* USART (LIN) 1 RX             */\r
+                   /* USART (LIN) 1 TX             */\r
+    ICR21 = 31;    /* USART (LIN) 2 RX             */\r
+                   /* USART (LIN) 2 TX             */\r
+    ICR22 = 31;    /* USART (LIN) 3 RX             */\r
+                   /* USART (LIN) 3 TX             */\r
+    ICR23 = 31;    /* System Reserved              */\r
+                   /* Delayed Interrupt            */\r
+    ICR24 = 31;    /* System Reserved              */\r
+                   /* System Reserved              */\r
+    ICR25 = 31;    /* USART (LIN, FIFO) 4 RX       */\r
+                   /* USART (LIN, FIFO) 4 TX       */\r
+    ICR26 = 31;    /* USART (LIN, FIFO) 5 RX       */\r
+                   /* USART (LIN, FIFO) 5 TX       */\r
+    ICR27 = 31;    /* USART (LIN, FIFO) 6 RX       */\r
+                   /* USART (LIN, FIFO) 6 TX       */\r
+    ICR28 = 31;    /* USART (LIN, FIFO) 7 RX       */\r
+                   /* USART (LIN, FIFO) 7 TX       */\r
+    ICR29 = 31;    /* I2C 0 / I2C 2                */\r
+                   /* I2C 1 / I2C 3                */\r
+    ICR30 = 31;    /* USART (LIN, FIFO) 8 RX       */\r
+                   /* USART (LIN, FIFO) 8 TX       */\r
+    ICR31 = 31;    /* USART (LIN, FIFO) 9 RX       */\r
+                   /* USART (LIN, FIFO) 9 TX       */\r
+    ICR32 = 31;    /* USART (LIN, FIFO) 10 RX      */\r
+                   /* USART (LIN, FIFO) 10 TX      */\r
+    ICR33 = 31;    /* USART (LIN, FIFO) 11 RX      */\r
+                   /* USART (LIN, FIFO) 11 TX      */\r
+    ICR34 = 31;    /* USART (LIN, FIFO) 12 RX      */\r
+                   /* USART (LIN, FIFO) 12 TX      */\r
+    ICR35 = 31;    /* USART (LIN, FIFO) 13 RX      */\r
+                   /* USART (LIN, FIFO) 13 TX      */\r
+    ICR36 = 31;    /* USART (LIN, FIFO) 14 RX      */\r
+                   /* USART (LIN, FIFO) 14 TX      */\r
+    ICR37 = 31;    /* USART (LIN, FIFO) 15 RX      */\r
+                   /* USART (LIN, FIFO) 15 TX      */\r
+    ICR38 = 31;    /* Input Capture 0              */\r
+                   /* Input Capture 1              */\r
+    ICR39 = 31;    /* Input Capture 2              */\r
+                   /* Input Capture 3              */\r
+    ICR40 = 31;    /* Input Capture 4              */\r
+                   /* Input Capture 5              */\r
+    ICR41 = 31;    /* Input Capture 6              */\r
+                   /* Input Capture 7              */\r
+    ICR42 = 31;    /* Output Compare 0             */\r
+                   /* Output Compare 1             */\r
+    ICR43 = 31;    /* Output Compare 2             */\r
+                   /* Output Compare 3             */\r
+    ICR44 = 31;    /* Output Compare 4             */\r
+                   /* Output Compare 5             */\r
+    ICR45 = 31;    /* Output Compare 6             */\r
+                   /* Output Compare 7             */\r
+    ICR46 = 31;    /* Sound Generator              */\r
+                   /* Phase Frequ. Modulator       */\r
+    ICR47 = 31;    /* System Reserved              */\r
+                   /* System Reserved              */\r
+    ICR48 = 31;    /* Prog. Pulse Gen. 0           */\r
+                   /* Prog. Pulse Gen. 1           */\r
+    ICR49 = 31;    /* Prog. Pulse Gen. 2           */\r
+                   /* Prog. Pulse Gen. 3           */\r
+    ICR50 = 31;    /* Prog. Pulse Gen. 4           */\r
+                   /* Prog. Pulse Gen. 5           */\r
+    ICR51 = 31;    /* Prog. Pulse Gen. 6           */\r
+                   /* Prog. Pulse Gen. 7           */\r
+    ICR52 = 31;    /* Prog. Pulse Gen. 8           */\r
+                   /* Prog. Pulse Gen. 9           */\r
+    ICR53 = 31;    /* Prog. Pulse Gen. 10          */\r
+                   /* Prog. Pulse Gen. 11          */\r
+    ICR54 = 31;    /* Prog. Pulse Gen. 12          */\r
+                   /* Prog. Pulse Gen. 13          */\r
+    ICR55 = 31;    /* Prog. Pulse Gen. 14          */\r
+                   /* Prog. Pulse Gen. 15          */\r
+    ICR56 = 31;    /* Up/Down Counter 0            */\r
+                   /* Up/Down Counter 1            */\r
+    ICR57 = 31;    /* Up/Down Counter 2            */\r
+                   /* Up/Down Counter 3            */\r
+    ICR58 = 31;    /* Real Time Clock              */\r
+                   /* Calibration Unit             */\r
+    ICR59 = 31;    /* A/D Converter 0              */\r
+                   /* -                            */\r
+    ICR60 = 31;    /* Alarm Comperator 0           */\r
+                   /* Alarm Comperator 1           */\r
+    ICR61 = 31;    /* Low Volage Detector          */\r
+                   /* SMC Zero Point 0-5           */\r
+    ICR62 = 31;    /* Timebase Overflow            */\r
+                   /* PLL Clock Gear               */\r
+    ICR63 = 31;    /* DMA Controller               */\r
+                   /* Main/Sub OSC stability wait  */\r
+}\r
+\r
+\r
+\r
+/*------------------------------------------------------------------------\r
+  Prototypes\r
+  \r
+  Add your own prototypes here. Each vector definition needs is proto-\r
+  type. Either do it here or include a header file containing them.\r
+-------------------------------------------------------------------------*/\r
+__interrupt void DefaultIRQHandler (void);\r
+__interrupt void RLT_Channel0_ISR (void);\r
+\r
+\r
+/*------------------------------------------------------------------------\r
+   Vector definiton\r
+\r
+   Use following statements to define vectors. All resource related\r
+   vectors are predefined. Remaining software interrupts can be added here\r
+   as well.\r
+------------------------------------------------------------------------*/\r
+#pragma intvect 0xBFF8            0     /* (fixed) reset vector         */\r
+#pragma intvect 0x06000000        1     /* (fixed) Mode Byte            */\r
+\r
+#pragma intvect DefaultIRQHandler 15    /* Non Maskable Interrupt       */\r
+#pragma intvect DefaultIRQHandler 16    /* External Interrupt 0         */\r
+#pragma intvect DefaultIRQHandler 17    /* External Interrupt 1         */\r
+#pragma intvect DefaultIRQHandler 18    /* External Interrupt 2         */\r
+#pragma intvect DefaultIRQHandler 19    /* External Interrupt 3         */\r
+#pragma intvect DefaultIRQHandler 20    /* External Interrupt 4         */\r
+#pragma intvect DefaultIRQHandler 21    /* External Interrupt 5         */\r
+#pragma intvect DefaultIRQHandler 22    /* External Interrupt 6         */\r
+#pragma intvect DefaultIRQHandler 23    /* External Interrupt 7         */\r
+#pragma intvect DefaultIRQHandler 24    /* External Interrupt 8         */\r
+#pragma intvect DefaultIRQHandler 25    /* External Interrupt 9         */\r
+#pragma intvect DefaultIRQHandler 26    /* External Interrupt 10        */\r
+#pragma intvect DefaultIRQHandler 27    /* External Interrupt 11        */\r
+#pragma intvect DefaultIRQHandler 28    /* External Interrupt 12        */\r
+#pragma intvect DefaultIRQHandler 29    /* External Interrupt 13        */\r
+#pragma intvect DefaultIRQHandler 30    /* External Interrupt 14        */\r
+#pragma intvect DefaultIRQHandler 31    /* External Interrupt 15        */\r
+#pragma intvect RLT_Channel0_ISR  32    /* Reload Timer 0               */\r
+#pragma intvect DefaultIRQHandler 33    /* Reload Timer 1               */\r
+#pragma intvect DefaultIRQHandler 34    /* Reload Timer 2               */\r
+#pragma intvect DefaultIRQHandler 35    /* Reload Timer 3               */\r
+#pragma intvect DefaultIRQHandler 36    /* Reload Timer 4               */\r
+#pragma intvect DefaultIRQHandler 37    /* Reload Timer 5               */\r
+#pragma intvect DefaultIRQHandler 38    /* Reload Timer 6               */\r
+#pragma intvect DefaultIRQHandler 39    /* Reload Timer 7               */\r
+#pragma intvect DefaultIRQHandler 40    /* Free Run Timer 0             */\r
+#pragma intvect DefaultIRQHandler 41    /* Free Run Timer 1             */\r
+#pragma intvect DefaultIRQHandler 42    /* Free Run Timer 2             */\r
+#pragma intvect DefaultIRQHandler 43    /* Free Run Timer 3             */\r
+#pragma intvect DefaultIRQHandler 44    /* Free Run Timer 4             */\r
+#pragma intvect DefaultIRQHandler 45    /* Free Run Timer 5             */\r
+#pragma intvect DefaultIRQHandler 46    /* Free Run Timer 6             */\r
+#pragma intvect DefaultIRQHandler 47    /* Free Run Timer 7             */\r
+#pragma intvect DefaultIRQHandler 48    /* CAN 0                        */\r
+#pragma intvect DefaultIRQHandler 49    /* CAN 1                        */\r
+#pragma intvect DefaultIRQHandler 50    /* CAN 2                        */\r
+#pragma intvect DefaultIRQHandler 51    /* CAN 3                        */\r
+#pragma intvect DefaultIRQHandler 52    /* CAN 4                        */\r
+#pragma intvect DefaultIRQHandler 53    /* CAN 5                        */\r
+#pragma intvect DefaultIRQHandler 54    /* USART (LIN) 0 RX             */\r
+#pragma intvect DefaultIRQHandler 55    /* USART (LIN) 0 TX             */\r
+#pragma intvect DefaultIRQHandler 56    /* USART (LIN) 1 RX             */\r
+#pragma intvect DefaultIRQHandler 57    /* USART (LIN) 1 TX             */\r
+#pragma intvect DefaultIRQHandler 58    /* USART (LIN) 2 RX             */\r
+#pragma intvect DefaultIRQHandler 59    /* USART (LIN) 2 TX             */\r
+#pragma intvect DefaultIRQHandler 60    /* USART (LIN) 3 RX             */\r
+#pragma intvect DefaultIRQHandler 61    /* USART (LIN) 3 TX             */\r
+#pragma intvect DefaultIRQHandler 62    /* System Reserved              */\r
+#pragma intvect DefaultIRQHandler 63    /* Delayed Interrupt            */\r
+#pragma intvect DefaultIRQHandler 64    /* System Reserved              */\r
+#pragma intvect DefaultIRQHandler 65    /* System Reserved              */\r
+#pragma intvect DefaultIRQHandler 66    /* USART (LIN, FIFO) 4 RX       */\r
+#pragma intvect DefaultIRQHandler 67    /* USART (LIN, FIFO) 4 TX       */\r
+#pragma intvect DefaultIRQHandler 68    /* USART (LIN, FIFO) 5 RX       */\r
+#pragma intvect DefaultIRQHandler 69    /* USART (LIN, FIFO) 5 TX       */\r
+#pragma intvect DefaultIRQHandler 70    /* USART (LIN, FIFO) 6 RX       */\r
+#pragma intvect DefaultIRQHandler 71    /* USART (LIN, FIFO) 6 TX       */\r
+#pragma intvect DefaultIRQHandler 72    /* USART (LIN, FIFO) 7 RX       */\r
+#pragma intvect DefaultIRQHandler 73    /* USART (LIN, FIFO) 7 TX       */\r
+#pragma intvect DefaultIRQHandler 74    /* I2C 0 / I2C 2                */\r
+#pragma intvect DefaultIRQHandler 75    /* I2C 1 / I2C 3                */\r
+#pragma intvect DefaultIRQHandler 76    /* USART (LIN, FIFO) 8 RX       */\r
+#pragma intvect DefaultIRQHandler 77    /* USART (LIN, FIFO) 8 TX       */\r
+#pragma intvect DefaultIRQHandler 78    /* USART (LIN, FIFO) 9 RX       */\r
+#pragma intvect DefaultIRQHandler 79    /* USART (LIN, FIFO) 9 TX       */\r
+#pragma intvect DefaultIRQHandler 80    /* USART (LIN, FIFO) 10 RX      */\r
+#pragma intvect DefaultIRQHandler 81    /* USART (LIN, FIFO) 10 TX      */\r
+#pragma intvect DefaultIRQHandler 82    /* USART (LIN, FIFO) 11 RX      */\r
+#pragma intvect DefaultIRQHandler 83    /* USART (LIN, FIFO) 11 TX      */\r
+#pragma intvect DefaultIRQHandler 84    /* USART (LIN, FIFO) 12 RX      */\r
+#pragma intvect DefaultIRQHandler 85    /* USART (LIN, FIFO) 12 TX      */\r
+#pragma intvect DefaultIRQHandler 86    /* USART (LIN, FIFO) 13 RX      */\r
+#pragma intvect DefaultIRQHandler 87    /* USART (LIN, FIFO) 13 TX      */\r
+#pragma intvect DefaultIRQHandler 88    /* USART (LIN, FIFO) 14 RX      */\r
+#pragma intvect DefaultIRQHandler 89    /* USART (LIN, FIFO) 14 TX      */\r
+#pragma intvect DefaultIRQHandler 90    /* USART (LIN, FIFO) 15 RX      */\r
+#pragma intvect DefaultIRQHandler 91    /* USART (LIN, FIFO) 15 TX      */\r
+#pragma intvect DefaultIRQHandler 92    /* Input Capture 0              */\r
+#pragma intvect DefaultIRQHandler 93    /* Input Capture 1              */\r
+#pragma intvect DefaultIRQHandler 94    /* Input Capture 2              */\r
+#pragma intvect DefaultIRQHandler 95    /* Input Capture 3              */\r
+#pragma intvect DefaultIRQHandler 96    /* Input Capture 4              */\r
+#pragma intvect DefaultIRQHandler 97    /* Input Capture 5              */\r
+#pragma intvect DefaultIRQHandler 98    /* Input Capture 6              */\r
+#pragma intvect DefaultIRQHandler 99    /* Input Capture 7              */\r
+#pragma intvect DefaultIRQHandler 100   /* Output Compare 0             */\r
+#pragma intvect DefaultIRQHandler 101   /* Output Compare 1             */\r
+#pragma intvect DefaultIRQHandler 102   /* Output Compare 2             */\r
+#pragma intvect DefaultIRQHandler 103   /* Output Compare 3             */\r
+#pragma intvect DefaultIRQHandler 104   /* Output Compare 4             */\r
+#pragma intvect DefaultIRQHandler 105   /* Output Compare 5             */\r
+#pragma intvect DefaultIRQHandler 106   /* Output Compare 6             */\r
+#pragma intvect DefaultIRQHandler 107   /* Output Compare 7             */\r
+#pragma intvect DefaultIRQHandler 108   /* Sound Generator              */\r
+#pragma intvect DefaultIRQHandler 109   /* Phase Frequ. Modulator       */\r
+#pragma intvect DefaultIRQHandler 110   /* System Reserved              */\r
+#pragma intvect DefaultIRQHandler 111   /* System Reserved              */\r
+#pragma intvect DefaultIRQHandler 112   /* Prog. Pulse Gen. 0           */\r
+#pragma intvect DefaultIRQHandler 113   /* Prog. Pulse Gen. 1           */\r
+#pragma intvect DefaultIRQHandler 114   /* Prog. Pulse Gen. 2           */\r
+#pragma intvect DefaultIRQHandler 115   /* Prog. Pulse Gen. 3           */\r
+#pragma intvect DefaultIRQHandler 116   /* Prog. Pulse Gen. 4           */\r
+#pragma intvect DefaultIRQHandler 117   /* Prog. Pulse Gen. 5           */\r
+#pragma intvect DefaultIRQHandler 118   /* Prog. Pulse Gen. 6           */\r
+#pragma intvect DefaultIRQHandler 119   /* Prog. Pulse Gen. 7           */\r
+#pragma intvect DefaultIRQHandler 120   /* Prog. Pulse Gen. 8           */\r
+#pragma intvect DefaultIRQHandler 121   /* Prog. Pulse Gen. 9           */\r
+#pragma intvect DefaultIRQHandler 122   /* Prog. Pulse Gen. 10          */\r
+#pragma intvect DefaultIRQHandler 123   /* Prog. Pulse Gen. 11          */\r
+#pragma intvect DefaultIRQHandler 124   /* Prog. Pulse Gen. 12          */\r
+#pragma intvect DefaultIRQHandler 125   /* Prog. Pulse Gen. 13          */\r
+#pragma intvect DefaultIRQHandler 126   /* Prog. Pulse Gen. 14          */\r
+#pragma intvect DefaultIRQHandler 127   /* Prog. Pulse Gen. 15          */\r
+#pragma intvect DefaultIRQHandler 128   /* Up/Down Counter 0            */\r
+#pragma intvect DefaultIRQHandler 129   /* Up/Down Counter 1            */\r
+#pragma intvect DefaultIRQHandler 130   /* Up/Down Counter 2            */\r
+#pragma intvect DefaultIRQHandler 131   /* Up/Down Counter 3            */\r
+#pragma intvect DefaultIRQHandler 132   /* Real Time Clock              */\r
+#pragma intvect DefaultIRQHandler 133   /* Calibration Unit             */\r
+#pragma intvect DefaultIRQHandler 134   /* A/D Converter 0              */\r
+#pragma intvect DefaultIRQHandler 135   /* -                            */\r
+#pragma intvect DefaultIRQHandler 136   /* Alarm Comperator 0           */\r
+#pragma intvect DefaultIRQHandler 137   /* Alarm Comperator 1           */\r
+#pragma intvect DefaultIRQHandler 138   /* Low Volage Detector          */\r
+#pragma intvect DefaultIRQHandler 139   /* SMC Zero Point 0-5           */\r
+#pragma intvect DefaultIRQHandler 140   /* Timebase Overflow            */\r
+#pragma intvect DefaultIRQHandler 141   /* PLL Clock Gear               */\r
+#pragma intvect DefaultIRQHandler 142   /* DMA Controller               */\r
+#pragma intvect DefaultIRQHandler 143   /* Main/Sub OSC stability wait  */\r
+#pragma intvect 0xFFFFFFFF        144   /* Boot Sec. Vector (MB91V460A) */\r
+\r
+\r
+\r
+/*------------------------------------------------------------------------\r
+  DefaultIRQHandler()\r
+\r
+  This function is a placeholder for all vector definitions. Either use\r
+  your own placeholder or add necessary code here. \r
+-------------------------------------------------------------------------*/\r
+__interrupt \r
+void DefaultIRQHandler (void)\r
+{\r
+    /* RB_SYNC; */                      /* Synchronisation with R-Bus   */\r
+                                        /* May be required, if there is */\r
+                                        /* no R-Bus access after the    */\r
+                                        /* reset of the interrupt flag  */\r
+\r
+    __DI();                             /* disable interrupts           */\r
+    while(1)\r
+        HWWD_CL = 0;                    /* feed hardware watchdog       */\r
+                                        /* halt system */\r
+}\r
diff --git a/vectors.h b/vectors.h
new file mode 100644 (file)
index 0000000..058e000
--- /dev/null
+++ b/vectors.h
@@ -0,0 +1,13 @@
+/* THIS SAMPLE CODE IS PROVIDED AS IS AND IS SUBJECT TO ALTERATIONS. FUJITSU */\r
+/* MICROELECTRONICS ACCEPTS NO RESPONSIBILITY OR LIABILITY FOR ANY ERRORS OR */\r
+/* ELIGIBILITY FOR ANY PURPOSES.                                             */\r
+/*                 (C) Fujitsu Microelectronics Europe GmbH                  */\r
+/*----------------------------------------------------------------------------\r
+  VECTORS.h\r
+\r
+\r
+  06.10.06  1.00   UMa    Initial Version\r
+-----------------------------------------------------------------------------*/\r
+\r
+\r
+void InitIrqLevels(void);\r