init
[pyfrprog.git] / cscope.out
1 cscope 15 /media/bigdata/Documents/uni/projekt_flexray/flashorn/91460_flash_programming_demo_mb91465k-v10/SRC               0000505034
2         @Flash.c
3
4\ 2
5         ~"FÏsh.h
6 "
7
8\ 2
9         ~"mb91465k.h
10 "
11
12 11 \18\1d\12
13         gIFÏg
14 ;
15
16 13 #´agm¨
17 £ùiÚ
18  
19 CODE
20 =
21 IRAM
22 ,
23 ©\8c
24 =CODE
25
26 16 \1e
27         $FLASH_P»·»Wr\99eH®fWÜdMode
28 ()
29
30 20 #´agm¨
31 asm
32
33
34 21 
35 ST
36  
37 RP
38 ,@-
39 R15
40
41
42 22 
43         `STM0
44  (
45 R4
46 ,
47 R5
48 )
49
50 23 
51         `STM1
52  (
53 R12
54 )
55
56 24 
57 LDI
58  #0
59 x01
60 ,
61 R4
62  ; 
63 S\91
64  
65 FLASH
66  
67 to
68  16B
69 \99
70  
71 »ad
72 /
73 wr\99e
74  
75 Mode
76
77
78 25 
79 LDI
80  #0
81 x04
82 ,
83 R5
84  ; 
85 Go
86  4 
87 times
88  
89 through
90  
91 d\96ay
92  
93         `loÝ
94  (64
95 MHz
96  
97 CLKB
98 )
99
100 26 
101 LDI
102  #0
103 xBF60
104 ,
105 R12
106
107
108 27 
109 CALL
110  @
111 R12
112
113
114 28 
115         `LDM1
116  (
117 R12
118 )
119
120 29 
121         `LDM0
122  (
123 R4
124 ,
125 R5
126 )
127
128 30 
129 LD
130  @
131 R15
132 +,
133 RP
134
135
136 31 #´agm¨
137 \92dasm
138
139
140 36 
141 FMWT_ATD
142  = 1;
143
144 37 
145 FMWT_WEXH
146  = 0;
147
148 38 
149 FMWT_WTC
150  = 8;
151
152 39 
153         }
154 }
155
156 41 \1e
157         $FLASH_P»·»R\97dMode
158 ()
159
160 45 #´agm¨
161 asm
162
163
164 46 
165 ST
166  
167 RP
168 ,@-
169 R15
170
171
172 47 
173         `STM0
174  (
175 R4
176 ,
177 R5
178 )
179
180 48 
181         `STM1
182  (
183 R12
184 )
185
186 49 
187 LDI
188  #0
189 x00
190 ,
191 R4
192  ; 
193 S\91
194  
195 FLASH
196  
197 to
198  32B
199 \99
200  
201 »ad
202 /
203 wr\99e
204  
205 Mode
206
207
208 50 
209 LDI
210  #0
211 x04
212 ,
213 R5
214  ; 
215 Go
216  4 
217 times
218  
219 through
220  
221 d\96ay
222  
223         `loÝ
224  (64
225 MHz
226  
227 CLKB
228 )
229
230 51 
231 LDI
232  #0
233 xBF60
234 ,
235 R12
236
237
238 52 
239 CALL
240  @
241 R12
242
243
244 53 
245         `LDM1
246  (
247 R12
248 )
249
250 54 
251         `LDM0
252  (
253 R4
254 ,
255 R5
256 )
257
258 55 
259 LD
260  @
261 R15
262 +,
263 RP
264
265
266 56 #´agm¨
267 \92dasm
268
269
270 61 
271 FMWT_ATD
272  = 1;
273
274 62 
275 FMWT_EQ
276  = 3;
277
278 63 
279 FMWT_WTC
280  = 4;
281
282 64 
283         }
284 }
285
286 67 \1d\ 5
287         $FLASH_SeùÜE¿£
288 (\1d\12
289 £ÿdr
290 )
291
292 69 \1d\ 5
293 æag
294  = 0;
295
296 70 vÞ©\9e\90\1d\12
297 v®ue
298  = 0;
299
300 73 
301 IFÏg
302  = 
303         `FLASH_SaveDi§bËIÁ\94ru±FÏg
304 ();
305
306 76 
307         `FLASH_P»·»Wr\99eH®fWÜdMode
308 ();
309
310 78 
311 £ÿdr
312  |= 0x0003;
313
314 81 *
315 h£q_1
316  = 0x00AA;
317
318 82 *
319 h£q_2
320  = 0x0055;
321
322 83 *
323 h£q_1
324  = 0x0080;
325
326 84 *
327 h£q_1
328  = 0x00AA;
329
330 85 *
331 h£q_2
332  = 0x0055;
333
334 86 *(\1d\16\12*)
335 £ÿdr
336  = 0x0030;
337
338 89 \1f !Ð*(\1d\16\12*)
339 £ÿdr
340  & 
341 SETIMR
342  ) )
343
344 92 
345 HWWD_CL
346  = 0;
347
348 95 ifÐ
349         `FLASH_CheckP\92d\9agIÁ\94ru±
350 () )
351
352 98 
353         `FLASH_Su¥\92dSeùÜE¿£
354 (
355 £ÿdr
356 );
357
358 101 
359         `FLASH_P»·»R\97dMode
360 ();
361
362 104 
363         `FLASH_Re¡ÜeIÁ\94ru±FÏg
364 (
365 IFÏg
366 );
367
368 107 \1f 
369         `FLASH_CheckP\92d\9agIÁ\94ru±
370 (èè
371 HWWD_CL
372  = 0;
373
374 110 
375 IFÏg
376  = 
377         `FLASH_SaveDi§bËIÁ\94ru±FÏg
378 ();
379
380 113 
381         `FLASH_P»·»Wr\99eH®fWÜdMode
382 ();
383
384 116 
385         `FLASH_ResumeSeùÜE¿£
386 (
387 £ÿdr
388 );
389
390 121 \1f 
391 æag
392  == 0 )
393
394 124 
395 HWWD_CL
396  = 0;
397
398 127 ifÐ
399         `FLASH_CheckP\92d\9agIÁ\94ru±
400 () )
401
402 130 
403         `FLASH_Su¥\92dSeùÜE¿£
404 (
405 £ÿdr
406 );
407
408 133 
409         `FLASH_P»·»R\97dMode
410 ();
411
412 136 
413         `FLASH_Re¡ÜeIÁ\94ru±FÏg
414 (
415 IFÏg
416 );
417
418 139 \1f 
419         `FLASH_CheckP\92d\9agIÁ\94ru±
420 (èè
421 HWWD_CL
422  = 0;
423
424 142 
425 IFÏg
426  = 
427         `FLASH_SaveDi§bËIÁ\94ru±FÏg
428 ();
429
430 145 
431         `FLASH_P»·»Wr\99eH®fWÜdMode
432 ();
433
434 148 
435         `FLASH_ResumeSeùÜE¿£
436 (
437 £ÿdr
438 );
439
440 153 ifÐÐ*(\1d\16\12*)
441 £ÿdr
442  & 
443 DPOLL
444  ) )
445
446 155 
447 æag
448  = 1;
449
450 157 ifÐÐ*(\1d\16\12*)
451 £ÿdr
452  & 
453 TLOVER
454  ) )
455
456 159 ifÐÐ*(\1d\16\12*)
457 £ÿdr
458  & 
459 DPOLL
460  ) )
461
462 161 
463 æag
464  = 1;
465
466 166 
467         `FLASH_R\97dRe£t
468 ();
469
470 168 
471 æag
472  = 2;
473
474 175 
475         `FLASH_Re¡ÜeIÁ\94ru±FÏg
476 (
477 IFÏg
478 );
479
480 178 
481         `FLASH_P»·»R\97dMode
482 ();
483
484 180 \15 
485 æag
486 ;
487
488 181 
489         }
490 }
491
492 183 \1d\ 5
493         $FLASH_SeùÜBÏnkCheck
494 (\1d\12
495 £ÿddr
496\1d\12
497 size
498 )
499
500 185 \1d\12
501 couÁ
502 ;
503
504 186 \1d\ 5
505 em±y_æag
506  = 0;
507
508 187 \1d\12
509 addr
510  = 
511 £ÿddr
512 ;
513
514 190 
515 FMCS_FIXE
516  = 0;
517
518 192 \ f
519 couÁ
520  = 0; couÁ < 
521 size
522 ; count ++)
523
524 195 
525 HWWD_CL
526  = 0;
527
528 196 ifÐ*(\1d\12*)
529 addr
530  !ð0xFFFFFFFF ) 
531 em±y_æag
532  = 1;
533
534 197 
535 addr
536  += 4;
537
538 201 
539 FMCS_FIXE
540  = 1;
541
542 203 ifÐ
543 em±y_æag
544  != 0 )
545
546 209 
547         }
548 }
549
550 211 \1d\ 5
551         $FLASH_Wr\99eH®fWÜd
552 (\1d\12
553 adr
554\1d\16\12
555 d©a
556 )
557
558 213 \1d\ 5
559 æag
560  = 0;
561
562 216 
563 IFÏg
564  = 
565         `FLASH_SaveDi§bËIÁ\94ru±FÏg
566 ();
567
568 219 
569         `FLASH_P»·»Wr\99eH®fWÜdMode
570 ();
571
572 222 *
573 h£q_1
574  = 0x00AA;
575
576 223 *
577 h£q_2
578  = 0x0055;
579
580 224 *
581 h£q_1
582  = 0x00A0;
583
584 225 *((vÞ©\9e\90\1d\16\12*)
585 adr
586 èð
587 d©a
588 ;
589
590 228 \1f 
591 æag
592  == 0 )
593
594 231 
595 HWWD_CL
596  = 0;
597
598 233 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
599 adr
600  & 
601 DPOLL
602  ) =ð(
603 d©a
604  & DPOLL) )
605
606 235 
607 æag
608  = 1;
609
610 237 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
611 adr
612  & 
613 TLOVER
614  ) == TLOVER )
615
616 239 ifÐÐ*(vÞ©\9e\90\1d\16\12*)
617 adr
618  & 
619 DPOLL
620  ) =ð(
621 d©a
622  & DPOLL) )
623
624 241 
625 æag
626  = 1;
627
628 246 *
629 h£q_1
630  = 0x00F0;
631
632 248 
633 æag
634  = 2;
635
636 254 
637         `FLASH_P»·»R\97dMode
638 ();
639
640 257 
641         `FLASH_Re¡ÜeIÁ\94ru±FÏg
642 (
643 IFÏg
644 );
645
646 259 \15 
647 æag
648 ;
649
650 260 
651         }
652 }
653
654 263 \1d\ 5
655         $FLASH_R\97dRe£t
656 ()
657
658 265 *
659 h£q_1
660  = 0x00F0;
661
662 268 
663         }
664 }
665
666 270 #´agm¨
667 asm
668
669
670 271 
671         g_FLASH_SaveDi§bËIÁ\94ru±FÏg
672 :
673
674 272 
675         $STM0
676  (
677 R0
678 )
679
680 273 
681 MOV
682  
683 PS
684 ,
685 R4
686
687
688 274 
689 LDI
690  #0
691 x00000010
692 ,
693 R0
694
695
696 275 
697 AND
698  
699 R0
700 ,
701 R4
702  ; 
703 StÜe
704  
705 Orig\9a®
706  
707 FÏg
708
709
710 276 
711 ANDCCR
712  #0
713 xFFFFFFEF
714  ; 
715
716  
717\94ru±
718  
719 FÏg
720
721
722 277 
723         $LDM0
724  (
725 R0
726 )
727
728 278 
729 RET
730
731
732 279 #´agm¨
733 \92dasm
734
735
736 282 #´agm¨
737 asm
738
739
740 283 
741 _FLASH_Re¡ÜeIÁ\94ru±FÏg
742 :
743
744 284 
745         $STM0
746  (
747 R0
748 )
749
750 285 
751 MOV
752  
753 PS
754 ,
755 R0
756  ; 
757 G\91
758  
759 cu¼\92t
760  PS
761
762 286 
763 OR
764  
765 R4
766 ,
767 R0
768  ; 
769 S\91
770  
771 FÏg
772  
773 as
774  
775 §ved
776
777
778 287 
779 MOV
780  
781 R0
782 ,
783 PS
784  ; 
785 Wr\99e
786  
787 back
788  PS
789
790 288 
791         $LDM0
792  (
793 R0
794 )
795
796 289 
797 RET
798
799
800 290 #´agm¨
801 \92dasm
802
803
804 293 \1d\ 5
805         $FLASH_Su¥\92dSeùÜE¿£
806 (\1d\12
807 £ÿddr
808 )
809
810 296 *(vÞ©\9e\90\1d\16\12*)
811 £ÿddr
812  = 0x00B0;
813
814 299 \1f!(*(\1d\16\12*)
815 £ÿddr
816  & 
817 DPOLL
818 è&& (*(\1d\16\12*)£ÿdd¸ & 
819 SETIMR
820 ))
821
822 301 
823 HWWD_CL
824 =0;
825
826 305 
827         }
828 }
829
830 307 \1d\ 5
831         $FLASH_ResumeSeùÜE¿£
832 (\1d\12
833 £ÿddr
834 )
835
836 310 *(vÞ©\9e\90\1d\16\12*)
837 £ÿddr
838  = 0x0030;
839
840 313 \1f(*(\1d\16\12*)
841 £ÿddr
842  & 
843 DPOLL
844 è&& !(*(\1d\16\12*)£ÿdd¸ & 
845 SETIMR
846 ))
847
848 315 
849 HWWD_CL
850 =0;
851
852 319 
853         }
854 }
855
856 321 \1d\ 5
857         $FLASH_CheckP\92d\9agIÁ\94ru±
858 ()
859
860 324 if(
861 TMCSR0_UF
862\15 1;
863
864 328 
865         }
866 }
867
868         @Flash.h
869
870 8 #iâdeà
871 __FLASH_H__
872
873
874\ 1
875         #__FLASH_H__
876
877
878         )
879
880 11 \ 2
881         ~"MB91465K.H
882 "
883
884 13 \ 1
885         #h£q_1
886  ((vÞ©\9e\90\1d\16\12*)0x000A1557)
887
888         )
889
890 14 \ 1
891         #h£q_2
892  ((vÞ©\9e\90\1d\16\12*)0x000A0AAF)
893
894         )
895
896 17 \ 1
897         #DPOLL
898  0x0080
899
900         )
901
902 18 \ 1
903         #TLOVER
904  0x0020
905
906         )
907
908 19 \ 1
909         #SETIMR
910  0x0008
911
912         )
913
914 21 \1e
915 FLASH_P»·»Wr\99eH®fWÜdMode
916 ();
917
918 22 \1e
919 FLASH_P»·»R\97dMode
920 ();
921
922 23 \1d\ 5
923 FLASH_Wr\99eH®fWÜd
924 (\1d\12
925 adr
926\1d\16\12
927 d©a
928 );
929
930 24 \1d\ 5
931 FLASH_SeùÜE¿£
932 (\1d\12
933 £c_adr
934 );
935
936 25 \1d\ 5
937 FLASH_Ch\9dE¿£
938 (\1e);
939
940 26 \1d\ 5
941 FLASH_SeùÜBÏnkCheck
942 (\1d\12
943 £ÿddr
944\1d\12
945 size
946 );
947
948 27 \1d\ 5
949 FLASH_R\97dRe£t
950 (\1e);
951
952 28 \1d\ 5
953 FLASH_Su¥\92dSeùÜE¿£
954 (\1d\12
955 £ÿddr
956 );
957
958 29 \1d\ 5
959 FLASH_ResumeSeùÜE¿£
960 (\1d\12
961 £ÿddr
962 );
963
964 30 \1d\12
965 FLASH_SaveDi§bËIÁ\94ru±FÏg
966 (\1e);
967
968 31 \1e
969 FLASH_Re¡ÜeIÁ\94ru±FÏg
970 (\1d\12
971 æag
972 );
973
974 32 \1d\ 5
975 FLASH_CheckP\92d\9agIÁ\94ru±
976 (\1e);
977
978         @MAIN.c
979
980 15 \ 2
981         ~"mb91465k.h
982 "
983
984 16 \ 2
985         ~"veùÜs.h
986 "
987
988 17 \ 2
989         ~"RLT.h
990 "
991
992 18 \ 2
993         ~"FÏsh.h
994 "
995
996 39 \1e
997         $ma\9a
998 (\1e)
999
1000 41 \1d\ 5
1001 \94
1002  = 0;
1003
1004 42 \1d\ 5
1005 glob®_\94
1006  = 0;
1007
1008 43 \1d\12
1009 i
1010 ;
1011
1012 46 
1013 CSCFG_MONCKI
1014  = 1;
1015
1016 47 
1017 CMCFG
1018  = 0x0D;
1019
1020 49 
1021         `__EI
1022 ();
1023
1024 50 
1025         `__£t_\9e
1026 (31);
1027
1028 51 
1029         `In\99IrqLev\96s
1030 ();
1031
1032 53 
1033 PORTEN
1034  = 0x3;
1035
1036 58 
1037 DDR27
1038  = 0xFF;
1039
1040 59 
1041 PDR27
1042  = 0x00;
1043
1044 62 
1045         `RLT_In\99\9flizeTim\94
1046 (0, 
1047 RLT_RUMMODE_RELOAD
1048
1049 RLT_CLOCKMODE_DIV32
1050
1051 RLT_TRIGGER_SOFTWARE
1052
1053 RLT_OUTOUTMODE_HIGHLEVEL
1054 );
1055
1056 63 
1057         `RLT_S\91R\96ßdV®ue
1058 (0,0x1388);
1059
1060 64 
1061         `RLT_EÇbËIÁ\94ru±
1062 (0);
1063
1064 65 
1065         `RLT_Trigg\94Tim\94
1066 (0);
1067
1068 68 
1069         `In\99U¬t4
1070 ();
1071
1072 71 
1073         `Puts4
1074 (" \n\n");
1075
1076 72 
1077         `Puts4
1078 ("\n\n********** Welcome\81o FUJITSU FLASH Programming Demo **********\n");
1079
1080 75 
1081         `Puts4
1082 ("Blank Check of FLASH Sector\87t 0xA0000 ... ");
1083
1084 76 
1085 \94
1086  = 
1087         `FLASH_SeùÜBÏnkCheck
1088 (0xA0000, 0x4000);
1089
1090 77 ifÐ
1091 \94
1092  == 1 )
1093
1094 79 
1095         `Puts4
1096 ("done.\n");
1097
1098 83 
1099         `Puts4
1100 ("failed.\n");
1101
1102 87 
1103 i
1104 =0;
1105
1106 88 
1107         `Puts4
1108 ("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
1109
1110 89 \1f
1111 i
1112  < 0x20)
1113
1114 91 
1115         `Puts4
1116 ("0x"); 
1117         `Puthex4
1118 Ð*(\1d\ 5*)(0xA0000 + 
1119 i
1120 ), 2); Puts4(" ");
1121
1122 92 
1123 i
1124 ++;
1125
1126 93 ifÐ(
1127 i
1128  % 0x10è=ð0 ) 
1129         `Puts4
1130 ("\n");
1131
1132 95 
1133         `Puts4
1134 ("\n");
1135
1136 98 
1137         `Puts4
1138 ("Sector Erase of 0xA0000 ... ");
1139
1140 99 
1141 \94
1142  = 
1143         `FLASH_SeùÜE¿£
1144 (0xA0000);
1145
1146 100 
1147 \94
1148  = 
1149         `FLASH_SeùÜE¿£
1150 (0xA0004);
1151
1152 101 ifÐ
1153 \94
1154  == 1 )
1155
1156 103 
1157         `Puts4
1158 ("done.\n");
1159
1160 107 
1161 glob®_\94
1162  = 1;
1163
1164 108 
1165         `Puts4
1166 ("failed.\n");
1167
1168 112 
1169         `Puts4
1170 ("Blank Check of FLASH Sector\87t 0xA0000 ... ");
1171
1172 113 
1173 \94
1174  = 
1175         `FLASH_SeùÜBÏnkCheck
1176 (0xA0000, 0x4000);
1177
1178 114 ifÐ
1179 \94
1180  == 1 )
1181
1182 116 
1183         `Puts4
1184 ("done.\n");
1185
1186 120 
1187 glob®_\94
1188  = 1;
1189
1190 121 
1191         `Puts4
1192 ("failed.\n");
1193
1194 125 
1195 i
1196 =0;
1197
1198 126 
1199         `Puts4
1200 ("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
1201
1202 127 \1f
1203 i
1204  < 0x20)
1205
1206 129 
1207         `Puts4
1208 ("0x"); 
1209         `Puthex4
1210 Ð*(\1d\ 5*)(0xA0000 + 
1211 i
1212 ), 2); Puts4(" ");
1213
1214 130 
1215 i
1216 ++;
1217
1218 131 ifÐ(
1219 i
1220  % 0x10è=ð0 ) 
1221         `Puts4
1222 ("\n");
1223
1224 133 
1225         `Puts4
1226 ("\n");
1227
1228 136 
1229         `Puts4
1230 ("Write 0x55AA\81o 0xA0002 ... ");
1231
1232 137 
1233 \94
1234  = 
1235         `FLASH_Wr\99eH®fWÜd
1236 (0xA0002,0x55AA);
1237
1238 138 ifÐ
1239 \94
1240  == 1 )
1241
1242 140 
1243         `Puts4
1244 ("done.\n");
1245
1246 144 
1247 glob®_\94
1248  = 1;
1249
1250 145 
1251         `Puts4
1252 ("failed.\n");
1253
1254 149 
1255         `Puts4
1256 ("Write 0x33CC\81o 0xA0004 ... ");
1257
1258 150 
1259 \94
1260  = 
1261         `FLASH_Wr\99eH®fWÜd
1262 (0xA0004,0x33CC);
1263
1264 151 ifÐ
1265 \94
1266  == 1 )
1267
1268 153 
1269         `Puts4
1270 ("done.\n");
1271
1272 157 
1273 glob®_\94
1274  = 1;
1275
1276 158 
1277         `Puts4
1278 ("failed.\n");
1279
1280 162 
1281 i
1282 =0;
1283
1284 163 
1285         `Puts4
1286 ("\nCurrent Content of FLASH\87t 0xA0000 ... 0xA001F:\n");
1287
1288 164 \1f
1289 i
1290  < 0x20)
1291
1292 166 
1293         `Puts4
1294 ("0x"); 
1295         `Puthex4
1296 Ð*(\1d\ 5*)(0xA0000 + 
1297 i
1298 ), 2); Puts4(" ");
1299
1300 167 
1301 i
1302 ++;
1303
1304 168 ifÐ(
1305 i
1306  % 0x10è=ð0 ) 
1307         `Puts4
1308 ("\n");
1309
1310 170 
1311         `Puts4
1312 ("\n");
1313
1314 174 ifÐ
1315 glob®_\94
1316  != 0 )
1317
1318 176 
1319         `Puts4
1320 ("\n********* FLASH Programming Demo failed **********\n");
1321
1322 180 
1323         `Puts4
1324 ("\n********* FLASH Programming Demo done **********\n");
1325
1326 186 
1327 HWWD_CL
1328  = 0;
1329
1330 195 
1331         }
1332 }
1333
1334         @RLT.c
1335
1336\ 2
1337         ~"RLT.h
1338 "
1339
1340 10 \1e
1341         $RLT_In\99\9flizeTim\94
1342 (\1d\ 5
1343 chªÃl
1344\1d\ 5
1345 runmode
1346\1d\ 5
1347 þockmode
1348\1d\ 5
1349 \8cigg\94mode
1350\1d\ 5
1351 ou\8dutmode
1352 )
1353
1354 12 \1d\16
1355 £tv®ue
1356  = 0x00;
1357
1358 15 
1359 £tv®ue
1360  |ðÐ(
1361 þockmode
1362  << 10è| (
1363 \8cigg\94mode
1364  << 7è| ((
1365 ou\8dutmode
1366  & 0x01è<< 5è| ((
1367 runmode
1368  & 0x01) << 4) | 0x02 );
1369
1370 18 \1a
1371 chªÃl
1372 )
1373
1374 22 
1375 TMCSR0
1376  = 
1377 £tv®ue
1378 ;
1379
1380 27 
1381 TMCSR1
1382  = 
1383 £tv®ue
1384 ;
1385
1386 32 
1387 TMCSR2
1388  = 
1389 £tv®ue
1390 ;
1391
1392 37 
1393 TMCSR3
1394  = 
1395 £tv®ue
1396 ;
1397
1398 42 
1399 TMCSR4
1400  = 
1401 £tv®ue
1402 ;
1403
1404 47 
1405 TMCSR5
1406  = 
1407 £tv®ue
1408 ;
1409
1410 52 
1411 TMCSR6
1412  = 
1413 £tv®ue
1414 ;
1415
1416 57 
1417 TMCSR7
1418  = 
1419 £tv®ue
1420 ;
1421
1422 61 
1423         }
1424 }
1425
1426 63 \1e
1427         $RLT_S\91R\96ßdV®ue
1428 (\1d\ 5
1429 chªÃl
1430\1d\16\12
1431 v®ue
1432 )
1433
1434 65 \1a
1435 chªÃl
1436 )
1437
1438 69 
1439 TMRLR0
1440  = 
1441 v®ue
1442 ;
1443
1444 74 
1445 TMRLR1
1446  = 
1447 v®ue
1448 ;
1449
1450 79 
1451 TMRLR2
1452  = 
1453 v®ue
1454 ;
1455
1456 84 
1457 TMRLR3
1458  = 
1459 v®ue
1460 ;
1461
1462 89 
1463 TMRLR4
1464  = 
1465 v®ue
1466 ;
1467
1468 94 
1469 TMRLR5
1470  = 
1471 v®ue
1472 ;
1473
1474 99 
1475 TMRLR6
1476  = 
1477 v®ue
1478 ;
1479
1480 104 
1481 TMRLR7
1482  = 
1483 v®ue
1484 ;
1485
1486 108 
1487         }
1488 }
1489
1490 110 \1e
1491         $RLT_Trigg\94Tim\94
1492 (\1d\ 5
1493 chªÃl
1494 )
1495
1496 112 \1a
1497 chªÃl
1498 )
1499
1500 116 
1501 TMCSR0_TRG
1502  = 1;
1503
1504 121 
1505 TMCSR1_TRG
1506  = 1;
1507
1508 126 
1509 TMCSR2_TRG
1510  = 1;
1511
1512 131 
1513 TMCSR3_TRG
1514  = 1;
1515
1516 136 
1517 TMCSR4_TRG
1518  = 1;
1519
1520 141 
1521 TMCSR5_TRG
1522  = 1;
1523
1524 146 
1525 TMCSR6_TRG
1526  = 1;
1527
1528 151 
1529 TMCSR7_TRG
1530  = 1;
1531
1532 155 
1533         }
1534 }
1535
1536 157 \1e
1537         $RLT_EÇbËIÁ\94ru±
1538 (\1d\ 5
1539 chªÃl
1540 )
1541
1542 159 \1a
1543 chªÃl
1544 )
1545
1546 164 
1547 TMCSR0_UF
1548  = 0;
1549
1550 167 
1551 TMCSR0_INTE
1552  = 1;
1553
1554 173 
1555 TMCSR1_UF
1556  = 0;
1557
1558 176 
1559 TMCSR1_INTE
1560  = 1;
1561
1562 182 
1563 TMCSR2_UF
1564  = 0;
1565
1566 185 
1567 TMCSR2_INTE
1568  = 1;
1569
1570 191 
1571 TMCSR3_UF
1572  = 0;
1573
1574 194 
1575 TMCSR3_INTE
1576  = 1;
1577
1578 200 
1579 TMCSR4_UF
1580  = 0;
1581
1582 203 
1583 TMCSR4_INTE
1584  = 1;
1585
1586 209 
1587 TMCSR5_UF
1588  = 0;
1589
1590 212 
1591 TMCSR5_INTE
1592  = 1;
1593
1594 218 
1595 TMCSR6_UF
1596  = 0;
1597
1598 221 
1599 TMCSR6_INTE
1600  = 1;
1601
1602 227 
1603 TMCSR7_UF
1604  = 0;
1605
1606 230 
1607 TMCSR7_INTE
1608  = 1;
1609
1610 234 
1611         }
1612 }
1613
1614 236 
1615 __\9a\8b¼u±
1616  \1e
1617         $RLT_ChªÃl0_ISR
1618 ()
1619
1620 239 
1621 TMCSR0_UF
1622  = 0;
1623
1624 242 
1625 PDR27
1626 ++;
1627
1628 243 
1629         }
1630 }
1631
1632 245 
1633 __\9a\8b¼u±
1634  \1e
1635         $RLT_ChªÃl1_ISR
1636 ()
1637
1638 248 
1639 TMCSR1_UF
1640  = 0;
1641
1642 251 
1643         }
1644 }
1645
1646 253 
1647 __\9a\8b¼u±
1648  \1e
1649         $RLT_ChªÃl2_ISR
1650 ()
1651
1652 256 
1653 TMCSR2_UF
1654  = 0;
1655
1656 259 
1657         }
1658 }
1659
1660 261 
1661 __\9a\8b¼u±
1662  \1e
1663         $RLT_ChªÃl3_ISR
1664 ()
1665
1666 264 
1667 TMCSR3_UF
1668  = 0;
1669
1670 267 
1671         }
1672 }
1673
1674 269 
1675 __\9a\8b¼u±
1676  \1e
1677         $RLT_ChªÃl4_ISR
1678 ()
1679
1680 272 
1681 TMCSR4_UF
1682  = 0;
1683
1684 275 
1685         }
1686 }
1687
1688 277 
1689 __\9a\8b¼u±
1690  \1e
1691         $RLT_ChªÃl5_ISR
1692 ()
1693
1694 280 
1695 TMCSR5_UF
1696  = 0;
1697
1698 283 
1699         }
1700 }
1701
1702 285 
1703 __\9a\8b¼u±
1704  \1e
1705         $RLT_ChªÃl6_ISR
1706 ()
1707
1708 288 
1709 TMCSR6_UF
1710  = 0;
1711
1712 291 
1713         }
1714 }
1715
1716 293 
1717 __\9a\8b¼u±
1718  \1e
1719         $RLT_ChªÃl7_ISR
1720 ()
1721
1722 296 
1723 TMCSR7_UF
1724  = 0;
1725
1726 299 
1727         }
1728 }
1729
1730         @RLT.h
1731
1732 8 #iâdeà
1733 __RLT_H__
1734
1735
1736\ 1
1737         #__RLT_H__
1738
1739
1740         )
1741
1742 11 \ 2
1743         ~"MB91465K.h
1744 "
1745
1746 13 \ 1
1747         #RLT_RUNMODE_ONESHOT
1748  0x00
1749
1750         )
1751
1752 14 \ 1
1753         #RLT_RUMMODE_RELOAD
1754  0x01
1755
1756         )
1757
1758 16 \ 1
1759         #RLT_CLOCKMODE_DIV2
1760  0x00
1761
1762         )
1763
1764 17 \ 1
1765         #RLT_CLOCKMODE_DIV8
1766  0x01
1767
1768         )
1769
1770 18 \ 1
1771         #RLT_CLOCKMODE_DIV32
1772  0x02
1773
1774         )
1775
1776 19 \ 1
1777         #RLT_CLOCKMODE_EXT
1778  0x03
1779
1780         )
1781
1782 20 \ 1
1783         #RLT_CLOCKMODE_DIV64
1784  0x05
1785
1786         )
1787
1788 21 \ 1
1789         #RLT_CLOCKMODE_DIV128
1790  0x06
1791
1792         )
1793
1794 23 \ 1
1795         #RLT_TRIGGER_SOFTWARE
1796  0x00
1797
1798         )
1799
1800 24 \ 1
1801         #RLT_TRIGGER_EXT_FALLINGEDGE
1802  0x01
1803
1804         )
1805
1806 25 \ 1
1807         #RLT_TRIGGER_EXT_RISINGEDGE
1808  0x02
1809
1810         )
1811
1812 26 \ 1
1813         #RLT_TRIGGER_BOTHEDGES
1814  0x03
1815
1816         )
1817
1818 28 \ 1
1819         #RLT_OUTOUTMODE_HIGHLEVEL
1820  0x00
1821
1822         )
1823
1824 29 \ 1
1825         #RLT_OUTPUTMODE_LOWLEVEL
1826  0x01
1827
1828         )
1829
1830 31 \1e
1831 RLT_In\99\9flizeTim\94
1832 (\1d\ 5
1833 chªÃl
1834\1d\ 5
1835 runmode
1836\1d\ 5
1837 þockmode
1838\1d\ 5
1839 \8cigg\94mode
1840\1d\ 5
1841 ou\8dutmode
1842 );
1843
1844 32 \1e
1845 RLT_S\91R\96ßdV®ue
1846 (\1d\ 5
1847 chªÃl
1848\1d\16\12
1849 v®ue
1850 );
1851
1852 33 \1e
1853 RLT_EÇbËIÁ\94ru±
1854 (\1d\ 5
1855 chªÃl
1856 );
1857
1858 34 \1e
1859 RLT_Trigg\94Tim\94
1860 (\1d\ 5
1861 chªÃl
1862 );
1863
1864 36 
1865 __\9a\8b¼u±
1866  \1e
1867 RLT_ChªÃl0_ISR
1868 ();
1869
1870 37 
1871 __\9a\8b¼u±
1872  \1e
1873 RLT_ChªÃl1_ISR
1874 ();
1875
1876 38 
1877 __\9a\8b¼u±
1878  \1e
1879 RLT_ChªÃl2_ISR
1880 ();
1881
1882 39 
1883 __\9a\8b¼u±
1884  \1e
1885 RLT_ChªÃl3_ISR
1886 ();
1887
1888 40 
1889 __\9a\8b¼u±
1890  \1e
1891 RLT_ChªÃl4_ISR
1892 ();
1893
1894 41 
1895 __\9a\8b¼u±
1896  \1e
1897 RLT_ChªÃl5_ISR
1898 ();
1899
1900 42 
1901 __\9a\8b¼u±
1902  \1e
1903 RLT_ChªÃl6_ISR
1904 ();
1905
1906 43 
1907 __\9a\8b¼u±
1908  \1e
1909 RLT_ChªÃl7_ISR
1910 ();
1911
1912         @mb91465k.h
1913
1914 32 #ifdeà 
1915 __IO_DEFINE
1916
1917
1918 33 \ 1
1919         #__IO_EXTERN
1920
1921
1922         )
1923
1924 35 \ 1
1925         #__IO_EXTERN
1926  \rvÞ©\9ee
1927
1928         )
1929
1930 37 #ifdeà
1931 __IO_DEFINE
1932
1933
1934 38 #´agm¨
1935 asm
1936
1937
1938 39 .
1939 GLOBAL
1940  
1941 _pdr14
1942
1943 _pdr15
1944
1945 _pdr16
1946
1947 _pdr17
1948
1949 _pdr18
1950
1951 _pdr19
1952
1953
1954 40 .
1955 GLOBAL
1956  
1957 _pdr20
1958
1959 _pdr21
1960
1961 _pdr22
1962
1963 _pdr24
1964
1965 _pdr26
1966
1967 _pdr27
1968
1969
1970 41 .
1971 GLOBAL
1972  
1973 _pdr28
1974
1975 _pdr29
1976
1977 _e\9cr0
1978
1979 _\92\9c0
1980
1981 _\96vr0
1982
1983 _e\9cr1
1984
1985
1986 42 .
1987 GLOBAL
1988  
1989 _\92\9c1
1990
1991 _\96vr1
1992
1993 _diü
1994
1995 _hrþ
1996
1997 _rbsync
1998
1999 _sü00
2000
2001
2002 43 .
2003 GLOBAL
2004  
2005 _smr00
2006
2007 _s¤00
2008
2009 _rdr00
2010
2011 _tdr00
2012
2013 _esü00
2014
2015 _ecü00
2016
2017
2018 44 .
2019 GLOBAL
2020  
2021 _sü01
2022
2023 _smr01
2024
2025 _s¤01
2026
2027 _rdr01
2028
2029 _tdr01
2030
2031 _esü01
2032
2033
2034 45 .
2035 GLOBAL
2036  
2037 _ecü01
2038
2039 _sü02
2040
2041 _smr02
2042
2043 _s¤02
2044
2045 _rdr02
2046
2047 _tdr02
2048
2049
2050 46 .
2051 GLOBAL
2052  
2053 _esü02
2054
2055 _ecü02
2056
2057 _sü03
2058
2059 _smr03
2060
2061 _s¤03
2062
2063 _rdr03
2064
2065
2066 47 .
2067 GLOBAL
2068  
2069 _tdr03
2070
2071 _esü03
2072
2073 _ecü03
2074
2075 _sü04
2076
2077 _smr04
2078
2079 _s¤04
2080
2081
2082 48 .
2083 GLOBAL
2084  
2085 _rdr04
2086
2087 _tdr04
2088
2089 _esü04
2090
2091 _ecü04
2092
2093 _f¤04
2094
2095 _fü04
2096
2097
2098 49 .
2099 GLOBAL
2100  
2101 _bgr00
2102
2103 _bgr100
2104
2105 _bgr000
2106
2107 _bgr01
2108
2109 _bgr101
2110
2111 _bgr001
2112
2113
2114 50 .
2115 GLOBAL
2116  
2117 _bgr02
2118
2119 _bgr102
2120
2121 _bgr002
2122
2123 _bgr03
2124
2125 _bgr103
2126
2127 _bgr003
2128
2129
2130 51 .
2131 GLOBAL
2132  
2133 _bgr04
2134
2135 _bgr104
2136
2137 _bgr004
2138
2139 _ibü0
2140
2141 _ib¤0
2142
2143 _\99ba0
2144
2145
2146 52 .
2147 GLOBAL
2148  
2149 _\99bah0
2150
2151 _\99b®0
2152
2153 _\99mk0
2154
2155 _\99mkh0
2156
2157 _\99mkl0
2158
2159 _ismk0
2160
2161
2162 53 .
2163 GLOBAL
2164  
2165 _isba0
2166
2167 _id¬0
2168
2169 _icü0
2170
2171 _gú10
2172
2173 _gú20
2174
2175 _gú11
2176
2177
2178 54 .
2179 GLOBAL
2180  
2181 _gú21
2182
2183 _gú12
2184
2185 _gú22
2186
2187 _±mr00
2188
2189 _pc¤00
2190
2191 _pdut00
2192
2193
2194 55 .
2195 GLOBAL
2196  
2197 _pú00
2198
2199 _púh00
2200
2201 _púl00
2202
2203 _±mr01
2204
2205 _pc¤01
2206
2207 _pdut01
2208
2209
2210 56 .
2211 GLOBAL
2212  
2213 _pú01
2214
2215 _púh01
2216
2217 _púl01
2218
2219 _±mr02
2220
2221 _pc¤02
2222
2223 _pdut02
2224
2225
2226 57 .
2227 GLOBAL
2228  
2229 _pú02
2230
2231 _púh02
2232
2233 _púl02
2234
2235 _±mr03
2236
2237 _pc¤03
2238
2239 _pdut03
2240
2241
2242 58 .
2243 GLOBAL
2244  
2245 _pú03
2246
2247 _púh03
2248
2249 _púl03
2250
2251 _±mr04
2252
2253 _pc¤04
2254
2255 _pdut04
2256
2257
2258 59 .
2259 GLOBAL
2260  
2261 _pú04
2262
2263 _púh04
2264
2265 _púl04
2266
2267 _±mr05
2268
2269 _pc¤05
2270
2271 _pdut05
2272
2273
2274 60 .
2275 GLOBAL
2276  
2277 _pú05
2278
2279 _púh05
2280
2281 _púl05
2282
2283 _±mr06
2284
2285 _pc¤06
2286
2287 _pdut06
2288
2289
2290 61 .
2291 GLOBAL
2292  
2293 _pú06
2294
2295 _púh06
2296
2297 _púl06
2298
2299 _±mr07
2300
2301 _pc¤07
2302
2303 _pdut07
2304
2305
2306 62 .
2307 GLOBAL
2308  
2309 _pú07
2310
2311 _púh07
2312
2313 _púl07
2314
2315 _±mr08
2316
2317 _pc¤08
2318
2319 _pdut08
2320
2321
2322 63 .
2323 GLOBAL
2324  
2325 _pú08
2326
2327 _púh08
2328
2329 _púl08
2330
2331 _±mr09
2332
2333 _pc¤09
2334
2335 _pdut09
2336
2337
2338 64 .
2339 GLOBAL
2340  
2341 _pú09
2342
2343 _púh09
2344
2345 _púl09
2346
2347 _±mr10
2348
2349 _pc¤10
2350
2351 _pdut10
2352
2353
2354 65 .
2355 GLOBAL
2356  
2357 _pú10
2358
2359 _púh10
2360
2361 _púl10
2362
2363 _±mr11
2364
2365 _pc¤11
2366
2367 _pdut11
2368
2369
2370 66 .
2371 GLOBAL
2372  
2373 _pú11
2374
2375 _púh11
2376
2377 _púl11
2378
2379 _ics01
2380
2381 _ics23
2382
2383 _\9dý0
2384
2385
2386 67 .
2387 GLOBAL
2388  
2389 _\9dý1
2390
2391 _\9dý2
2392
2393 _\9dý3
2394
2395 _ocs01
2396
2397 _ocs23
2398
2399 _ocý0
2400
2401
2402 68 .
2403 GLOBAL
2404  
2405 _ocý1
2406
2407 _ocý2
2408
2409 _ocý3
2410
2411 _ad\94h
2412
2413 _ad\94l
2414
2415 _ad\94
2416
2417
2418 69 .
2419 GLOBAL
2420  
2421 _adcs1
2422
2423 _adcs0
2424
2425 _adcs
2426
2427 _adü1
2428
2429 _adü0
2430
2431 _adü
2432
2433
2434 70 .
2435 GLOBAL
2436  
2437 _adù1
2438
2439 _adù0
2440
2441 _adù
2442
2443 _adsch
2444
2445 _adech
2446
2447 _tm¾r0
2448
2449
2450 71 .
2451 GLOBAL
2452  
2453 _tmr0
2454
2455 _tmc¤0
2456
2457 _tmc¤h0
2458
2459 _tmc¤l0
2460
2461 _tm¾r1
2462
2463 _tmr1
2464
2465
2466 72 .
2467 GLOBAL
2468  
2469 _tmc¤1
2470
2471 _tmc¤h1
2472
2473 _tmc¤l1
2474
2475 _tm¾r2
2476
2477 _tmr2
2478
2479 _tmc¤2
2480
2481
2482 73 .
2483 GLOBAL
2484  
2485 _tmc¤h2
2486
2487 _tmc¤l2
2488
2489 _tm¾r3
2490
2491 _tmr3
2492
2493 _tmc¤3
2494
2495 _tmc¤h3
2496
2497
2498 74 .
2499 GLOBAL
2500  
2501 _tmc¤l3
2502
2503 _tm¾r4
2504
2505 _tmr4
2506
2507 _tmc¤4
2508
2509 _tmc¤h4
2510
2511 _tmc¤l4
2512
2513
2514 75 .
2515 GLOBAL
2516  
2517 _tm¾r5
2518
2519 _tmr5
2520
2521 _tmc¤5
2522
2523 _tmc¤h5
2524
2525 _tmc¤l5
2526
2527 _tm¾r6
2528
2529
2530 76 .
2531 GLOBAL
2532  
2533 _tmr6
2534
2535 _tmc¤6
2536
2537 _tmc¤h6
2538
2539 _tmc¤l6
2540
2541 _tm¾r7
2542
2543 _tmr7
2544
2545
2546 77 .
2547 GLOBAL
2548  
2549 _tmc¤7
2550
2551 _tmc¤h7
2552
2553 _tmc¤l7
2554
2555 _tcdt0
2556
2557 _tccs0
2558
2559 _tcdt1
2560
2561
2562 78 .
2563 GLOBAL
2564  
2565 _tccs1
2566
2567 _tcdt2
2568
2569 _tccs2
2570
2571 _tcdt3
2572
2573 _tccs3
2574
2575 _dmaÿ0
2576
2577
2578 79 .
2579 GLOBAL
2580  
2581 _dmacb0
2582
2583 _dmaÿ1
2584
2585 _dmacb1
2586
2587 _dmaÿ2
2588
2589 _dmacb2
2590
2591 _dmaÿ3
2592
2593
2594 80 .
2595 GLOBAL
2596  
2597 _dmacb3
2598
2599 _dmaÿ4
2600
2601 _dmacb4
2602
2603 _dmaü
2604
2605 _ics45
2606
2607 _ics67
2608
2609
2610 81 .
2611 GLOBAL
2612  
2613 _\9dý4
2614
2615 _\9dý5
2616
2617 _\9dý6
2618
2619 _\9dý7
2620
2621 _ocs45
2622
2623 _ocs67
2624
2625
2626 82 .
2627 GLOBAL
2628  
2629 _ocý4
2630
2631 _ocý5
2632
2633 _ocý6
2634
2635 _ocý7
2636
2637 _tcdt4
2638
2639 _tccs4
2640
2641
2642 83 .
2643 GLOBAL
2644  
2645 _tcdt5
2646
2647 _tccs5
2648
2649 _tcdt6
2650
2651 _tccs6
2652
2653 _tcdt7
2654
2655 _tccs7
2656
2657
2658 84 .
2659 GLOBAL
2660  
2661 _roms
2662
2663 _bsd0
2664
2665 _bsd1
2666
2667 _bsdc
2668
2669 _b¤r
2670
2671 _iü00
2672
2673
2674 85 .
2675 GLOBAL
2676  
2677 _iü01
2678
2679 _iü02
2680
2681 _iü03
2682
2683 _iü04
2684
2685 _iü05
2686
2687 _iü06
2688
2689
2690 86 .
2691 GLOBAL
2692  
2693 _iü07
2694
2695 _iü08
2696
2697 _iü09
2698
2699 _iü10
2700
2701 _iü11
2702
2703 _iü12
2704
2705
2706 87 .
2707 GLOBAL
2708  
2709 _iü13
2710
2711 _iü14
2712
2713 _iü15
2714
2715 _iü16
2716
2717 _iü17
2718
2719 _iü18
2720
2721
2722 88 .
2723 GLOBAL
2724  
2725 _iü19
2726
2727 _iü20
2728
2729 _iü21
2730
2731 _iü22
2732
2733 _iü23
2734
2735 _iü24
2736
2737
2738 89 .
2739 GLOBAL
2740  
2741 _iü25
2742
2743 _iü26
2744
2745 _iü27
2746
2747 _iü28
2748
2749 _iü29
2750
2751 _iü30
2752
2753
2754 90 .
2755 GLOBAL
2756  
2757 _iü31
2758
2759 _iü32
2760
2761 _iü33
2762
2763 _iü34
2764
2765 _iü35
2766
2767 _iü36
2768
2769
2770 91 .
2771 GLOBAL
2772  
2773 _iü37
2774
2775 _iü38
2776
2777 _iü39
2778
2779 _iü40
2780
2781 _iü41
2782
2783 _iü42
2784
2785
2786 92 .
2787 GLOBAL
2788  
2789 _iü43
2790
2791 _iü44
2792
2793 _iü45
2794
2795 _iü46
2796
2797 _iü47
2798
2799 _iü48
2800
2801
2802 93 .
2803 GLOBAL
2804  
2805 _iü49
2806
2807 _iü50
2808
2809 _iü51
2810
2811 _iü52
2812
2813 _iü53
2814
2815 _iü54
2816
2817
2818 94 .
2819 GLOBAL
2820  
2821 _iü55
2822
2823 _iü56
2824
2825 _iü57
2826
2827 _iü58
2828
2829 _iü59
2830
2831 _iü60
2832
2833
2834 95 .
2835 GLOBAL
2836  
2837 _iü61
2838
2839 _iü62
2840
2841 _iü63
2842
2843 _r¤r
2844
2845 _¡ü
2846
2847 _tbü
2848
2849
2850 96 .
2851 GLOBAL
2852  
2853 _ùbr
2854
2855 _þkr
2856
2857 _w´
2858
2859 _divr0
2860
2861 _divr1
2862
2863 _¶ldivm
2864
2865
2866 97 .
2867 GLOBAL
2868  
2869 _¶ldivn
2870
2871 _¶ldivg
2872
2873 _¶lmulg
2874
2875 _¶lù¾
2876
2877 _oscc1
2878
2879 _oscs1
2880
2881
2882 98 .
2883 GLOBAL
2884  
2885 _oscc2
2886
2887 _oscs2
2888
2889 _p܋n
2890
2891 _wtûr
2892
2893 _wtü
2894
2895 _wtbr
2896
2897
2898 99 .
2899 GLOBAL
2900  
2901 _wthr
2902
2903 _wtmr
2904
2905 _wt¤
2906
2907 _csv\8c
2908
2909 _csvü
2910
2911 _cscfg
2912
2913
2914 100 .
2915 GLOBAL
2916  
2917 _cmcfg
2918
2919 _cuü
2920
2921 _cutd
2922
2923 _cu\8c1
2924
2925 _cu\8c2
2926
2927 _cm´
2928
2929
2930 101 .
2931 GLOBAL
2932  
2933 _cmü
2934
2935 _cmt1
2936
2937 _cmt2
2938
2939 _ÿÅ»
2940
2941 _ÿnckd
2942
2943 _lv£l
2944
2945
2946 102 .
2947 GLOBAL
2948  
2949 _lvd\91
2950
2951 _hwwde
2952
2953 _hwwd
2954
2955 _osüh
2956
2957 _osül
2958
2959 _wpüh
2960
2961
2962 103 .
2963 GLOBAL
2964  
2965 _wpül
2966
2967 _oscü
2968
2969 _»g£l
2970
2971 _»gùr
2972
2973 _modr
2974
2975 _pdrd14
2976
2977
2978 104 .
2979 GLOBAL
2980  
2981 _pdrd15
2982
2983 _pdrd16
2984
2985 _pdrd17
2986
2987 _pdrd18
2988
2989 _pdrd19
2990
2991 _pdrd20
2992
2993
2994 105 .
2995 GLOBAL
2996  
2997 _pdrd21
2998
2999 _pdrd22
3000
3001 _pdrd24
3002
3003 _pdrd26
3004
3005 _pdrd27
3006
3007 _pdrd28
3008
3009
3010 106 .
3011 GLOBAL
3012  
3013 _pdrd29
3014
3015 _ddr14
3016
3017 _ddr15
3018
3019 _ddr16
3020
3021 _ddr17
3022
3023 _ddr18
3024
3025
3026 107 .
3027 GLOBAL
3028  
3029 _ddr19
3030
3031 _ddr20
3032
3033 _ddr21
3034
3035 _ddr22
3036
3037 _ddr24
3038
3039 _ddr26
3040
3041
3042 108 .
3043 GLOBAL
3044  
3045 _ddr27
3046
3047 _ddr28
3048
3049 _ddr29
3050
3051 _pä14
3052
3053 _pä15
3054
3055 _pä16
3056
3057
3058 109 .
3059 GLOBAL
3060  
3061 _pä17
3062
3063 _pä18
3064
3065 _pä19
3066
3067 _pä20
3068
3069 _pä21
3070
3071 _pä22
3072
3073
3074 110 .
3075 GLOBAL
3076  
3077 _pä24
3078
3079 _pä26
3080
3081 _pä27
3082
3083 _pä28
3084
3085 _pä29
3086
3087 _\95ä14
3088
3089
3090 111 .
3091 GLOBAL
3092  
3093 _\95ä15
3094
3095 _\95ä16
3096
3097 _\95ä17
3098
3099 _\95ä18
3100
3101 _\95ä19
3102
3103 _\95ä20
3104
3105
3106 112 .
3107 GLOBAL
3108  
3109 _\95ä21
3110
3111 _\95ä22
3112
3113 _\95ä24
3114
3115 _\95ä26
3116
3117 _\95ä27
3118
3119 _\95ä29
3120
3121
3122 113 .
3123 GLOBAL
3124  
3125 _podr14
3126
3127 _podr15
3128
3129 _podr16
3130
3131 _podr17
3132
3133 _podr18
3134
3135 _podr19
3136
3137
3138 114 .
3139 GLOBAL
3140  
3141 _podr20
3142
3143 _podr21
3144
3145 _podr22
3146
3147 _podr24
3148
3149 _podr26
3150
3151 _podr27
3152
3153
3154 115 .
3155 GLOBAL
3156  
3157 _podr28
3158
3159 _podr29
3160
3161 _p\9er14
3162
3163 _p\9er15
3164
3165 _p\9er16
3166
3167 _p\9er17
3168
3169
3170 116 .
3171 GLOBAL
3172  
3173 _p\9er18
3174
3175 _p\9er19
3176
3177 _p\9er20
3178
3179 _p\9er21
3180
3181 _p\9er22
3182
3183 _p\9er24
3184
3185
3186 117 .
3187 GLOBAL
3188  
3189 _p\9er26
3190
3191 _p\9er27
3192
3193 _p\9er28
3194
3195 _p\9er29
3196
3197 _\95\9er14
3198
3199 _\95\9er15
3200
3201
3202 118 .
3203 GLOBAL
3204  
3205 _\95\9er16
3206
3207 _\95\9er17
3208
3209 _\95\9er18
3210
3211 _\95\9er19
3212
3213 _\95\9er20
3214
3215 _\95\9er21
3216
3217
3218 119 .
3219 GLOBAL
3220  
3221 _\95\9er22
3222
3223 _\95\9er24
3224
3225 _\95\9er26
3226
3227 _\95\9er27
3228
3229 _\95\9er28
3230
3231 _\95\9er29
3232
3233
3234 120 .
3235 GLOBAL
3236  
3237\9414
3238
3239\9415
3240
3241\9416
3242
3243\9417
3244
3245\9418
3246
3247\9419
3248
3249
3250 121 .
3251 GLOBAL
3252  
3253\9420
3254
3255\9421
3256
3257\9422
3258
3259\9424
3260
3261\9426
3262
3263\9427
3264
3265
3266 122 .
3267 GLOBAL
3268  
3269\9428
3270
3271\9429
3272
3273 _µü14
3274
3275 _µü15
3276
3277 _µü16
3278
3279 _µü17
3280
3281
3282 123 .
3283 GLOBAL
3284  
3285 _µü18
3286
3287 _µü19
3288
3289 _µü20
3290
3291 _µü21
3292
3293 _µü22
3294
3295 _µü24
3296
3297
3298 124 .
3299 GLOBAL
3300  
3301 _µü26
3302
3303 _µü27
3304
3305 _µü28
3306
3307 _µü29
3308
3309 _dma§0
3310
3311 _dmada0
3312
3313
3314 125 .
3315 GLOBAL
3316  
3317 _dma§1
3318
3319 _dmada1
3320
3321 _dma§2
3322
3323 _dmada2
3324
3325 _dma§3
3326
3327 _dmada3
3328
3329
3330 126 .
3331 GLOBAL
3332  
3333 _dma§4
3334
3335 _dmada4
3336
3337 _fmcs
3338
3339 _fmü
3340
3341 _fchü
3342
3343 _fmwt
3344
3345
3346 127 .
3347 GLOBAL
3348  
3349 _fmwt2
3350
3351 _fmps
3352
3353 _fmac
3354
3355 _fcha0
3356
3357 _fcha1
3358
3359 _fsü0
3360
3361
3362 128 .
3363 GLOBAL
3364  
3365 _fsü1
3366
3367 _ù¾r4
3368
3369 _¡©r4
3370
3371 _\94rút4
3372
3373 _b\8c4
3374
3375 _\9a\8c4
3376
3377
3378 129 .
3379 GLOBAL
3380  
3381 _\8b¡r4
3382
3383 _b½\944
3384
3385 _b½e4
3386
3387 _if1üeq4
3388
3389 _if1cmsk4
3390
3391 _if1msk124
3392
3393
3394 130 .
3395 GLOBAL
3396  
3397 _if1msk24
3398
3399 _if1msk14
3400
3401 _if1¬b124
3402
3403 _if1¬b24
3404
3405 _if1¬b14
3406
3407 _if1mùr4
3408
3409
3410 131 .
3411 GLOBAL
3412  
3413 _if1d\8f124
3414
3415 _if1d\8f14
3416
3417 _if1d\8f24
3418
3419 _if1dtb124
3420
3421 _if1dtb14
3422
3423 _if1dtb24
3424
3425
3426 132 .
3427 GLOBAL
3428  
3429 _if1d\8f_swp124
3430
3431 _if1d\8f_swp24
3432
3433 _if1d\8f_swp14
3434
3435 _if1dtb_swp124
3436
3437 _if1dtb_swp24
3438
3439 _if1dtb_swp14
3440
3441
3442 133 .
3443 GLOBAL
3444  
3445 _if2üeq4
3446
3447 _if2cmsk4
3448
3449 _if2msk124
3450
3451 _if2msk24
3452
3453 _if2msk14
3454
3455 _if2¬b124
3456
3457
3458 134 .
3459 GLOBAL
3460  
3461 _if2¬b24
3462
3463 _if2¬b14
3464
3465 _if2mùr4
3466
3467 _if2d\8f124
3468
3469 _if2d\8f14
3470
3471 _if2d\8f24
3472
3473
3474 135 .
3475 GLOBAL
3476  
3477 _if2dtb124
3478
3479 _if2dtb14
3480
3481 _if2dtb24
3482
3483 _if2d\8f_swp124
3484
3485 _if2d\8f_swp24
3486
3487 _if2d\8f_swp14
3488
3489
3490 136 .
3491 GLOBAL
3492  
3493 _if2dtb_swp124
3494
3495 _if2dtb_swp24
3496
3497 _if2dtb_swp14
3498
3499 _\8ceqr124
3500
3501 _\8ceqr24
3502
3503 _\8ceqr14
3504
3505
3506 137 .
3507 GLOBAL
3508  
3509 _\8ceqr344
3510
3511 _Ãwdt124
3512
3513 _Ãwdt24
3514
3515 _Ãwdt14
3516
3517 _\9a\8dnd124
3518
3519 _\9a\8dnd24
3520
3521
3522 138 .
3523 GLOBAL
3524  
3525 _\9a\8dnd14
3526
3527 _msgv®124
3528
3529 _msgv®24
3530
3531 _msgv®14
3532
3533 _bù¾
3534
3535 _b¡©
3536
3537
3538 139 .
3539 GLOBAL
3540  
3541 _b\9fc
3542
3543 _bßc
3544
3545 _b\9cq
3546
3547 _bü0
3548
3549 _bü1
3550
3551 _bad0
3552
3553
3554 140 .
3555 GLOBAL
3556  
3557 _bad1
3558
3559 _bad2
3560
3561 _bad3
3562
3563 _bad4
3564
3565 _bad5
3566
3567 _bad6
3568
3569
3570 141 .
3571 GLOBAL
3572  
3573 _bad7
3574
3575 _fsv1
3576
3577 _bsv1
3578
3579 _fsv2
3580
3581 _bsv2
3582
3583
3584 143 
3585 _pdr14
3586  .
3587 EQU
3588  0x00000E
3589
3590 144 
3591 PDR14
3592  .
3593 EQU
3594  0x00000E
3595
3596 145 
3597 _pdr15
3598  .
3599 EQU
3600  0x00000F
3601
3602 146 
3603 PDR15
3604  .
3605 EQU
3606  0x00000F
3607
3608 147 
3609 _pdr16
3610  .
3611 EQU
3612  0x000010
3613
3614 148 
3615 PDR16
3616  .
3617 EQU
3618  0x000010
3619
3620 149 
3621 _pdr17
3622  .
3623 EQU
3624  0x000011
3625
3626 150 
3627 PDR17
3628  .
3629 EQU
3630  0x000011
3631
3632 151 
3633 _pdr18
3634  .
3635 EQU
3636  0x000012
3637
3638 152 
3639 PDR18
3640  .
3641 EQU
3642  0x000012
3643
3644 153 
3645 _pdr19
3646  .
3647 EQU
3648  0x000013
3649
3650 154 
3651 PDR19
3652  .
3653 EQU
3654  0x000013
3655
3656 155 
3657 _pdr20
3658  .
3659 EQU
3660  0x000014
3661
3662 156 
3663 PDR20
3664  .
3665 EQU
3666  0x000014
3667
3668 157 
3669 _pdr21
3670  .
3671 EQU
3672  0x000015
3673
3674 158 
3675 PDR21
3676  .
3677 EQU
3678  0x000015
3679
3680 159 
3681 _pdr22
3682  .
3683 EQU
3684  0x000016
3685
3686 160 
3687 PDR22
3688  .
3689 EQU
3690  0x000016
3691
3692 161 
3693 _pdr24
3694  .
3695 EQU
3696  0x000018
3697
3698 162 
3699 PDR24
3700  .
3701 EQU
3702  0x000018
3703
3704 163 
3705 _pdr26
3706  .
3707 EQU
3708  0x00001A
3709
3710 164 
3711 PDR26
3712  .
3713 EQU
3714  0x00001A
3715
3716 165 
3717 _pdr27
3718  .
3719 EQU
3720  0x00001B
3721
3722 166 
3723 PDR27
3724  .
3725 EQU
3726  0x00001B
3727
3728 167 
3729 _pdr28
3730  .
3731 EQU
3732  0x00001C
3733
3734 168 
3735 PDR28
3736  .
3737 EQU
3738  0x00001C
3739
3740 169 
3741 _pdr29
3742  .
3743 EQU
3744  0x00001D
3745
3746 170 
3747 PDR29
3748  .
3749 EQU
3750  0x00001D
3751
3752 171 
3753 _e\9cr0
3754  .
3755 EQU
3756  0x000030
3757
3758 172 
3759 EIRR0
3760  .
3761 EQU
3762  0x000030
3763
3764 173 
3765 _\92\9c0
3766  .
3767 EQU
3768  0x000031
3769
3770 174 
3771 ENIR0
3772  .
3773 EQU
3774  0x000031
3775
3776 175 
3777 _\96vr0
3778  .
3779 EQU
3780  0x000032
3781
3782 176 
3783 ELVR0
3784  .
3785 EQU
3786  0x000032
3787
3788 177 
3789 _e\9cr1
3790  .
3791 EQU
3792  0x000034
3793
3794 178 
3795 EIRR1
3796  .
3797 EQU
3798  0x000034
3799
3800 179 
3801 _\92\9c1
3802  .
3803 EQU
3804  0x000035
3805
3806 180 
3807 ENIR1
3808  .
3809 EQU
3810  0x000035
3811
3812 181 
3813 _\96vr1
3814  .
3815 EQU
3816  0x000036
3817
3818 182 
3819 ELVR1
3820  .
3821 EQU
3822  0x000036
3823
3824 183 
3825 _diü
3826  .
3827 EQU
3828  0x000038
3829
3830 184 
3831 DICR
3832  .
3833 EQU
3834  0x000038
3835
3836 185 
3837 _hrþ
3838  .
3839 EQU
3840  0x000039
3841
3842 186 
3843 HRCL
3844  .
3845 EQU
3846  0x000039
3847
3848 187 
3849 _rbsync
3850  .
3851 EQU
3852  0x00003A
3853
3854 188 
3855 RBSYNC
3856  .
3857 EQU
3858  0x00003A
3859
3860 189 
3861 _sü00
3862  .
3863 EQU
3864  0x000040
3865
3866 190 
3867 SCR00
3868  .
3869 EQU
3870  0x000040
3871
3872 191 
3873 _smr00
3874  .
3875 EQU
3876  0x000041
3877
3878 192 
3879 SMR00
3880  .
3881 EQU
3882  0x000041
3883
3884 193 
3885 _s¤00
3886  .
3887 EQU
3888  0x000042
3889
3890 194 
3891 SSR00
3892  .
3893 EQU
3894  0x000042
3895
3896 195 
3897 _rdr00
3898  .
3899 EQU
3900  0x000043
3901
3902 196 
3903 RDR00
3904  .
3905 EQU
3906  0x000043
3907
3908 197 
3909 _tdr00
3910  .
3911 EQU
3912  0x000043
3913
3914 198 
3915 TDR00
3916  .
3917 EQU
3918  0x000043
3919
3920 199 
3921 _esü00
3922  .
3923 EQU
3924  0x000044
3925
3926 200 
3927 ESCR00
3928  .
3929 EQU
3930  0x000044
3931
3932 201 
3933 _ecü00
3934  .
3935 EQU
3936  0x000045
3937
3938 202 
3939 ECCR00
3940  .
3941 EQU
3942  0x000045
3943
3944 203 
3945 _sü01
3946  .
3947 EQU
3948  0x000048
3949
3950 204 
3951 SCR01
3952  .
3953 EQU
3954  0x000048
3955
3956 205 
3957 _smr01
3958  .
3959 EQU
3960  0x000049
3961
3962 206 
3963 SMR01
3964  .
3965 EQU
3966  0x000049
3967
3968 207 
3969 _s¤01
3970  .
3971 EQU
3972  0x00004A
3973
3974 208 
3975 SSR01
3976  .
3977 EQU
3978  0x00004A
3979
3980 209 
3981 _rdr01
3982  .
3983 EQU
3984  0x00004B
3985
3986 210 
3987 RDR01
3988  .
3989 EQU
3990  0x00004B
3991
3992 211 
3993 _tdr01
3994  .
3995 EQU
3996  0x00004B
3997
3998 212 
3999 TDR01
4000  .
4001 EQU
4002  0x00004B
4003
4004 213 
4005 _esü01
4006  .
4007 EQU
4008  0x00004C
4009
4010 214 
4011 ESCR01
4012  .
4013 EQU
4014  0x00004C
4015
4016 215 
4017 _ecü01
4018  .
4019 EQU
4020  0x00004D
4021
4022 216 
4023 ECCR01
4024  .
4025 EQU
4026  0x00004D
4027
4028 217 
4029 _sü02
4030  .
4031 EQU
4032  0x000050
4033
4034 218 
4035 SCR02
4036  .
4037 EQU
4038  0x000050
4039
4040 219 
4041 _smr02
4042  .
4043 EQU
4044  0x000051
4045
4046 220 
4047 SMR02
4048  .
4049 EQU
4050  0x000051
4051
4052 221 
4053 _s¤02
4054  .
4055 EQU
4056  0x000052
4057
4058 222 
4059 SSR02
4060  .
4061 EQU
4062  0x000052
4063
4064 223 
4065 _rdr02
4066  .
4067 EQU
4068  0x000053
4069
4070 224 
4071 RDR02
4072  .
4073 EQU
4074  0x000053
4075
4076 225 
4077 _tdr02
4078  .
4079 EQU
4080  0x000053
4081
4082 226 
4083 TDR02
4084  .
4085 EQU
4086  0x000053
4087
4088 227 
4089 _esü02
4090  .
4091 EQU
4092  0x000054
4093
4094 228 
4095 ESCR02
4096  .
4097 EQU
4098  0x000054
4099
4100 229 
4101 _ecü02
4102  .
4103 EQU
4104  0x000055
4105
4106 230 
4107 ECCR02
4108  .
4109 EQU
4110  0x000055
4111
4112 231 
4113 _sü03
4114  .
4115 EQU
4116  0x000058
4117
4118 232 
4119 SCR03
4120  .
4121 EQU
4122  0x000058
4123
4124 233 
4125 _smr03
4126  .
4127 EQU
4128  0x000059
4129
4130 234 
4131 SMR03
4132  .
4133 EQU
4134  0x000059
4135
4136 235 
4137 _s¤03
4138  .
4139 EQU
4140  0x00005A
4141
4142 236 
4143 SSR03
4144  .
4145 EQU
4146  0x00005A
4147
4148 237 
4149 _rdr03
4150  .
4151 EQU
4152  0x00005B
4153
4154 238 
4155 RDR03
4156  .
4157 EQU
4158  0x00005B
4159
4160 239 
4161 _tdr03
4162  .
4163 EQU
4164  0x00005B
4165
4166 240 
4167 TDR03
4168  .
4169 EQU
4170  0x00005B
4171
4172 241 
4173 _esü03
4174  .
4175 EQU
4176  0x00005C
4177
4178 242 
4179 ESCR03
4180  .
4181 EQU
4182  0x00005C
4183
4184 243 
4185 _ecü03
4186  .
4187 EQU
4188  0x00005D
4189
4190 244 
4191 ECCR03
4192  .
4193 EQU
4194  0x00005D
4195
4196 245 
4197 _sü04
4198  .
4199 EQU
4200  0x000060
4201
4202 246 
4203 SCR04
4204  .
4205 EQU
4206  0x000060
4207
4208 247 
4209 _smr04
4210  .
4211 EQU
4212  0x000061
4213
4214 248 
4215 SMR04
4216  .
4217 EQU
4218  0x000061
4219
4220 249 
4221 _s¤04
4222  .
4223 EQU
4224  0x000062
4225
4226 250 
4227 SSR04
4228  .
4229 EQU
4230  0x000062
4231
4232 251 
4233 _rdr04
4234  .
4235 EQU
4236  0x000063
4237
4238 252 
4239 RDR04
4240  .
4241 EQU
4242  0x000063
4243
4244 253 
4245 _tdr04
4246  .
4247 EQU
4248  0x000063
4249
4250 254 
4251 TDR04
4252  .
4253 EQU
4254  0x000063
4255
4256 255 
4257 _esü04
4258  .
4259 EQU
4260  0x000064
4261
4262 256 
4263 ESCR04
4264  .
4265 EQU
4266  0x000064
4267
4268 257 
4269 _ecü04
4270  .
4271 EQU
4272  0x000065
4273
4274 258 
4275 ECCR04
4276  .
4277 EQU
4278  0x000065
4279
4280 259 
4281 _f¤04
4282  .
4283 EQU
4284  0x000066
4285
4286 260 
4287 FSR04
4288  .
4289 EQU
4290  0x000066
4291
4292 261 
4293 _fü04
4294  .
4295 EQU
4296  0x000067
4297
4298 262 
4299 FCR04
4300  .
4301 EQU
4302  0x000067
4303
4304 263 
4305 _bgr00
4306  .
4307 EQU
4308  0x000080
4309
4310 264 
4311 BGR00
4312  .
4313 EQU
4314  0x000080
4315
4316 265 
4317 _bgr100
4318  .
4319 EQU
4320  0x000080
4321
4322 266 
4323 BGR100
4324  .
4325 EQU
4326  0x000080
4327
4328 267 
4329 _bgr000
4330  .
4331 EQU
4332  0x000081
4333
4334 268 
4335 BGR000
4336  .
4337 EQU
4338  0x000081
4339
4340 269 
4341 _bgr01
4342  .
4343 EQU
4344  0x000082
4345
4346 270 
4347 BGR01
4348  .
4349 EQU
4350  0x000082
4351
4352 271 
4353 _bgr101
4354  .
4355 EQU
4356  0x000082
4357
4358 272 
4359 BGR101
4360  .
4361 EQU
4362  0x000082
4363
4364 273 
4365 _bgr001
4366  .
4367 EQU
4368  0x000083
4369
4370 274 
4371 BGR001
4372  .
4373 EQU
4374  0x000083
4375
4376 275 
4377 _bgr02
4378  .
4379 EQU
4380  0x000084
4381
4382 276 
4383 BGR02
4384  .
4385 EQU
4386  0x000084
4387
4388 277 
4389 _bgr102
4390  .
4391 EQU
4392  0x000084
4393
4394 278 
4395 BGR102
4396  .
4397 EQU
4398  0x000084
4399
4400 279 
4401 _bgr002
4402  .
4403 EQU
4404  0x000085
4405
4406 280 
4407 BGR002
4408  .
4409 EQU
4410  0x000085
4411
4412 281 
4413 _bgr03
4414  .
4415 EQU
4416  0x000086
4417
4418 282 
4419 BGR03
4420  .
4421 EQU
4422  0x000086
4423
4424 283 
4425 _bgr103
4426  .
4427 EQU
4428  0x000086
4429
4430 284 
4431 BGR103
4432  .
4433 EQU
4434  0x000086
4435
4436 285 
4437 _bgr003
4438  .
4439 EQU
4440  0x000087
4441
4442 286 
4443 BGR003
4444  .
4445 EQU
4446  0x000087
4447
4448 287 
4449 _bgr04
4450  .
4451 EQU
4452  0x000088
4453
4454 288 
4455 BGR04
4456  .
4457 EQU
4458  0x000088
4459
4460 289 
4461 _bgr104
4462  .
4463 EQU
4464  0x000088
4465
4466 290 
4467 BGR104
4468  .
4469 EQU
4470  0x000088
4471
4472 291 
4473 _bgr004
4474  .
4475 EQU
4476  0x000089
4477
4478 292 
4479 BGR004
4480  .
4481 EQU
4482  0x000089
4483
4484 293 
4485 _ibü0
4486  .
4487 EQU
4488  0x0000D0
4489
4490 294 
4491 IBCR0
4492  .
4493 EQU
4494  0x0000D0
4495
4496 295 
4497 _ib¤0
4498  .
4499 EQU
4500  0x0000D1
4501
4502 296 
4503 IBSR0
4504  .
4505 EQU
4506  0x0000D1
4507
4508 297 
4509 _\99ba0
4510  .
4511 EQU
4512  0x0000D2
4513
4514 298 
4515 ITBA0
4516  .
4517 EQU
4518  0x0000D2
4519
4520 299 
4521 _\99bah0
4522  .
4523 EQU
4524  0x0000D2
4525
4526 300 
4527 ITBAH0
4528  .
4529 EQU
4530  0x0000D2
4531
4532 301 
4533 _\99b®0
4534  .
4535 EQU
4536  0x0000D3
4537
4538 302 
4539 ITBAL0
4540  .
4541 EQU
4542  0x0000D3
4543
4544 303 
4545 _\99mk0
4546  .
4547 EQU
4548  0x0000D4
4549
4550 304 
4551 ITMK0
4552  .
4553 EQU
4554  0x0000D4
4555
4556 305 
4557 _\99mkh0
4558  .
4559 EQU
4560  0x0000D4
4561
4562 306 
4563 ITMKH0
4564  .
4565 EQU
4566  0x0000D4
4567
4568 307 
4569 _\99mkl0
4570  .
4571 EQU
4572  0x0000D5
4573
4574 308 
4575 ITMKL0
4576  .
4577 EQU
4578  0x0000D5
4579
4580 309 
4581 _ismk0
4582  .
4583 EQU
4584  0x0000D6
4585
4586 310 
4587 ISMK0
4588  .
4589 EQU
4590  0x0000D6
4591
4592 311 
4593 _isba0
4594  .
4595 EQU
4596  0x0000D7
4597
4598 312 
4599 ISBA0
4600  .
4601 EQU
4602  0x0000D7
4603
4604 313 
4605 _id¬0
4606  .
4607 EQU
4608  0x0000D9
4609
4610 314 
4611 IDAR0
4612  .
4613 EQU
4614  0x0000D9
4615
4616 315 
4617 _icü0
4618  .
4619 EQU
4620  0x0000DA
4621
4622 316 
4623 ICCR0
4624  .
4625 EQU
4626  0x0000DA
4627
4628 317 
4629 _gú10
4630  .
4631 EQU
4632  0x000100
4633
4634 318 
4635 GCN10
4636  .
4637 EQU
4638  0x000100
4639
4640 319 
4641 _gú20
4642  .
4643 EQU
4644  0x000103
4645
4646 320 
4647 GCN20
4648  .
4649 EQU
4650  0x000103
4651
4652 321 
4653 _gú11
4654  .
4655 EQU
4656  0x000104
4657
4658 322 
4659 GCN11
4660  .
4661 EQU
4662  0x000104
4663
4664 323 
4665 _gú21
4666  .
4667 EQU
4668  0x000107
4669
4670 324 
4671 GCN21
4672  .
4673 EQU
4674  0x000107
4675
4676 325 
4677 _gú12
4678  .
4679 EQU
4680  0x000108
4681
4682 326 
4683 GCN12
4684  .
4685 EQU
4686  0x000108
4687
4688 327 
4689 _gú22
4690  .
4691 EQU
4692  0x00010B
4693
4694 328 
4695 GCN22
4696  .
4697 EQU
4698  0x00010B
4699
4700 329 
4701 _±mr00
4702  .
4703 EQU
4704  0x000110
4705
4706 330 
4707 PTMR00
4708  .
4709 EQU
4710  0x000110
4711
4712 331 
4713 _pc¤00
4714  .
4715 EQU
4716  0x000112
4717
4718 332 
4719 PCSR00
4720  .
4721 EQU
4722  0x000112
4723
4724 333 
4725 _pdut00
4726  .
4727 EQU
4728  0x000114
4729
4730 334 
4731 PDUT00
4732  .
4733 EQU
4734  0x000114
4735
4736 335 
4737 _pú00
4738  .
4739 EQU
4740  0x000116
4741
4742 336 
4743 PCN00
4744  .
4745 EQU
4746  0x000116
4747
4748 337 
4749 _púh00
4750  .
4751 EQU
4752  0x000116
4753
4754 338 
4755 PCNH00
4756  .
4757 EQU
4758  0x000116
4759
4760 339 
4761 _púl00
4762  .
4763 EQU
4764  0x000117
4765
4766 340 
4767 PCNL00
4768  .
4769 EQU
4770  0x000117
4771
4772 341 
4773 _±mr01
4774  .
4775 EQU
4776  0x000118
4777
4778 342 
4779 PTMR01
4780  .
4781 EQU
4782  0x000118
4783
4784 343 
4785 _pc¤01
4786  .
4787 EQU
4788  0x00011A
4789
4790 344 
4791 PCSR01
4792  .
4793 EQU
4794  0x00011A
4795
4796 345 
4797 _pdut01
4798  .
4799 EQU
4800  0x00011C
4801
4802 346 
4803 PDUT01
4804  .
4805 EQU
4806  0x00011C
4807
4808 347 
4809 _pú01
4810  .
4811 EQU
4812  0x00011E
4813
4814 348 
4815 PCN01
4816  .
4817 EQU
4818  0x00011E
4819
4820 349 
4821 _púh01
4822  .
4823 EQU
4824  0x00011E
4825
4826 350 
4827 PCNH01
4828  .
4829 EQU
4830  0x00011E
4831
4832 351 
4833 _púl01
4834  .
4835 EQU
4836  0x00011F
4837
4838 352 
4839 PCNL01
4840  .
4841 EQU
4842  0x00011F
4843
4844 353 
4845 _±mr02
4846  .
4847 EQU
4848  0x000120
4849
4850 354 
4851 PTMR02
4852  .
4853 EQU
4854  0x000120
4855
4856 355 
4857 _pc¤02
4858  .
4859 EQU
4860  0x000122
4861
4862 356 
4863 PCSR02
4864  .
4865 EQU
4866  0x000122
4867
4868 357 
4869 _pdut02
4870  .
4871 EQU
4872  0x000124
4873
4874 358 
4875 PDUT02
4876  .
4877 EQU
4878  0x000124
4879
4880 359 
4881 _pú02
4882  .
4883 EQU
4884  0x000126
4885
4886 360 
4887 PCN02
4888  .
4889 EQU
4890  0x000126
4891
4892 361 
4893 _púh02
4894  .
4895 EQU
4896  0x000126
4897
4898 362 
4899 PCNH02
4900  .
4901 EQU
4902  0x000126
4903
4904 363 
4905 _púl02
4906  .
4907 EQU
4908  0x000127
4909
4910 364 
4911 PCNL02
4912  .
4913 EQU
4914  0x000127
4915
4916 365 
4917 _±mr03
4918  .
4919 EQU
4920  0x000128
4921
4922 366 
4923 PTMR03
4924  .
4925 EQU
4926  0x000128
4927
4928 367 
4929 _pc¤03
4930  .
4931 EQU
4932  0x00012A
4933
4934 368 
4935 PCSR03
4936  .
4937 EQU
4938  0x00012A
4939
4940 369 
4941 _pdut03
4942  .
4943 EQU
4944  0x00012C
4945
4946 370 
4947 PDUT03
4948  .
4949 EQU
4950  0x00012C
4951
4952 371 
4953 _pú03
4954  .
4955 EQU
4956  0x00012E
4957
4958 372 
4959 PCN03
4960  .
4961 EQU
4962  0x00012E
4963
4964 373 
4965 _púh03
4966  .
4967 EQU
4968  0x00012E
4969
4970 374 
4971 PCNH03
4972  .
4973 EQU
4974  0x00012E
4975
4976 375 
4977 _púl03
4978  .
4979 EQU
4980  0x00012F
4981
4982 376 
4983 PCNL03
4984  .
4985 EQU
4986  0x00012F
4987
4988 377 
4989 _±mr04
4990  .
4991 EQU
4992  0x000130
4993
4994 378 
4995 PTMR04
4996  .
4997 EQU
4998  0x000130
4999
5000 379 
5001 _pc¤04
5002  .
5003 EQU
5004  0x000132
5005
5006 380 
5007 PCSR04
5008  .
5009 EQU
5010  0x000132
5011
5012 381 
5013 _pdut04
5014  .
5015 EQU
5016  0x000134
5017
5018 382 
5019 PDUT04
5020  .
5021 EQU
5022  0x000134
5023
5024 383 
5025 _pú04
5026  .
5027 EQU
5028  0x000136
5029
5030 384 
5031 PCN04
5032  .
5033 EQU
5034  0x000136
5035
5036 385 
5037 _púh04
5038  .
5039 EQU
5040  0x000136
5041
5042 386 
5043 PCNH04
5044  .
5045 EQU
5046  0x000136
5047
5048 387 
5049 _púl04
5050  .
5051 EQU
5052  0x000137
5053
5054 388 
5055 PCNL04
5056  .
5057 EQU
5058  0x000137
5059
5060 389 
5061 _±mr05
5062  .
5063 EQU
5064  0x000138
5065
5066 390 
5067 PTMR05
5068  .
5069 EQU
5070  0x000138
5071
5072 391 
5073 _pc¤05
5074  .
5075 EQU
5076  0x00013A
5077
5078 392 
5079 PCSR05
5080  .
5081 EQU
5082  0x00013A
5083
5084 393 
5085 _pdut05
5086  .
5087 EQU
5088  0x00013C
5089
5090 394 
5091 PDUT05
5092  .
5093 EQU
5094  0x00013C
5095
5096 395 
5097 _pú05
5098  .
5099 EQU
5100  0x00013E
5101
5102 396 
5103 PCN05
5104  .
5105 EQU
5106  0x00013E
5107
5108 397 
5109 _púh05
5110  .
5111 EQU
5112  0x00013E
5113
5114 398 
5115 PCNH05
5116  .
5117 EQU
5118  0x00013E
5119
5120 399 
5121 _púl05
5122  .
5123 EQU
5124  0x00013F
5125
5126 400 
5127 PCNL05
5128  .
5129 EQU
5130  0x00013F
5131
5132 401 
5133 _±mr06
5134  .
5135 EQU
5136  0x000140
5137
5138 402 
5139 PTMR06
5140  .
5141 EQU
5142  0x000140
5143
5144 403 
5145 _pc¤06
5146  .
5147 EQU
5148  0x000142
5149
5150 404 
5151 PCSR06
5152  .
5153 EQU
5154  0x000142
5155
5156 405 
5157 _pdut06
5158  .
5159 EQU
5160  0x000144
5161
5162 406 
5163 PDUT06
5164  .
5165 EQU
5166  0x000144
5167
5168 407 
5169 _pú06
5170  .
5171 EQU
5172  0x000146
5173
5174 408 
5175 PCN06
5176  .
5177 EQU
5178  0x000146
5179
5180 409 
5181 _púh06
5182  .
5183 EQU
5184  0x000146
5185
5186 410 
5187 PCNH06
5188  .
5189 EQU
5190  0x000146
5191
5192 411 
5193 _púl06
5194  .
5195 EQU
5196  0x000147
5197
5198 412 
5199 PCNL06
5200  .
5201 EQU
5202  0x000147
5203
5204 413 
5205 _±mr07
5206  .
5207 EQU
5208  0x000148
5209
5210 414 
5211 PTMR07
5212  .
5213 EQU
5214  0x000148
5215
5216 415 
5217 _pc¤07
5218  .
5219 EQU
5220  0x00014A
5221
5222 416 
5223 PCSR07
5224  .
5225 EQU
5226  0x00014A
5227
5228 417 
5229 _pdut07
5230  .
5231 EQU
5232  0x00014C
5233
5234 418 
5235 PDUT07
5236  .
5237 EQU
5238  0x00014C
5239
5240 419 
5241 _pú07
5242  .
5243 EQU
5244  0x00014E
5245
5246 420 
5247 PCN07
5248  .
5249 EQU
5250  0x00014E
5251
5252 421 
5253 _púh07
5254  .
5255 EQU
5256  0x00014E
5257
5258 422 
5259 PCNH07
5260  .
5261 EQU
5262  0x00014E
5263
5264 423 
5265 _púl07
5266  .
5267 EQU
5268  0x00014F
5269
5270 424 
5271 PCNL07
5272  .
5273 EQU
5274  0x00014F
5275
5276 425 
5277 _±mr08
5278  .
5279 EQU
5280  0x000150
5281
5282 426 
5283 PTMR08
5284  .
5285 EQU
5286  0x000150
5287
5288 427 
5289 _pc¤08
5290  .
5291 EQU
5292  0x000152
5293
5294 428 
5295 PCSR08
5296  .
5297 EQU
5298  0x000152
5299
5300 429 
5301 _pdut08
5302  .
5303 EQU
5304  0x000154
5305
5306 430 
5307 PDUT08
5308  .
5309 EQU
5310  0x000154
5311
5312 431 
5313 _pú08
5314  .
5315 EQU
5316  0x000156
5317
5318 432 
5319 PCN08
5320  .
5321 EQU
5322  0x000156
5323
5324 433 
5325 _púh08
5326  .
5327 EQU
5328  0x000156
5329
5330 434 
5331 PCNH08
5332  .
5333 EQU
5334  0x000156
5335
5336 435 
5337 _púl08
5338  .
5339 EQU
5340  0x000157
5341
5342 436 
5343 PCNL08
5344  .
5345 EQU
5346  0x000157
5347
5348 437 
5349 _±mr09
5350  .
5351 EQU
5352  0x000158
5353
5354 438 
5355 PTMR09
5356  .
5357 EQU
5358  0x000158
5359
5360 439 
5361 _pc¤09
5362  .
5363 EQU
5364  0x00015A
5365
5366 440 
5367 PCSR09
5368  .
5369 EQU
5370  0x00015A
5371
5372 441 
5373 _pdut09
5374  .
5375 EQU
5376  0x00015C
5377
5378 442 
5379 PDUT09
5380  .
5381 EQU
5382  0x00015C
5383
5384 443 
5385 _pú09
5386  .
5387 EQU
5388  0x00015E
5389
5390 444 
5391 PCN09
5392  .
5393 EQU
5394  0x00015E
5395
5396 445 
5397 _púh09
5398  .
5399 EQU
5400  0x00015E
5401
5402 446 
5403 PCNH09
5404  .
5405 EQU
5406  0x00015E
5407
5408 447 
5409 _púl09
5410  .
5411 EQU
5412  0x00015F
5413
5414 448 
5415 PCNL09
5416  .
5417 EQU
5418  0x00015F
5419
5420 449 
5421 _±mr10
5422  .
5423 EQU
5424  0x000160
5425
5426 450 
5427 PTMR10
5428  .
5429 EQU
5430  0x000160
5431
5432 451 
5433 _pc¤10
5434  .
5435 EQU
5436  0x000162
5437
5438 452 
5439 PCSR10
5440  .
5441 EQU
5442  0x000162
5443
5444 453 
5445 _pdut10
5446  .
5447 EQU
5448  0x000164
5449
5450 454 
5451 PDUT10
5452  .
5453 EQU
5454  0x000164
5455
5456 455 
5457 _pú10
5458  .
5459 EQU
5460  0x000166
5461
5462 456 
5463 PCN10
5464  .
5465 EQU
5466  0x000166
5467
5468 457 
5469 _púh10
5470  .
5471 EQU
5472  0x000166
5473
5474 458 
5475 PCNH10
5476  .
5477 EQU
5478  0x000166
5479
5480 459 
5481 _púl10
5482  .
5483 EQU
5484  0x000167
5485
5486 460 
5487 PCNL10
5488  .
5489 EQU
5490  0x000167
5491
5492 461 
5493 _±mr11
5494  .
5495 EQU
5496  0x000168
5497
5498 462 
5499 PTMR11
5500  .
5501 EQU
5502  0x000168
5503
5504 463 
5505 _pc¤11
5506  .
5507 EQU
5508  0x00016A
5509
5510 464 
5511 PCSR11
5512  .
5513 EQU
5514  0x00016A
5515
5516 465 
5517 _pdut11
5518  .
5519 EQU
5520  0x00016C
5521
5522 466 
5523 PDUT11
5524  .
5525 EQU
5526  0x00016C
5527
5528 467 
5529 _pú11
5530  .
5531 EQU
5532  0x00016E
5533
5534 468 
5535 PCN11
5536  .
5537 EQU
5538  0x00016E
5539
5540 469 
5541 _púh11
5542  .
5543 EQU
5544  0x00016E
5545
5546 470 
5547 PCNH11
5548  .
5549 EQU
5550  0x00016E
5551
5552 471 
5553 _púl11
5554  .
5555 EQU
5556  0x00016F
5557
5558 472 
5559 PCNL11
5560  .
5561 EQU
5562  0x00016F
5563
5564 473 
5565 _ics01
5566  .
5567 EQU
5568  0x000181
5569
5570 474 
5571 ICS01
5572  .
5573 EQU
5574  0x000181
5575
5576 475 
5577 _ics23
5578  .
5579 EQU
5580  0x000183
5581
5582 476 
5583 ICS23
5584  .
5585 EQU
5586  0x000183
5587
5588 477 
5589 _\9dý0
5590  .
5591 EQU
5592  0x000184
5593
5594 478 
5595 IPCP0
5596  .
5597 EQU
5598  0x000184
5599
5600 479 
5601 _\9dý1
5602  .
5603 EQU
5604  0x000186
5605
5606 480 
5607 IPCP1
5608  .
5609 EQU
5610  0x000186
5611
5612 481 
5613 _\9dý2
5614  .
5615 EQU
5616  0x000188
5617
5618 482 
5619 IPCP2
5620  .
5621 EQU
5622  0x000188
5623
5624 483 
5625 _\9dý3
5626  .
5627 EQU
5628  0x00018A
5629
5630 484 
5631 IPCP3
5632  .
5633 EQU
5634  0x00018A
5635
5636 485 
5637 _ocs01
5638  .
5639 EQU
5640  0x00018C
5641
5642 486 
5643 OCS01
5644  .
5645 EQU
5646  0x00018C
5647
5648 487 
5649 _ocs23
5650  .
5651 EQU
5652  0x00018E
5653
5654 488 
5655 OCS23
5656  .
5657 EQU
5658  0x00018E
5659
5660 489 
5661 _ocý0
5662  .
5663 EQU
5664  0x000190
5665
5666 490 
5667 OCCP0
5668  .
5669 EQU
5670  0x000190
5671
5672 491 
5673 _ocý1
5674  .
5675 EQU
5676  0x000192
5677
5678 492 
5679 OCCP1
5680  .
5681 EQU
5682  0x000192
5683
5684 493 
5685 _ocý2
5686  .
5687 EQU
5688  0x000194
5689
5690 494 
5691 OCCP2
5692  .
5693 EQU
5694  0x000194
5695
5696 495 
5697 _ocý3
5698  .
5699 EQU
5700  0x000196
5701
5702 496 
5703 OCCP3
5704  .
5705 EQU
5706  0x000196
5707
5708 497 
5709 _ad\94h
5710  .
5711 EQU
5712  0x0001A0
5713
5714 498 
5715 ADERH
5716  .
5717 EQU
5718  0x0001A0
5719
5720 499 
5721 _ad\94l
5722  .
5723 EQU
5724  0x0001A2
5725
5726 500 
5727 ADERL
5728  .
5729 EQU
5730  0x0001A2
5731
5732 501 
5733 _ad\94
5734  .
5735 EQU
5736  0x0001A0
5737
5738 502 
5739 ADER
5740  .
5741 EQU
5742  0x0001A0
5743
5744 503 
5745 _adcs1
5746  .
5747 EQU
5748  0x0001A4
5749
5750 504 
5751 ADCS1
5752  .
5753 EQU
5754  0x0001A4
5755
5756 505 
5757 _adcs0
5758  .
5759 EQU
5760  0x0001A5
5761
5762 506 
5763 ADCS0
5764  .
5765 EQU
5766  0x0001A5
5767
5768 507 
5769 _adcs
5770  .
5771 EQU
5772  0x0001A4
5773
5774 508 
5775 ADCS
5776  .
5777 EQU
5778  0x0001A4
5779
5780 509 
5781 _adü1
5782  .
5783 EQU
5784  0x0001A6
5785
5786 510 
5787 ADCR1
5788  .
5789 EQU
5790  0x0001A6
5791
5792 511 
5793 _adü0
5794  .
5795 EQU
5796  0x0001A7
5797
5798 512 
5799 ADCR0
5800  .
5801 EQU
5802  0x0001A7
5803
5804 513 
5805 _adü
5806  .
5807 EQU
5808  0x0001A6
5809
5810 514 
5811 ADCR
5812  .
5813 EQU
5814  0x0001A6
5815
5816 515 
5817 _adù1
5818  .
5819 EQU
5820  0x0001A8
5821
5822 516 
5823 ADCT1
5824  .
5825 EQU
5826  0x0001A8
5827
5828 517 
5829 _adù0
5830  .
5831 EQU
5832  0x0001A9
5833
5834 518 
5835 ADCT0
5836  .
5837 EQU
5838  0x0001A9
5839
5840 519 
5841 _adù
5842  .
5843 EQU
5844  0x0001A8
5845
5846 520 
5847 ADCT
5848  .
5849 EQU
5850  0x0001A8
5851
5852 521 
5853 _adsch
5854  .
5855 EQU
5856  0x0001AA
5857
5858 522 
5859 ADSCH
5860  .
5861 EQU
5862  0x0001AA
5863
5864 523 
5865 _adech
5866  .
5867 EQU
5868  0x0001AB
5869
5870 524 
5871 ADECH
5872  .
5873 EQU
5874  0x0001AB
5875
5876 525 
5877 _tm¾r0
5878  .
5879 EQU
5880  0x0001B0
5881
5882 526 
5883 TMRLR0
5884  .
5885 EQU
5886  0x0001B0
5887
5888 527 
5889 _tmr0
5890  .
5891 EQU
5892  0x0001B2
5893
5894 528 
5895 TMR0
5896  .
5897 EQU
5898  0x0001B2
5899
5900 529 
5901 _tmc¤0
5902  .
5903 EQU
5904  0x0001B6
5905
5906 530 
5907 TMCSR0
5908  .
5909 EQU
5910  0x0001B6
5911
5912 531 
5913 _tmc¤h0
5914  .
5915 EQU
5916  0x0001B6
5917
5918 532 
5919 TMCSRH0
5920  .
5921 EQU
5922  0x0001B6
5923
5924 533 
5925 _tmc¤l0
5926  .
5927 EQU
5928  0x0001B7
5929
5930 534 
5931 TMCSRL0
5932  .
5933 EQU
5934  0x0001B7
5935
5936 535 
5937 _tm¾r1
5938  .
5939 EQU
5940  0x0001B8
5941
5942 536 
5943 TMRLR1
5944  .
5945 EQU
5946  0x0001B8
5947
5948 537 
5949 _tmr1
5950  .
5951 EQU
5952  0x0001BA
5953
5954 538 
5955 TMR1
5956  .
5957 EQU
5958  0x0001BA
5959
5960 539 
5961 _tmc¤1
5962  .
5963 EQU
5964  0x0001BE
5965
5966 540 
5967 TMCSR1
5968  .
5969 EQU
5970  0x0001BE
5971
5972 541 
5973 _tmc¤h1
5974  .
5975 EQU
5976  0x0001BE
5977
5978 542 
5979 TMCSRH1
5980  .
5981 EQU
5982  0x0001BE
5983
5984 543 
5985 _tmc¤l1
5986  .
5987 EQU
5988  0x0001BF
5989
5990 544 
5991 TMCSRL1
5992  .
5993 EQU
5994  0x0001BF
5995
5996 545 
5997 _tm¾r2
5998  .
5999 EQU
6000  0x0001C0
6001
6002 546 
6003 TMRLR2
6004  .
6005 EQU
6006  0x0001C0
6007
6008 547 
6009 _tmr2
6010  .
6011 EQU
6012  0x0001C2
6013
6014 548 
6015 TMR2
6016  .
6017 EQU
6018  0x0001C2
6019
6020 549 
6021 _tmc¤2
6022  .
6023 EQU
6024  0x0001C6
6025
6026 550 
6027 TMCSR2
6028  .
6029 EQU
6030  0x0001C6
6031
6032 551 
6033 _tmc¤h2
6034  .
6035 EQU
6036  0x0001C6
6037
6038 552 
6039 TMCSRH2
6040  .
6041 EQU
6042  0x0001C6
6043
6044 553 
6045 _tmc¤l2
6046  .
6047 EQU
6048  0x0001C7
6049
6050 554 
6051 TMCSRL2
6052  .
6053 EQU
6054  0x0001C7
6055
6056 555 
6057 _tm¾r3
6058  .
6059 EQU
6060  0x0001C8
6061
6062 556 
6063 TMRLR3
6064  .
6065 EQU
6066  0x0001C8
6067
6068 557 
6069 _tmr3
6070  .
6071 EQU
6072  0x0001CA
6073
6074 558 
6075 TMR3
6076  .
6077 EQU
6078  0x0001CA
6079
6080 559 
6081 _tmc¤3
6082  .
6083 EQU
6084  0x0001CE
6085
6086 560 
6087 TMCSR3
6088  .
6089 EQU
6090  0x0001CE
6091
6092 561 
6093 _tmc¤h3
6094  .
6095 EQU
6096  0x0001CE
6097
6098 562 
6099 TMCSRH3
6100  .
6101 EQU
6102  0x0001CE
6103
6104 563 
6105 _tmc¤l3
6106  .
6107 EQU
6108  0x0001CF
6109
6110 564 
6111 TMCSRL3
6112  .
6113 EQU
6114  0x0001CF
6115
6116 565 
6117 _tm¾r4
6118  .
6119 EQU
6120  0x0001D0
6121
6122 566 
6123 TMRLR4
6124  .
6125 EQU
6126  0x0001D0
6127
6128 567 
6129 _tmr4
6130  .
6131 EQU
6132  0x0001D2
6133
6134 568 
6135 TMR4
6136  .
6137 EQU
6138  0x0001D2
6139
6140 569 
6141 _tmc¤4
6142  .
6143 EQU
6144  0x0001D6
6145
6146 570 
6147 TMCSR4
6148  .
6149 EQU
6150  0x0001D6
6151
6152 571 
6153 _tmc¤h4
6154  .
6155 EQU
6156  0x0001D6
6157
6158 572 
6159 TMCSRH4
6160  .
6161 EQU
6162  0x0001D6
6163
6164 573 
6165 _tmc¤l4
6166  .
6167 EQU
6168  0x0001D7
6169
6170 574 
6171 TMCSRL4
6172  .
6173 EQU
6174  0x0001D7
6175
6176 575 
6177 _tm¾r5
6178  .
6179 EQU
6180  0x0001D8
6181
6182 576 
6183 TMRLR5
6184  .
6185 EQU
6186  0x0001D8
6187
6188 577 
6189 _tmr5
6190  .
6191 EQU
6192  0x0001DA
6193
6194 578 
6195 TMR5
6196  .
6197 EQU
6198  0x0001DA
6199
6200 579 
6201 _tmc¤5
6202  .
6203 EQU
6204  0x0001DE
6205
6206 580 
6207 TMCSR5
6208  .
6209 EQU
6210  0x0001DE
6211
6212 581 
6213 _tmc¤h5
6214  .
6215 EQU
6216  0x0001DE
6217
6218 582 
6219 TMCSRH5
6220  .
6221 EQU
6222  0x0001DE
6223
6224 583 
6225 _tmc¤l5
6226  .
6227 EQU
6228  0x0001DF
6229
6230 584 
6231 TMCSRL5
6232  .
6233 EQU
6234  0x0001DF
6235
6236 585 
6237 _tm¾r6
6238  .
6239 EQU
6240  0x0001E0
6241
6242 586 
6243 TMRLR6
6244  .
6245 EQU
6246  0x0001E0
6247
6248 587 
6249 _tmr6
6250  .
6251 EQU
6252  0x0001E2
6253
6254 588 
6255 TMR6
6256  .
6257 EQU
6258  0x0001E2
6259
6260 589 
6261 _tmc¤6
6262  .
6263 EQU
6264  0x0001E6
6265
6266 590 
6267 TMCSR6
6268  .
6269 EQU
6270  0x0001E6
6271
6272 591 
6273 _tmc¤h6
6274  .
6275 EQU
6276  0x0001E6
6277
6278 592 
6279 TMCSRH6
6280  .
6281 EQU
6282  0x0001E6
6283
6284 593 
6285 _tmc¤l6
6286  .
6287 EQU
6288  0x0001E7
6289
6290 594 
6291 TMCSRL6
6292  .
6293 EQU
6294  0x0001E7
6295
6296 595 
6297 _tm¾r7
6298  .
6299 EQU
6300  0x0001E8
6301
6302 596 
6303 TMRLR7
6304  .
6305 EQU
6306  0x0001E8
6307
6308 597 
6309 _tmr7
6310  .
6311 EQU
6312  0x0001EA
6313
6314 598 
6315 TMR7
6316  .
6317 EQU
6318  0x0001EA
6319
6320 599 
6321 _tmc¤7
6322  .
6323 EQU
6324  0x0001EE
6325
6326 600 
6327 TMCSR7
6328  .
6329 EQU
6330  0x0001EE
6331
6332 601 
6333 _tmc¤h7
6334  .
6335 EQU
6336  0x0001EE
6337
6338 602 
6339 TMCSRH7
6340  .
6341 EQU
6342  0x0001EE
6343
6344 603 
6345 _tmc¤l7
6346  .
6347 EQU
6348  0x0001EF
6349
6350 604 
6351 TMCSRL7
6352  .
6353 EQU
6354  0x0001EF
6355
6356 605 
6357 _tcdt0
6358  .
6359 EQU
6360  0x0001F0
6361
6362 606 
6363 TCDT0
6364  .
6365 EQU
6366  0x0001F0
6367
6368 607 
6369 _tccs0
6370  .
6371 EQU
6372  0x0001F3
6373
6374 608 
6375 TCCS0
6376  .
6377 EQU
6378  0x0001F3
6379
6380 609 
6381 _tcdt1
6382  .
6383 EQU
6384  0x0001F4
6385
6386 610 
6387 TCDT1
6388  .
6389 EQU
6390  0x0001F4
6391
6392 611 
6393 _tccs1
6394  .
6395 EQU
6396  0x0001F7
6397
6398 612 
6399 TCCS1
6400  .
6401 EQU
6402  0x0001F7
6403
6404 613 
6405 _tcdt2
6406  .
6407 EQU
6408  0x0001F8
6409
6410 614 
6411 TCDT2
6412  .
6413 EQU
6414  0x0001F8
6415
6416 615 
6417 _tccs2
6418  .
6419 EQU
6420  0x0001FB
6421
6422 616 
6423 TCCS2
6424  .
6425 EQU
6426  0x0001FB
6427
6428 617 
6429 _tcdt3
6430  .
6431 EQU
6432  0x0001FC
6433
6434 618 
6435 TCDT3
6436  .
6437 EQU
6438  0x0001FC
6439
6440 619 
6441 _tccs3
6442  .
6443 EQU
6444  0x0001FF
6445
6446 620 
6447 TCCS3
6448  .
6449 EQU
6450  0x0001FF
6451
6452 621 
6453 _dmaÿ0
6454  .
6455 EQU
6456  0x000200
6457
6458 622 
6459 DMACA0
6460  .
6461 EQU
6462  0x000200
6463
6464 623 
6465 _dmacb0
6466  .
6467 EQU
6468  0x000204
6469
6470 624 
6471 DMACB0
6472  .
6473 EQU
6474  0x000204
6475
6476 625 
6477 _dmaÿ1
6478  .
6479 EQU
6480  0x000208
6481
6482 626 
6483 DMACA1
6484  .
6485 EQU
6486  0x000208
6487
6488 627 
6489 _dmacb1
6490  .
6491 EQU
6492  0x00020C
6493
6494 628 
6495 DMACB1
6496  .
6497 EQU
6498  0x00020C
6499
6500 629 
6501 _dmaÿ2
6502  .
6503 EQU
6504  0x000210
6505
6506 630 
6507 DMACA2
6508  .
6509 EQU
6510  0x000210
6511
6512 631 
6513 _dmacb2
6514  .
6515 EQU
6516  0x000214
6517
6518 632 
6519 DMACB2
6520  .
6521 EQU
6522  0x000214
6523
6524 633 
6525 _dmaÿ3
6526  .
6527 EQU
6528  0x000218
6529
6530 634 
6531 DMACA3
6532  .
6533 EQU
6534  0x000218
6535
6536 635 
6537 _dmacb3
6538  .
6539 EQU
6540  0x00021C
6541
6542 636 
6543 DMACB3
6544  .
6545 EQU
6546  0x00021C
6547
6548 637 
6549 _dmaÿ4
6550  .
6551 EQU
6552  0x000220
6553
6554 638 
6555 DMACA4
6556  .
6557 EQU
6558  0x000220
6559
6560 639 
6561 _dmacb4
6562  .
6563 EQU
6564  0x000224
6565
6566 640 
6567 DMACB4
6568  .
6569 EQU
6570  0x000224
6571
6572 641 
6573 _dmaü
6574  .
6575 EQU
6576  0x000240
6577
6578 642 
6579 DMACR
6580  .
6581 EQU
6582  0x000240
6583
6584 643 
6585 _ics45
6586  .
6587 EQU
6588  0x0002D1
6589
6590 644 
6591 ICS45
6592  .
6593 EQU
6594  0x0002D1
6595
6596 645 
6597 _ics67
6598  .
6599 EQU
6600  0x0002D3
6601
6602 646 
6603 ICS67
6604  .
6605 EQU
6606  0x0002D3
6607
6608 647 
6609 _\9dý4
6610  .
6611 EQU
6612  0x0002D4
6613
6614 648 
6615 IPCP4
6616  .
6617 EQU
6618  0x0002D4
6619
6620 649 
6621 _\9dý5
6622  .
6623 EQU
6624  0x0002D6
6625
6626 650 
6627 IPCP5
6628  .
6629 EQU
6630  0x0002D6
6631
6632 651 
6633 _\9dý6
6634  .
6635 EQU
6636  0x0002D8
6637
6638 652 
6639 IPCP6
6640  .
6641 EQU
6642  0x0002D8
6643
6644 653 
6645 _\9dý7
6646  .
6647 EQU
6648  0x0002DA
6649
6650 654 
6651 IPCP7
6652  .
6653 EQU
6654  0x0002DA
6655
6656 655 
6657 _ocs45
6658  .
6659 EQU
6660  0x0002DC
6661
6662 656 
6663 OCS45
6664  .
6665 EQU
6666  0x0002DC
6667
6668 657 
6669 _ocs67
6670  .
6671 EQU
6672  0x0002DE
6673
6674 658 
6675 OCS67
6676  .
6677 EQU
6678  0x0002DE
6679
6680 659 
6681 _ocý4
6682  .
6683 EQU
6684  0x0002E0
6685
6686 660 
6687 OCCP4
6688  .
6689 EQU
6690  0x0002E0
6691
6692 661 
6693 _ocý5
6694  .
6695 EQU
6696  0x0002E2
6697
6698 662 
6699 OCCP5
6700  .
6701 EQU
6702  0x0002E2
6703
6704 663 
6705 _ocý6
6706  .
6707 EQU
6708  0x0002E4
6709
6710 664 
6711 OCCP6
6712  .
6713 EQU
6714  0x0002E4
6715
6716 665 
6717 _ocý7
6718  .
6719 EQU
6720  0x0002E6
6721
6722 666 
6723 OCCP7
6724  .
6725 EQU
6726  0x0002E6
6727
6728 667 
6729 _tcdt4
6730  .
6731 EQU
6732  0x0002F0
6733
6734 668 
6735 TCDT4
6736  .
6737 EQU
6738  0x0002F0
6739
6740 669 
6741 _tccs4
6742  .
6743 EQU
6744  0x0002F3
6745
6746 670 
6747 TCCS4
6748  .
6749 EQU
6750  0x0002F3
6751
6752 671 
6753 _tcdt5
6754  .
6755 EQU
6756  0x0002F4
6757
6758 672 
6759 TCDT5
6760  .
6761 EQU
6762  0x0002F4
6763
6764 673 
6765 _tccs5
6766  .
6767 EQU
6768  0x0002F7
6769
6770 674 
6771 TCCS5
6772  .
6773 EQU
6774  0x0002F7
6775
6776 675 
6777 _tcdt6
6778  .
6779 EQU
6780  0x0002F8
6781
6782 676 
6783 TCDT6
6784  .
6785 EQU
6786  0x0002F8
6787
6788 677 
6789 _tccs6
6790  .
6791 EQU
6792  0x0002FB
6793
6794 678 
6795 TCCS6
6796  .
6797 EQU
6798  0x0002FB
6799
6800 679 
6801 _tcdt7
6802  .
6803 EQU
6804  0x0002FC
6805
6806 680 
6807 TCDT7
6808  .
6809 EQU
6810  0x0002FC
6811
6812 681 
6813 _tccs7
6814  .
6815 EQU
6816  0x0002FF
6817
6818 682 
6819 TCCS7
6820  .
6821 EQU
6822  0x0002FF
6823
6824 683 
6825 _roms
6826  .
6827 EQU
6828  0x000390
6829
6830 684 
6831 ROMS
6832  .
6833 EQU
6834  0x000390
6835
6836 685 
6837 _bsd0
6838  .
6839 EQU
6840  0x0003F0
6841
6842 686 
6843 BSD0
6844  .
6845 EQU
6846  0x0003F0
6847
6848 687 
6849 _bsd1
6850  .
6851 EQU
6852  0x0003F4
6853
6854 688 
6855 BSD1
6856  .
6857 EQU
6858  0x0003F4
6859
6860 689 
6861 _bsdc
6862  .
6863 EQU
6864  0x0003F8
6865
6866 690 
6867 BSDC
6868  .
6869 EQU
6870  0x0003F8
6871
6872 691 
6873 _b¤r
6874  .
6875 EQU
6876  0x0003FC
6877
6878 692 
6879 BSRR
6880  .
6881 EQU
6882  0x0003FC
6883
6884 693 
6885 _iü00
6886  .
6887 EQU
6888  0x000440
6889
6890 694 
6891 ICR00
6892  .
6893 EQU
6894  0x000440
6895
6896 695 
6897 _iü01
6898  .
6899 EQU
6900  0x000441
6901
6902 696 
6903 ICR01
6904  .
6905 EQU
6906  0x000441
6907
6908 697 
6909 _iü02
6910  .
6911 EQU
6912  0x000442
6913
6914 698 
6915 ICR02
6916  .
6917 EQU
6918  0x000442
6919
6920 699 
6921 _iü03
6922  .
6923 EQU
6924  0x000443
6925
6926 700 
6927 ICR03
6928  .
6929 EQU
6930  0x000443
6931
6932 701 
6933 _iü04
6934  .
6935 EQU
6936  0x000444
6937
6938 702 
6939 ICR04
6940  .
6941 EQU
6942  0x000444
6943
6944 703 
6945 _iü05
6946  .
6947 EQU
6948  0x000445
6949
6950 704 
6951 ICR05
6952  .
6953 EQU
6954  0x000445
6955
6956 705 
6957 _iü06
6958  .
6959 EQU
6960  0x000446
6961
6962 706 
6963 ICR06
6964  .
6965 EQU
6966  0x000446
6967
6968 707 
6969 _iü07
6970  .
6971 EQU
6972  0x000447
6973
6974 708 
6975 ICR07
6976  .
6977 EQU
6978  0x000447
6979
6980 709 
6981 _iü08
6982  .
6983 EQU
6984  0x000448
6985
6986 710 
6987 ICR08
6988  .
6989 EQU
6990  0x000448
6991
6992 711 
6993 _iü09
6994  .
6995 EQU
6996  0x000449
6997
6998 712 
6999 ICR09
7000  .
7001 EQU
7002  0x000449
7003
7004 713 
7005 _iü10
7006  .
7007 EQU
7008  0x00044A
7009
7010 714 
7011 ICR10
7012  .
7013 EQU
7014  0x00044A
7015
7016 715 
7017 _iü11
7018  .
7019 EQU
7020  0x00044B
7021
7022 716 
7023 ICR11
7024  .
7025 EQU
7026  0x00044B
7027
7028 717 
7029 _iü12
7030  .
7031 EQU
7032  0x00044C
7033
7034 718 
7035 ICR12
7036  .
7037 EQU
7038  0x00044C
7039
7040 719 
7041 _iü13
7042  .
7043 EQU
7044  0x00044D
7045
7046 720 
7047 ICR13
7048  .
7049 EQU
7050  0x00044D
7051
7052 721 
7053 _iü14
7054  .
7055 EQU
7056  0x00044E
7057
7058 722 
7059 ICR14
7060  .
7061 EQU
7062  0x00044E
7063
7064 723 
7065 _iü15
7066  .
7067 EQU
7068  0x00044F
7069
7070 724 
7071 ICR15
7072  .
7073 EQU
7074  0x00044F
7075
7076 725 
7077 _iü16
7078  .
7079 EQU
7080  0x000450
7081
7082 726 
7083 ICR16
7084  .
7085 EQU
7086  0x000450
7087
7088 727 
7089 _iü17
7090  .
7091 EQU
7092  0x000451
7093
7094 728 
7095 ICR17
7096  .
7097 EQU
7098  0x000451
7099
7100 729 
7101 _iü18
7102  .
7103 EQU
7104  0x000452
7105
7106 730 
7107 ICR18
7108  .
7109 EQU
7110  0x000452
7111
7112 731 
7113 _iü19
7114  .
7115 EQU
7116  0x000453
7117
7118 732 
7119 ICR19
7120  .
7121 EQU
7122  0x000453
7123
7124 733 
7125 _iü20
7126  .
7127 EQU
7128  0x000454
7129
7130 734 
7131 ICR20
7132  .
7133 EQU
7134  0x000454
7135
7136 735 
7137 _iü21
7138  .
7139 EQU
7140  0x000455
7141
7142 736 
7143 ICR21
7144  .
7145 EQU
7146  0x000455
7147
7148 737 
7149 _iü22
7150  .
7151 EQU
7152  0x000456
7153
7154 738 
7155 ICR22
7156  .
7157 EQU
7158  0x000456
7159
7160 739 
7161 _iü23
7162  .
7163 EQU
7164  0x000457
7165
7166 740 
7167 ICR23
7168  .
7169 EQU
7170  0x000457
7171
7172 741 
7173 _iü24
7174  .
7175 EQU
7176  0x000458
7177
7178 742 
7179 ICR24
7180  .
7181 EQU
7182  0x000458
7183
7184 743 
7185 _iü25
7186  .
7187 EQU
7188  0x000459
7189
7190 744 
7191 ICR25
7192  .
7193 EQU
7194  0x000459
7195
7196 745 
7197 _iü26
7198  .
7199 EQU
7200  0x00045A
7201
7202 746 
7203 ICR26
7204  .
7205 EQU
7206  0x00045A
7207
7208 747 
7209 _iü27
7210  .
7211 EQU
7212  0x00045B
7213
7214 748 
7215 ICR27
7216  .
7217 EQU
7218  0x00045B
7219
7220 749 
7221 _iü28
7222  .
7223 EQU
7224  0x00045C
7225
7226 750 
7227 ICR28
7228  .
7229 EQU
7230  0x00045C
7231
7232 751 
7233 _iü29
7234  .
7235 EQU
7236  0x00045D
7237
7238 752 
7239 ICR29
7240  .
7241 EQU
7242  0x00045D
7243
7244 753 
7245 _iü30
7246  .
7247 EQU
7248  0x00045E
7249
7250 754 
7251 ICR30
7252  .
7253 EQU
7254  0x00045E
7255
7256 755 
7257 _iü31
7258  .
7259 EQU
7260  0x00045F
7261
7262 756 
7263 ICR31
7264  .
7265 EQU
7266  0x00045F
7267
7268 757 
7269 _iü32
7270  .
7271 EQU
7272  0x000460
7273
7274 758 
7275 ICR32
7276  .
7277 EQU
7278  0x000460
7279
7280 759 
7281 _iü33
7282  .
7283 EQU
7284  0x000461
7285
7286 760 
7287 ICR33
7288  .
7289 EQU
7290  0x000461
7291
7292 761 
7293 _iü34
7294  .
7295 EQU
7296  0x000462
7297
7298 762 
7299 ICR34
7300  .
7301 EQU
7302  0x000462
7303
7304 763 
7305 _iü35
7306  .
7307 EQU
7308  0x000463
7309
7310 764 
7311 ICR35
7312  .
7313 EQU
7314  0x000463
7315
7316 765 
7317 _iü36
7318  .
7319 EQU
7320  0x000464
7321
7322 766 
7323 ICR36
7324  .
7325 EQU
7326  0x000464
7327
7328 767 
7329 _iü37
7330  .
7331 EQU
7332  0x000465
7333
7334 768 
7335 ICR37
7336  .
7337 EQU
7338  0x000465
7339
7340 769 
7341 _iü38
7342  .
7343 EQU
7344  0x000466
7345
7346 770 
7347 ICR38
7348  .
7349 EQU
7350  0x000466
7351
7352 771 
7353 _iü39
7354  .
7355 EQU
7356  0x000467
7357
7358 772 
7359 ICR39
7360  .
7361 EQU
7362  0x000467
7363
7364 773 
7365 _iü40
7366  .
7367 EQU
7368  0x000468
7369
7370 774 
7371 ICR40
7372  .
7373 EQU
7374  0x000468
7375
7376 775 
7377 _iü41
7378  .
7379 EQU
7380  0x000469
7381
7382 776 
7383 ICR41
7384  .
7385 EQU
7386  0x000469
7387
7388 777 
7389 _iü42
7390  .
7391 EQU
7392  0x00046A
7393
7394 778 
7395 ICR42
7396  .
7397 EQU
7398  0x00046A
7399
7400 779 
7401 _iü43
7402  .
7403 EQU
7404  0x00046B
7405
7406 780 
7407 ICR43
7408  .
7409 EQU
7410  0x00046B
7411
7412 781 
7413 _iü44
7414  .
7415 EQU
7416  0x00046C
7417
7418 782 
7419 ICR44
7420  .
7421 EQU
7422  0x00046C
7423
7424 783 
7425 _iü45
7426  .
7427 EQU
7428  0x00046D
7429
7430 784 
7431 ICR45
7432  .
7433 EQU
7434  0x00046D
7435
7436 785 
7437 _iü46
7438  .
7439 EQU
7440  0x00046E
7441
7442 786 
7443 ICR46
7444  .
7445 EQU
7446  0x00046E
7447
7448 787 
7449 _iü47
7450  .
7451 EQU
7452  0x00046F
7453
7454 788 
7455 ICR47
7456  .
7457 EQU
7458  0x00046F
7459
7460 789 
7461 _iü48
7462  .
7463 EQU
7464  0x000470
7465
7466 790 
7467 ICR48
7468  .
7469 EQU
7470  0x000470
7471
7472 791 
7473 _iü49
7474  .
7475 EQU
7476  0x000471
7477
7478 792 
7479 ICR49
7480  .
7481 EQU
7482  0x000471
7483
7484 793 
7485 _iü50
7486  .
7487 EQU
7488  0x000472
7489
7490 794 
7491 ICR50
7492  .
7493 EQU
7494  0x000472
7495
7496 795 
7497 _iü51
7498  .
7499 EQU
7500  0x000473
7501
7502 796 
7503 ICR51
7504  .
7505 EQU
7506  0x000473
7507
7508 797 
7509 _iü52
7510  .
7511 EQU
7512  0x000474
7513
7514 798 
7515 ICR52
7516  .
7517 EQU
7518  0x000474
7519
7520 799 
7521 _iü53
7522  .
7523 EQU
7524  0x000475
7525
7526 800 
7527 ICR53
7528  .
7529 EQU
7530  0x000475
7531
7532 801 
7533 _iü54
7534  .
7535 EQU
7536  0x000476
7537
7538 802 
7539 ICR54
7540  .
7541 EQU
7542  0x000476
7543
7544 803 
7545 _iü55
7546  .
7547 EQU
7548  0x000477
7549
7550 804 
7551 ICR55
7552  .
7553 EQU
7554  0x000477
7555
7556 805 
7557 _iü56
7558  .
7559 EQU
7560  0x000478
7561
7562 806 
7563 ICR56
7564  .
7565 EQU
7566  0x000478
7567
7568 807 
7569 _iü57
7570  .
7571 EQU
7572  0x000479
7573
7574 808 
7575 ICR57
7576  .
7577 EQU
7578  0x000479
7579
7580 809 
7581 _iü58
7582  .
7583 EQU
7584  0x00047A
7585
7586 810 
7587 ICR58
7588  .
7589 EQU
7590  0x00047A
7591
7592 811 
7593 _iü59
7594  .
7595 EQU
7596  0x00047B
7597
7598 812 
7599 ICR59
7600  .
7601 EQU
7602  0x00047B
7603
7604 813 
7605 _iü60
7606  .
7607 EQU
7608  0x00047C
7609
7610 814 
7611 ICR60
7612  .
7613 EQU
7614  0x00047C
7615
7616 815 
7617 _iü61
7618  .
7619 EQU
7620  0x00047D
7621
7622 816 
7623 ICR61
7624  .
7625 EQU
7626  0x00047D
7627
7628 817 
7629 _iü62
7630  .
7631 EQU
7632  0x00047E
7633
7634 818 
7635 ICR62
7636  .
7637 EQU
7638  0x00047E
7639
7640 819 
7641 _iü63
7642  .
7643 EQU
7644  0x00047F
7645
7646 820 
7647 ICR63
7648  .
7649 EQU
7650  0x00047F
7651
7652 821 
7653 _r¤r
7654  .
7655 EQU
7656  0x000480
7657
7658 822 
7659 RSRR
7660  .
7661 EQU
7662  0x000480
7663
7664 823 
7665 _¡ü
7666  .
7667 EQU
7668  0x000481
7669
7670 824 
7671 STCR
7672  .
7673 EQU
7674  0x000481
7675
7676 825 
7677 _tbü
7678  .
7679 EQU
7680  0x000482
7681
7682 826 
7683 TBCR
7684  .
7685 EQU
7686  0x000482
7687
7688 827 
7689 _ùbr
7690  .
7691 EQU
7692  0x000483
7693
7694 828 
7695 CTBR
7696  .
7697 EQU
7698  0x000483
7699
7700 829 
7701 _þkr
7702  .
7703 EQU
7704  0x000484
7705
7706 830 
7707 CLKR
7708  .
7709 EQU
7710  0x000484
7711
7712 831 
7713 _w´
7714  .
7715 EQU
7716  0x000485
7717
7718 832 
7719 WPR
7720  .
7721 EQU
7722  0x000485
7723
7724 833 
7725 _divr0
7726  .
7727 EQU
7728  0x000486
7729
7730 834 
7731 DIVR0
7732  .
7733 EQU
7734  0x000486
7735
7736 835 
7737 _divr1
7738  .
7739 EQU
7740  0x000487
7741
7742 836 
7743 DIVR1
7744  .
7745 EQU
7746  0x000487
7747
7748 837 
7749 _¶ldivm
7750  .
7751 EQU
7752  0x00048C
7753
7754 838 
7755 PLLDIVM
7756  .
7757 EQU
7758  0x00048C
7759
7760 839 
7761 _¶ldivn
7762  .
7763 EQU
7764  0x00048D
7765
7766 840 
7767 PLLDIVN
7768  .
7769 EQU
7770  0x00048D
7771
7772 841 
7773 _¶ldivg
7774  .
7775 EQU
7776  0x00048E
7777
7778 842 
7779 PLLDIVG
7780  .
7781 EQU
7782  0x00048E
7783
7784 843 
7785 _¶lmulg
7786  .
7787 EQU
7788  0x00048F
7789
7790 844 
7791 PLLMULG
7792  .
7793 EQU
7794  0x00048F
7795
7796 845 
7797 _¶lù¾
7798  .
7799 EQU
7800  0x000490
7801
7802 846 
7803 PLLCTRL
7804  .
7805 EQU
7806  0x000490
7807
7808 847 
7809 _oscc1
7810  .
7811 EQU
7812  0x000494
7813
7814 848 
7815 OSCC1
7816  .
7817 EQU
7818  0x000494
7819
7820 849 
7821 _oscs1
7822  .
7823 EQU
7824  0x000495
7825
7826 850 
7827 OSCS1
7828  .
7829 EQU
7830  0x000495
7831
7832 851 
7833 _oscc2
7834  .
7835 EQU
7836  0x000496
7837
7838 852 
7839 OSCC2
7840  .
7841 EQU
7842  0x000496
7843
7844 853 
7845 _oscs2
7846  .
7847 EQU
7848  0x000497
7849
7850 854 
7851 OSCS2
7852  .
7853 EQU
7854  0x000497
7855
7856 855 
7857 _p܋n
7858  .
7859 EQU
7860  0x000498
7861
7862 856 
7863 PORTEN
7864  .
7865 EQU
7866  0x000498
7867
7868 857 
7869 _wtûr
7870  .
7871 EQU
7872  0x0004A1
7873
7874 858 
7875 WTCER
7876  .
7877 EQU
7878  0x0004A1
7879
7880 859 
7881 _wtü
7882  .
7883 EQU
7884  0x0004A2
7885
7886 860 
7887 WTCR
7888  .
7889 EQU
7890  0x0004A2
7891
7892 861 
7893 _wtbr
7894  .
7895 EQU
7896  0x0004A4
7897
7898 862 
7899 WTBR
7900  .
7901 EQU
7902  0x0004A4
7903
7904 863 
7905 _wthr
7906  .
7907 EQU
7908  0x0004A8
7909
7910 864 
7911 WTHR
7912  .
7913 EQU
7914  0x0004A8
7915
7916 865 
7917 _wtmr
7918  .
7919 EQU
7920  0x0004A9
7921
7922 866 
7923 WTMR
7924  .
7925 EQU
7926  0x0004A9
7927
7928 867 
7929 _wt¤
7930  .
7931 EQU
7932  0x0004AA
7933
7934 868 
7935 WTSR
7936  .
7937 EQU
7938  0x0004AA
7939
7940 869 
7941 _csv\8c
7942  .
7943 EQU
7944  0x0004AC
7945
7946 870 
7947 CSVTR
7948  .
7949 EQU
7950  0x0004AC
7951
7952 871 
7953 _csvü
7954  .
7955 EQU
7956  0x0004AD
7957
7958 872 
7959 CSVCR
7960  .
7961 EQU
7962  0x0004AD
7963
7964 873 
7965 _cscfg
7966  .
7967 EQU
7968  0x0004AE
7969
7970 874 
7971 CSCFG
7972  .
7973 EQU
7974  0x0004AE
7975
7976 875 
7977 _cmcfg
7978  .
7979 EQU
7980  0x0004AF
7981
7982 876 
7983 CMCFG
7984  .
7985 EQU
7986  0x0004AF
7987
7988 877 
7989 _cuü
7990  .
7991 EQU
7992  0x0004B0
7993
7994 878 
7995 CUCR
7996  .
7997 EQU
7998  0x0004B0
7999
8000 879 
8001 _cutd
8002  .
8003 EQU
8004  0x0004B2
8005
8006 880 
8007 CUTD
8008  .
8009 EQU
8010  0x0004B2
8011
8012 881 
8013 _cu\8c1
8014  .
8015 EQU
8016  0x0004B4
8017
8018 882 
8019 CUTR1
8020  .
8021 EQU
8022  0x0004B4
8023
8024 883 
8025 _cu\8c2
8026  .
8027 EQU
8028  0x0004B6
8029
8030 884 
8031 CUTR2
8032  .
8033 EQU
8034  0x0004B6
8035
8036 885 
8037 _cm´
8038  .
8039 EQU
8040  0x0004B8
8041
8042 886 
8043 CMPR
8044  .
8045 EQU
8046  0x0004B8
8047
8048 887 
8049 _cmü
8050  .
8051 EQU
8052  0x0004BB
8053
8054 888 
8055 CMCR
8056  .
8057 EQU
8058  0x0004BB
8059
8060 889 
8061 _cmt1
8062  .
8063 EQU
8064  0x0004BC
8065
8066 890 
8067 CMT1
8068  .
8069 EQU
8070  0x0004BC
8071
8072 891 
8073 _cmt2
8074  .
8075 EQU
8076  0x0004BE
8077
8078 892 
8079 CMT2
8080  .
8081 EQU
8082  0x0004BE
8083
8084 893 
8085 _ÿÅ»
8086  .
8087 EQU
8088  0x0004C0
8089
8090 894 
8091 CANPRE
8092  .
8093 EQU
8094  0x0004C0
8095
8096 895 
8097 _ÿnckd
8098  .
8099 EQU
8100  0x0004C1
8101
8102 896 
8103 CANCKD
8104  .
8105 EQU
8106  0x0004C1
8107
8108 897 
8109 _lv£l
8110  .
8111 EQU
8112  0x0004C4
8113
8114 898 
8115 LVSEL
8116  .
8117 EQU
8118  0x0004C4
8119
8120 899 
8121 _lvd\91
8122  .
8123 EQU
8124  0x0004C5
8125
8126 900 
8127 LVDET
8128  .
8129 EQU
8130  0x0004C5
8131
8132 901 
8133 _hwwde
8134  .
8135 EQU
8136  0x0004C6
8137
8138 902 
8139 HWWDE
8140  .
8141 EQU
8142  0x0004C6
8143
8144 903 
8145 _hwwd
8146  .
8147 EQU
8148  0x0004C7
8149
8150 904 
8151 HWWD
8152  .
8153 EQU
8154  0x0004C7
8155
8156 905 
8157 _osüh
8158  .
8159 EQU
8160  0x0004C8
8161
8162 906 
8163 OSCRH
8164  .
8165 EQU
8166  0x0004C8
8167
8168 907 
8169 _osül
8170  .
8171 EQU
8172  0x0004C9
8173
8174 908 
8175 OSCRL
8176  .
8177 EQU
8178  0x0004C9
8179
8180 909 
8181 _wpüh
8182  .
8183 EQU
8184  0x0004CA
8185
8186 910 
8187 WPCRH
8188  .
8189 EQU
8190  0x0004CA
8191
8192 911 
8193 _wpül
8194  .
8195 EQU
8196  0x0004CB
8197
8198 912 
8199 WPCRL
8200  .
8201 EQU
8202  0x0004CB
8203
8204 913 
8205 _oscü
8206  .
8207 EQU
8208  0x0004CC
8209
8210 914 
8211 OSCCR
8212  .
8213 EQU
8214  0x0004CC
8215
8216 915 
8217 _»g£l
8218  .
8219 EQU
8220  0x0004CE
8221
8222 916 
8223 REGSEL
8224  .
8225 EQU
8226  0x0004CE
8227
8228 917 
8229 _»gùr
8230  .
8231 EQU
8232  0x0004CF
8233
8234 918 
8235 REGCTR
8236  .
8237 EQU
8238  0x0004CF
8239
8240 919 
8241 _modr
8242  .
8243 EQU
8244  0x0007FD
8245
8246 920 
8247 MODR
8248  .
8249 EQU
8250  0x0007FD
8251
8252 921 
8253 _pdrd14
8254  .
8255 EQU
8256  0x000D0E
8257
8258 922 
8259 PDRD14
8260  .
8261 EQU
8262  0x000D0E
8263
8264 923 
8265 _pdrd15
8266  .
8267 EQU
8268  0x000D0F
8269
8270 924 
8271 PDRD15
8272  .
8273 EQU
8274  0x000D0F
8275
8276 925 
8277 _pdrd16
8278  .
8279 EQU
8280  0x000D10
8281
8282 926 
8283 PDRD16
8284  .
8285 EQU
8286  0x000D10
8287
8288 927 
8289 _pdrd17
8290  .
8291 EQU
8292  0x000D11
8293
8294 928 
8295 PDRD17
8296  .
8297 EQU
8298  0x000D11
8299
8300 929 
8301 _pdrd18
8302  .
8303 EQU
8304  0x000D12
8305
8306 930 
8307 PDRD18
8308  .
8309 EQU
8310  0x000D12
8311
8312 931 
8313 _pdrd19
8314  .
8315 EQU
8316  0x000D13
8317
8318 932 
8319 PDRD19
8320  .
8321 EQU
8322  0x000D13
8323
8324 933 
8325 _pdrd20
8326  .
8327 EQU
8328  0x000D14
8329
8330 934 
8331 PDRD20
8332  .
8333 EQU
8334  0x000D14
8335
8336 935 
8337 _pdrd21
8338  .
8339 EQU
8340  0x000D15
8341
8342 936 
8343 PDRD21
8344  .
8345 EQU
8346  0x000D15
8347
8348 937 
8349 _pdrd22
8350  .
8351 EQU
8352  0x000D16
8353
8354 938 
8355 PDRD22
8356  .
8357 EQU
8358  0x000D16
8359
8360 939 
8361 _pdrd24
8362  .
8363 EQU
8364  0x000D18
8365
8366 940 
8367 PDRD24
8368  .
8369 EQU
8370  0x000D18
8371
8372 941 
8373 _pdrd26
8374  .
8375 EQU
8376  0x000D1A
8377
8378 942 
8379 PDRD26
8380  .
8381 EQU
8382  0x000D1A
8383
8384 943 
8385 _pdrd27
8386  .
8387 EQU
8388  0x000D1B
8389
8390 944 
8391 PDRD27
8392  .
8393 EQU
8394  0x000D1B
8395
8396 945 
8397 _pdrd28
8398  .
8399 EQU
8400  0x000D1C
8401
8402 946 
8403 PDRD28
8404  .
8405 EQU
8406  0x000D1C
8407
8408 947 
8409 _pdrd29
8410  .
8411 EQU
8412  0x000D1D
8413
8414 948 
8415 PDRD29
8416  .
8417 EQU
8418  0x000D1D
8419
8420 949 
8421 _ddr14
8422  .
8423 EQU
8424  0x000D4E
8425
8426 950 
8427 DDR14
8428  .
8429 EQU
8430  0x000D4E
8431
8432 951 
8433 _ddr15
8434  .
8435 EQU
8436  0x000D4F
8437
8438 952 
8439 DDR15
8440  .
8441 EQU
8442  0x000D4F
8443
8444 953 
8445 _ddr16
8446  .
8447 EQU
8448  0x000D50
8449
8450 954 
8451 DDR16
8452  .
8453 EQU
8454  0x000D50
8455
8456 955 
8457 _ddr17
8458  .
8459 EQU
8460  0x000D51
8461
8462 956 
8463 DDR17
8464  .
8465 EQU
8466  0x000D51
8467
8468 957 
8469 _ddr18
8470  .
8471 EQU
8472  0x000D52
8473
8474 958 
8475 DDR18
8476  .
8477 EQU
8478  0x000D52
8479
8480 959 
8481 _ddr19
8482  .
8483 EQU
8484  0x000D53
8485
8486 960 
8487 DDR19
8488  .
8489 EQU
8490  0x000D53
8491
8492 961 
8493 _ddr20
8494  .
8495 EQU
8496  0x000D54
8497
8498 962 
8499 DDR20
8500  .
8501 EQU
8502  0x000D54
8503
8504 963 
8505 _ddr21
8506  .
8507 EQU
8508  0x000D55
8509
8510 964 
8511 DDR21
8512  .
8513 EQU
8514  0x000D55
8515
8516 965 
8517 _ddr22
8518  .
8519 EQU
8520  0x000D56
8521
8522 966 
8523 DDR22
8524  .
8525 EQU
8526  0x000D56
8527
8528 967 
8529 _ddr24
8530  .
8531 EQU
8532  0x000D58
8533
8534 968 
8535 DDR24
8536  .
8537 EQU
8538  0x000D58
8539
8540 969 
8541 _ddr26
8542  .
8543 EQU
8544  0x000D5A
8545
8546 970 
8547 DDR26
8548  .
8549 EQU
8550  0x000D5A
8551
8552 971 
8553 _ddr27
8554  .
8555 EQU
8556  0x000D5B
8557
8558 972 
8559 DDR27
8560  .
8561 EQU
8562  0x000D5B
8563
8564 973 
8565 _ddr28
8566  .
8567 EQU
8568  0x000D5C
8569
8570 974 
8571 DDR28
8572  .
8573 EQU
8574  0x000D5C
8575
8576 975 
8577 _ddr29
8578  .
8579 EQU
8580  0x000D5D
8581
8582 976 
8583 DDR29
8584  .
8585 EQU
8586  0x000D5D
8587
8588 977 
8589 _pä14
8590  .
8591 EQU
8592  0x000D8E
8593
8594 978 
8595 PFR14
8596  .
8597 EQU
8598  0x000D8E
8599
8600 979 
8601 _pä15
8602  .
8603 EQU
8604  0x000D8F
8605
8606 980 
8607 PFR15
8608  .
8609 EQU
8610  0x000D8F
8611
8612 981 
8613 _pä16
8614  .
8615 EQU
8616  0x000D90
8617
8618 982 
8619 PFR16
8620  .
8621 EQU
8622  0x000D90
8623
8624 983 
8625 _pä17
8626  .
8627 EQU
8628  0x000D91
8629
8630 984 
8631 PFR17
8632  .
8633 EQU
8634  0x000D91
8635
8636 985 
8637 _pä18
8638  .
8639 EQU
8640  0x000D92
8641
8642 986 
8643 PFR18
8644  .
8645 EQU
8646  0x000D92
8647
8648 987 
8649 _pä19
8650  .
8651 EQU
8652  0x000D93
8653
8654 988 
8655 PFR19
8656  .
8657 EQU
8658  0x000D93
8659
8660 989 
8661 _pä20
8662  .
8663 EQU
8664  0x000D94
8665
8666 990 
8667 PFR20
8668  .
8669 EQU
8670  0x000D94
8671
8672 991 
8673 _pä21
8674  .
8675 EQU
8676  0x000D95
8677
8678 992 
8679 PFR21
8680  .
8681 EQU
8682  0x000D95
8683
8684 993 
8685 _pä22
8686  .
8687 EQU
8688  0x000D96
8689
8690 994 
8691 PFR22
8692  .
8693 EQU
8694  0x000D96
8695
8696 995 
8697 _pä24
8698  .
8699 EQU
8700  0x000D98
8701
8702 996 
8703 PFR24
8704  .
8705 EQU
8706  0x000D98
8707
8708 997 
8709 _pä26
8710  .
8711 EQU
8712  0x000D9A
8713
8714 998 
8715 PFR26
8716  .
8717 EQU
8718  0x000D9A
8719
8720 999 
8721 _pä27
8722  .
8723 EQU
8724  0x000D9B
8725
8726 1000 
8727 PFR27
8728  .
8729 EQU
8730  0x000D9B
8731
8732 1001 
8733 _pä28
8734  .
8735 EQU
8736  0x000D9C
8737
8738 1002 
8739 PFR28
8740  .
8741 EQU
8742  0x000D9C
8743
8744 1003 
8745 _pä29
8746  .
8747 EQU
8748  0x000D9D
8749
8750 1004 
8751 PFR29
8752  .
8753 EQU
8754  0x000D9D
8755
8756 1005 
8757 _\95ä14
8758  .
8759 EQU
8760  0x000DCE
8761
8762 1006 
8763 EPFR14
8764  .
8765 EQU
8766  0x000DCE
8767
8768 1007 
8769 _\95ä15
8770  .
8771 EQU
8772  0x000DCF
8773
8774 1008 
8775 EPFR15
8776  .
8777 EQU
8778  0x000DCF
8779
8780 1009 
8781 _\95ä16
8782  .
8783 EQU
8784  0x000DD0
8785
8786 1010 
8787 EPFR16
8788  .
8789 EQU
8790  0x000DD0
8791
8792 1011 
8793 _\95ä17
8794  .
8795 EQU
8796  0x000DD1
8797
8798 1012 
8799 EPFR17
8800  .
8801 EQU
8802  0x000DD1
8803
8804 1013 
8805 _\95ä18
8806  .
8807 EQU
8808  0x000DD2
8809
8810 1014 
8811 EPFR18
8812  .
8813 EQU
8814  0x000DD2
8815
8816 1015 
8817 _\95ä19
8818  .
8819 EQU
8820  0x000DD3
8821
8822 1016 
8823 EPFR19
8824  .
8825 EQU
8826  0x000DD3
8827
8828 1017 
8829 _\95ä20
8830  .
8831 EQU
8832  0x000DD4
8833
8834 1018 
8835 EPFR20
8836  .
8837 EQU
8838  0x000DD4
8839
8840 1019 
8841 _\95ä21
8842  .
8843 EQU
8844  0x000DD5
8845
8846 1020 
8847 EPFR21
8848  .
8849 EQU
8850  0x000DD5
8851
8852 1021 
8853 _\95ä22
8854  .
8855 EQU
8856  0x000DD6
8857
8858 1022 
8859 EPFR22
8860  .
8861 EQU
8862  0x000DD6
8863
8864 1023 
8865 _\95ä24
8866  .
8867 EQU
8868  0x000DD8
8869
8870 1024 
8871 EPFR24
8872  .
8873 EQU
8874  0x000DD8
8875
8876 1025 
8877 _\95ä26
8878  .
8879 EQU
8880  0x000DDA
8881
8882 1026 
8883 EPFR26
8884  .
8885 EQU
8886  0x000DDA
8887
8888 1027 
8889 _\95ä27
8890  .
8891 EQU
8892  0x000DDB
8893
8894 1028 
8895 EPFR27
8896  .
8897 EQU
8898  0x000DDB
8899
8900 1029 
8901 _\95ä29
8902  .
8903 EQU
8904  0x000DDD
8905
8906 1030 
8907 EPFR29
8908  .
8909 EQU
8910  0x000DDD
8911
8912 1031 
8913 _podr14
8914  .
8915 EQU
8916  0x000E0E
8917
8918 1032 
8919 PODR14
8920  .
8921 EQU
8922  0x000E0E
8923
8924 1033 
8925 _podr15
8926  .
8927 EQU
8928  0x000E0F
8929
8930 1034 
8931 PODR15
8932  .
8933 EQU
8934  0x000E0F
8935
8936 1035 
8937 _podr16
8938  .
8939 EQU
8940  0x000E10
8941
8942 1036 
8943 PODR16
8944  .
8945 EQU
8946  0x000E10
8947
8948 1037 
8949 _podr17
8950  .
8951 EQU
8952  0x000E11
8953
8954 1038 
8955 PODR17
8956  .
8957 EQU
8958  0x000E11
8959
8960 1039 
8961 _podr18
8962  .
8963 EQU
8964  0x000E12
8965
8966 1040 
8967 PODR18
8968  .
8969 EQU
8970  0x000E12
8971
8972 1041 
8973 _podr19
8974  .
8975 EQU
8976  0x000E13
8977
8978 1042 
8979 PODR19
8980  .
8981 EQU
8982  0x000E13
8983
8984 1043 
8985 _podr20
8986  .
8987 EQU
8988  0x000E14
8989
8990 1044 
8991 PODR20
8992  .
8993 EQU
8994  0x000E14
8995
8996 1045 
8997 _podr21
8998  .
8999 EQU
9000  0x000E15
9001
9002 1046 
9003 PODR21
9004  .
9005 EQU
9006  0x000E15
9007
9008 1047 
9009 _podr22
9010  .
9011 EQU
9012  0x000E16
9013
9014 1048 
9015 PODR22
9016  .
9017 EQU
9018  0x000E16
9019
9020 1049 
9021 _podr24
9022  .
9023 EQU
9024  0x000E18
9025
9026 1050 
9027 PODR24
9028  .
9029 EQU
9030  0x000E18
9031
9032 1051 
9033 _podr26
9034  .
9035 EQU
9036  0x000E1A
9037
9038 1052 
9039 PODR26
9040  .
9041 EQU
9042  0x000E1A
9043
9044 1053 
9045 _podr27
9046  .
9047 EQU
9048  0x000E1B
9049
9050 1054 
9051 PODR27
9052  .
9053 EQU
9054  0x000E1B
9055
9056 1055 
9057 _podr28
9058  .
9059 EQU
9060  0x000E1C
9061
9062 1056 
9063 PODR28
9064  .
9065 EQU
9066  0x000E1C
9067
9068 1057 
9069 _podr29
9070  .
9071 EQU
9072  0x000E1D
9073
9074 1058 
9075 PODR29
9076  .
9077 EQU
9078  0x000E1D
9079
9080 1059 
9081 _p\9er14
9082  .
9083 EQU
9084  0x000E4E
9085
9086 1060 
9087 PILR14
9088  .
9089 EQU
9090  0x000E4E
9091
9092 1061 
9093 _p\9er15
9094  .
9095 EQU
9096  0x000E4F
9097
9098 1062 
9099 PILR15
9100  .
9101 EQU
9102  0x000E4F
9103
9104 1063 
9105 _p\9er16
9106  .
9107 EQU
9108  0x000E50
9109
9110 1064 
9111 PILR16
9112  .
9113 EQU
9114  0x000E50
9115
9116 1065 
9117 _p\9er17
9118  .
9119 EQU
9120  0x000E51
9121
9122 1066 
9123 PILR17
9124  .
9125 EQU
9126  0x000E51
9127
9128 1067 
9129 _p\9er18
9130  .
9131 EQU
9132  0x000E52
9133
9134 1068 
9135 PILR18
9136  .
9137 EQU
9138  0x000E52
9139
9140 1069 
9141 _p\9er19
9142  .
9143 EQU
9144  0x000E53
9145
9146 1070 
9147 PILR19
9148  .
9149 EQU
9150  0x000E53
9151
9152 1071 
9153 _p\9er20
9154  .
9155 EQU
9156  0x000E54
9157
9158 1072 
9159 PILR20
9160  .
9161 EQU
9162  0x000E54
9163
9164 1073 
9165 _p\9er21
9166  .
9167 EQU
9168  0x000E55
9169
9170 1074 
9171 PILR21
9172  .
9173 EQU
9174  0x000E55
9175
9176 1075 
9177 _p\9er22
9178  .
9179 EQU
9180  0x000E56
9181
9182 1076 
9183 PILR22
9184  .
9185 EQU
9186  0x000E56
9187
9188 1077 
9189 _p\9er24
9190  .
9191 EQU
9192  0x000E58
9193
9194 1078 
9195 PILR24
9196  .
9197 EQU
9198  0x000E58
9199
9200 1079 
9201 _p\9er26
9202  .
9203 EQU
9204  0x000E5A
9205
9206 1080 
9207 PILR26
9208  .
9209 EQU
9210  0x000E5A
9211
9212 1081 
9213 _p\9er27
9214  .
9215 EQU
9216  0x000E5B
9217
9218 1082 
9219 PILR27
9220  .
9221 EQU
9222  0x000E5B
9223
9224 1083 
9225 _p\9er28
9226  .
9227 EQU
9228  0x000E5C
9229
9230 1084 
9231 PILR28
9232  .
9233 EQU
9234  0x000E5C
9235
9236 1085 
9237 _p\9er29
9238  .
9239 EQU
9240  0x000E5D
9241
9242 1086 
9243 PILR29
9244  .
9245 EQU
9246  0x000E5D
9247
9248 1087 
9249 _\95\9er14
9250  .
9251 EQU
9252  0x000E8E
9253
9254 1088 
9255 EPILR14
9256  .
9257 EQU
9258  0x000E8E
9259
9260 1089 
9261 _\95\9er15
9262  .
9263 EQU
9264  0x000E8F
9265
9266 1090 
9267 EPILR15
9268  .
9269 EQU
9270  0x000E8F
9271
9272 1091 
9273 _\95\9er16
9274  .
9275 EQU
9276  0x000E90
9277
9278 1092 
9279 EPILR16
9280  .
9281 EQU
9282  0x000E90
9283
9284 1093 
9285 _\95\9er17
9286  .
9287 EQU
9288  0x000E91
9289
9290 1094 
9291 EPILR17
9292  .
9293 EQU
9294  0x000E91
9295
9296 1095 
9297 _\95\9er18
9298  .
9299 EQU
9300  0x000E92
9301
9302 1096 
9303 EPILR18
9304  .
9305 EQU
9306  0x000E92
9307
9308 1097 
9309 _\95\9er19
9310  .
9311 EQU
9312  0x000E93
9313
9314 1098 
9315 EPILR19
9316  .
9317 EQU
9318  0x000E93
9319
9320 1099 
9321 _\95\9er20
9322  .
9323 EQU
9324  0x000E94
9325
9326 1100 
9327 EPILR20
9328  .
9329 EQU
9330  0x000E94
9331
9332 1101 
9333 _\95\9er21
9334  .
9335 EQU
9336  0x000E95
9337
9338 1102 
9339 EPILR21
9340  .
9341 EQU
9342  0x000E95
9343
9344 1103 
9345 _\95\9er22
9346  .
9347 EQU
9348  0x000E96
9349
9350 1104 
9351 EPILR22
9352  .
9353 EQU
9354  0x000E96
9355
9356 1105 
9357 _\95\9er24
9358  .
9359 EQU
9360  0x000E98
9361
9362 1106 
9363 EPILR24
9364  .
9365 EQU
9366  0x000E98
9367
9368 1107 
9369 _\95\9er26
9370  .
9371 EQU
9372  0x000E9A
9373
9374 1108 
9375 EPILR26
9376  .
9377 EQU
9378  0x000E9A
9379
9380 1109 
9381 _\95\9er27
9382  .
9383 EQU
9384  0x000E9B
9385
9386 1110 
9387 EPILR27
9388  .
9389 EQU
9390  0x000E9B
9391
9392 1111 
9393 _\95\9er28
9394  .
9395 EQU
9396  0x000E9C
9397
9398 1112 
9399 EPILR28
9400  .
9401 EQU
9402  0x000E9C
9403
9404 1113 
9405 _\95\9er29
9406  .
9407 EQU
9408  0x000E9D
9409
9410 1114 
9411 EPILR29
9412  .
9413 EQU
9414  0x000E9D
9415
9416 1115 
9417\9414
9418  .
9419 EQU
9420  0x000ECE
9421
9422 1116 
9423 PPER14
9424  .
9425 EQU
9426  0x000ECE
9427
9428 1117 
9429\9415
9430  .
9431 EQU
9432  0x000ECF
9433
9434 1118 
9435 PPER15
9436  .
9437 EQU
9438  0x000ECF
9439
9440 1119 
9441\9416
9442  .
9443 EQU
9444  0x000ED0
9445
9446 1120 
9447 PPER16
9448  .
9449 EQU
9450  0x000ED0
9451
9452 1121 
9453\9417
9454  .
9455 EQU
9456  0x000ED1
9457
9458 1122 
9459 PPER17
9460  .
9461 EQU
9462  0x000ED1
9463
9464 1123 
9465\9418
9466  .
9467 EQU
9468  0x000ED1
9469
9470 1124 
9471 PPER18
9472  .
9473 EQU
9474  0x000ED1
9475
9476 1125 
9477\9419
9478  .
9479 EQU
9480  0x000ED2
9481
9482 1126 
9483 PPER19
9484  .
9485 EQU
9486  0x000ED2
9487
9488 1127 
9489\9420
9490  .
9491 EQU
9492  0x000ED4
9493
9494 1128 
9495 PPER20
9496  .
9497 EQU
9498  0x000ED4
9499
9500 1129 
9501\9421
9502  .
9503 EQU
9504  0x000ED5
9505
9506 1130 
9507 PPER21
9508  .
9509 EQU
9510  0x000ED5
9511
9512 1131 
9513\9422
9514  .
9515 EQU
9516  0x000ED6
9517
9518 1132 
9519 PPER22
9520  .
9521 EQU
9522  0x000ED6
9523
9524 1133 
9525\9424
9526  .
9527 EQU
9528  0x000ED8
9529
9530 1134 
9531 PPER24
9532  .
9533 EQU
9534  0x000ED8
9535
9536 1135 
9537\9426
9538  .
9539 EQU
9540  0x000EDA
9541
9542 1136 
9543 PPER26
9544  .
9545 EQU
9546  0x000EDA
9547
9548 1137 
9549\9427
9550  .
9551 EQU
9552  0x000EDB
9553
9554 1138 
9555 PPER27
9556  .
9557 EQU
9558  0x000EDB
9559
9560 1139 
9561\9428
9562  .
9563 EQU
9564  0x000EDC
9565
9566 1140 
9567 PPER28
9568  .
9569 EQU
9570  0x000EDC
9571
9572 1141 
9573\9429
9574  .
9575 EQU
9576  0x000EDD
9577
9578 1142 
9579 PPER29
9580  .
9581 EQU
9582  0x000EDD
9583
9584 1143 
9585 _µü14
9586  .
9587 EQU
9588  0x000F0E
9589
9590 1144 
9591 PPCR14
9592  .
9593 EQU
9594  0x000F0E
9595
9596 1145 
9597 _µü15
9598  .
9599 EQU
9600  0x000F0F
9601
9602 1146 
9603 PPCR15
9604  .
9605 EQU
9606  0x000F0F
9607
9608 1147 
9609 _µü16
9610  .
9611 EQU
9612  0x000F10
9613
9614 1148 
9615 PPCR16
9616  .
9617 EQU
9618  0x000F10
9619
9620 1149 
9621 _µü17
9622  .
9623 EQU
9624  0x000F11
9625
9626 1150 
9627 PPCR17
9628  .
9629 EQU
9630  0x000F11
9631
9632 1151 
9633 _µü18
9634  .
9635 EQU
9636  0x000F12
9637
9638 1152 
9639 PPCR18
9640  .
9641 EQU
9642  0x000F12
9643
9644 1153 
9645 _µü19
9646  .
9647 EQU
9648  0x000F13
9649
9650 1154 
9651 PPCR19
9652  .
9653 EQU
9654  0x000F13
9655
9656 1155 
9657 _µü20
9658  .
9659 EQU
9660  0x000F14
9661
9662 1156 
9663 PPCR20
9664  .
9665 EQU
9666  0x000F14
9667
9668 1157 
9669 _µü21
9670  .
9671 EQU
9672  0x000F15
9673
9674 1158 
9675 PPCR21
9676  .
9677 EQU
9678  0x000F15
9679
9680 1159 
9681 _µü22
9682  .
9683 EQU
9684  0x000F16
9685
9686 1160 
9687 PPCR22
9688  .
9689 EQU
9690  0x000F16
9691
9692 1161 
9693 _µü24
9694  .
9695 EQU
9696  0x000F18
9697
9698 1162 
9699 PPCR24
9700  .
9701 EQU
9702  0x000F18
9703
9704 1163 
9705 _µü26
9706  .
9707 EQU
9708  0x000F1A
9709
9710 1164 
9711 PPCR26
9712  .
9713 EQU
9714  0x000F1A
9715
9716 1165 
9717 _µü27
9718  .
9719 EQU
9720  0x000F1B
9721
9722 1166 
9723 PPCR27
9724  .
9725 EQU
9726  0x000F1B
9727
9728 1167 
9729 _µü28
9730  .
9731 EQU
9732  0x000F1C
9733
9734 1168 
9735 PPCR28
9736  .
9737 EQU
9738  0x000F1C
9739
9740 1169 
9741 _µü29
9742  .
9743 EQU
9744  0x000F1D
9745
9746 1170 
9747 PPCR29
9748  .
9749 EQU
9750  0x000F1D
9751
9752 1171 
9753 _dma§0
9754  .
9755 EQU
9756  0x001000
9757
9758 1172 
9759 DMASA0
9760  .
9761 EQU
9762  0x001000
9763
9764 1173 
9765 _dmada0
9766  .
9767 EQU
9768  0x001004
9769
9770 1174 
9771 DMADA0
9772  .
9773 EQU
9774  0x001004
9775
9776 1175 
9777 _dma§1
9778  .
9779 EQU
9780  0x001008
9781
9782 1176 
9783 DMASA1
9784  .
9785 EQU
9786  0x001008
9787
9788 1177 
9789 _dmada1
9790  .
9791 EQU
9792  0x00100C
9793
9794 1178 
9795 DMADA1
9796  .
9797 EQU
9798  0x00100C
9799
9800 1179 
9801 _dma§2
9802  .
9803 EQU
9804  0x001010
9805
9806 1180 
9807 DMASA2
9808  .
9809 EQU
9810  0x001010
9811
9812 1181 
9813 _dmada2
9814  .
9815 EQU
9816  0x001014
9817
9818 1182 
9819 DMADA2
9820  .
9821 EQU
9822  0x001014
9823
9824 1183 
9825 _dma§3
9826  .
9827 EQU
9828  0x001018
9829
9830 1184 
9831 DMASA3
9832  .
9833 EQU
9834  0x001018
9835
9836 1185 
9837 _dmada3
9838  .
9839 EQU
9840  0x00101C
9841
9842 1186 
9843 DMADA3
9844  .
9845 EQU
9846  0x00101C
9847
9848 1187 
9849 _dma§4
9850  .
9851 EQU
9852  0x001020
9853
9854 1188 
9855 DMASA4
9856  .
9857 EQU
9858  0x001020
9859
9860 1189 
9861 _dmada4
9862  .
9863 EQU
9864  0x001024
9865
9866 1190 
9867 DMADA4
9868  .
9869 EQU
9870  0x001024
9871
9872 1191 
9873 _fmcs
9874  .
9875 EQU
9876  0x007000
9877
9878 1192 
9879 FMCS
9880  .
9881 EQU
9882  0x007000
9883
9884 1193 
9885 _fmü
9886  .
9887 EQU
9888  0x007001
9889
9890 1194 
9891 FMCR
9892  .
9893 EQU
9894  0x007001
9895
9896 1195 
9897 _fchü
9898  .
9899 EQU
9900  0x007002
9901
9902 1196 
9903 FCHCR
9904  .
9905 EQU
9906  0x007002
9907
9908 1197 
9909 _fmwt
9910  .
9911 EQU
9912  0x007004
9913
9914 1198 
9915 FMWT
9916  .
9917 EQU
9918  0x007004
9919
9920 1199 
9921 _fmwt2
9922  .
9923 EQU
9924  0x007006
9925
9926 1200 
9927 FMWT2
9928  .
9929 EQU
9930  0x007006
9931
9932 1201 
9933 _fmps
9934  .
9935 EQU
9936  0x007007
9937
9938 1202 
9939 FMPS
9940  .
9941 EQU
9942  0x007007
9943
9944 1203 
9945 _fmac
9946  .
9947 EQU
9948  0x007008
9949
9950 1204 
9951 FMAC
9952  .
9953 EQU
9954  0x007008
9955
9956 1205 
9957 _fcha0
9958  .
9959 EQU
9960  0x00700C
9961
9962 1206 
9963 FCHA0
9964  .
9965 EQU
9966  0x00700C
9967
9968 1207 
9969 _fcha1
9970  .
9971 EQU
9972  0x007010
9973
9974 1208 
9975 FCHA1
9976  .
9977 EQU
9978  0x007010
9979
9980 1209 
9981 _fsü0
9982  .
9983 EQU
9984  0x007100
9985
9986 1210 
9987 FSCR0
9988  .
9989 EQU
9990  0x007100
9991
9992 1211 
9993 _fsü1
9994  .
9995 EQU
9996  0x007104
9997
9998 1212 
9999 FSCR1
10000  .
10001 EQU
10002  0x007104
10003
10004 1213 
10005 _ù¾r4
10006  .
10007 EQU
10008  0x00C400
10009
10010 1214 
10011 CTRLR4
10012  .
10013 EQU
10014  0x00C400
10015
10016 1215 
10017 _¡©r4
10018  .
10019 EQU
10020  0x00C402
10021
10022 1216 
10023 STATR4
10024  .
10025 EQU
10026  0x00C402
10027
10028 1217 
10029 _\94rút4
10030  .
10031 EQU
10032  0x00C404
10033
10034 1218 
10035 ERRCNT4
10036  .
10037 EQU
10038  0x00C404
10039
10040 1219 
10041 _b\8c4
10042  .
10043 EQU
10044  0x00C406
10045
10046 1220 
10047 BTR4
10048  .
10049 EQU
10050  0x00C406
10051
10052 1221 
10053 _\9a\8c4
10054  .
10055 EQU
10056  0x00C408
10057
10058 1222 
10059 INTR4
10060  .
10061 EQU
10062  0x00C408
10063
10064 1223 
10065 _\8b¡r4
10066  .
10067 EQU
10068  0x00C40A
10069
10070 1224 
10071 TESTR4
10072  .
10073 EQU
10074  0x00C40A
10075
10076 1225 
10077 _b½\944
10078  .
10079 EQU
10080  0x00C40C
10081
10082 1226 
10083 BRPER4
10084  .
10085 EQU
10086  0x00C40C
10087
10088 1227 
10089 _b½e4
10090  .
10091 EQU
10092  0x00C40C
10093
10094 1228 
10095 BRPE4
10096  .
10097 EQU
10098  0x00C40C
10099
10100 1229 
10101 _if1üeq4
10102  .
10103 EQU
10104  0x00C410
10105
10106 1230 
10107 IF1CREQ4
10108  .
10109 EQU
10110  0x00C410
10111
10112 1231 
10113 _if1cmsk4
10114  .
10115 EQU
10116  0x00C412
10117
10118 1232 
10119 IF1CMSK4
10120  .
10121 EQU
10122  0x00C412
10123
10124 1233 
10125 _if1msk124
10126  .
10127 EQU
10128  0x00C414
10129
10130 1234 
10131 IF1MSK124
10132  .
10133 EQU
10134  0x00C414
10135
10136 1235 
10137 _if1msk24
10138  .
10139 EQU
10140  0x00C414
10141
10142 1236 
10143 IF1MSK24
10144  .
10145 EQU
10146  0x00C414
10147
10148 1237 
10149 _if1msk14
10150  .
10151 EQU
10152  0x00C416
10153
10154 1238 
10155 IF1MSK14
10156  .
10157 EQU
10158  0x00C416
10159
10160 1239 
10161 _if1¬b124
10162  .
10163 EQU
10164  0x00C418
10165
10166 1240 
10167 IF1ARB124
10168  .
10169 EQU
10170  0x00C418
10171
10172 1241 
10173 _if1¬b24
10174  .
10175 EQU
10176  0x00C418
10177
10178 1242 
10179 IF1ARB24
10180  .
10181 EQU
10182  0x00C418
10183
10184 1243 
10185 _if1¬b14
10186  .
10187 EQU
10188  0x00C41A
10189
10190 1244 
10191 IF1ARB14
10192  .
10193 EQU
10194  0x00C41A
10195
10196 1245 
10197 _if1mùr4
10198  .
10199 EQU
10200  0x00C41C
10201
10202 1246 
10203 IF1MCTR4
10204  .
10205 EQU
10206  0x00C41C
10207
10208 1247 
10209 _if1d\8f124
10210  .
10211 EQU
10212  0x00C420
10213
10214 1248 
10215 IF1DTA124
10216  .
10217 EQU
10218  0x00C420
10219
10220 1249 
10221 _if1d\8f14
10222  .
10223 EQU
10224  0x00C420
10225
10226 1250 
10227 IF1DTA14
10228  .
10229 EQU
10230  0x00C420
10231
10232 1251 
10233 _if1d\8f24
10234  .
10235 EQU
10236  0x00C422
10237
10238 1252 
10239 IF1DTA24
10240  .
10241 EQU
10242  0x00C422
10243
10244 1253 
10245 _if1dtb124
10246  .
10247 EQU
10248  0x00C424
10249
10250 1254 
10251 IF1DTB124
10252  .
10253 EQU
10254  0x00C424
10255
10256 1255 
10257 _if1dtb14
10258  .
10259 EQU
10260  0x00C424
10261
10262 1256 
10263 IF1DTB14
10264  .
10265 EQU
10266  0x00C424
10267
10268 1257 
10269 _if1dtb24
10270  .
10271 EQU
10272  0x00C426
10273
10274 1258 
10275 IF1DTB24
10276  .
10277 EQU
10278  0x00C426
10279
10280 1259 
10281 _if1d\8f_swp124
10282  .
10283 EQU
10284  0x00C430
10285
10286 1260 
10287 IF1DTA_SWP124
10288  .
10289 EQU
10290  0x00C430
10291
10292 1261 
10293 _if1d\8f_swp24
10294  .
10295 EQU
10296  0x00C430
10297
10298 1262 
10299 IF1DTA_SWP24
10300  .
10301 EQU
10302  0x00C430
10303
10304 1263 
10305 _if1d\8f_swp14
10306  .
10307 EQU
10308  0x00C432
10309
10310 1264 
10311 IF1DTA_SWP14
10312  .
10313 EQU
10314  0x00C432
10315
10316 1265 
10317 _if1dtb_swp124
10318  .
10319 EQU
10320  0x00C434
10321
10322 1266 
10323 IF1DTB_SWP124
10324  .
10325 EQU
10326  0x00C434
10327
10328 1267 
10329 _if1dtb_swp24
10330  .
10331 EQU
10332  0x00C434
10333
10334 1268 
10335 IF1DTB_SWP24
10336  .
10337 EQU
10338  0x00C434
10339
10340 1269 
10341 _if1dtb_swp14
10342  .
10343 EQU
10344  0x00C436
10345
10346 1270 
10347 IF1DTB_SWP14
10348  .
10349 EQU
10350  0x00C436
10351
10352 1271 
10353 _if2üeq4
10354  .
10355 EQU
10356  0x00C440
10357
10358 1272 
10359 IF2CREQ4
10360  .
10361 EQU
10362  0x00C440
10363
10364 1273 
10365 _if2cmsk4
10366  .
10367 EQU
10368  0x00C442
10369
10370 1274 
10371 IF2CMSK4
10372  .
10373 EQU
10374  0x00C442
10375
10376 1275 
10377 _if2msk124
10378  .
10379 EQU
10380  0x00C444
10381
10382 1276 
10383 IF2MSK124
10384  .
10385 EQU
10386  0x00C444
10387
10388 1277 
10389 _if2msk24
10390  .
10391 EQU
10392  0x00C444
10393
10394 1278 
10395 IF2MSK24
10396  .
10397 EQU
10398  0x00C444
10399
10400 1279 
10401 _if2msk14
10402  .
10403 EQU
10404  0x00C446
10405
10406 1280 
10407 IF2MSK14
10408  .
10409 EQU
10410  0x00C446
10411
10412 1281 
10413 _if2¬b124
10414  .
10415 EQU
10416  0x00C448
10417
10418 1282 
10419 IF2ARB124
10420  .
10421 EQU
10422  0x00C448
10423
10424 1283 
10425 _if2¬b24
10426  .
10427 EQU
10428  0x00C448
10429
10430 1284 
10431 IF2ARB24
10432  .
10433 EQU
10434  0x00C448
10435
10436 1285 
10437 _if2¬b14
10438  .
10439 EQU
10440  0x00C44A
10441
10442 1286 
10443 IF2ARB14
10444  .
10445 EQU
10446  0x00C44A
10447
10448 1287 
10449 _if2mùr4
10450  .
10451 EQU
10452  0x00C44C
10453
10454 1288 
10455 IF2MCTR4
10456  .
10457 EQU
10458  0x00C44C
10459
10460 1289 
10461 _if2d\8f124
10462  .
10463 EQU
10464  0x00C450
10465
10466 1290 
10467 IF2DTA124
10468  .
10469 EQU
10470  0x00C450
10471
10472 1291 
10473 _if2d\8f14
10474  .
10475 EQU
10476  0x00C450
10477
10478 1292 
10479 IF2DTA14
10480  .
10481 EQU
10482  0x00C450
10483
10484 1293 
10485 _if2d\8f24
10486  .
10487 EQU
10488  0x00C452
10489
10490 1294 
10491 IF2DTA24
10492  .
10493 EQU
10494  0x00C452
10495
10496 1295 
10497 _if2dtb124
10498  .
10499 EQU
10500  0x00C454
10501
10502 1296 
10503 IF2DTB124
10504  .
10505 EQU
10506  0x00C454
10507
10508 1297 
10509 _if2dtb14
10510  .
10511 EQU
10512  0x00C454
10513
10514 1298 
10515 IF2DTB14
10516  .
10517 EQU
10518  0x00C454
10519
10520 1299 
10521 _if2dtb24
10522  .
10523 EQU
10524  0x00C456
10525
10526 1300 
10527 IF2DTB24
10528  .
10529 EQU
10530  0x00C456
10531
10532 1301 
10533 _if2d\8f_swp124
10534  .
10535 EQU
10536  0x00C460
10537
10538 1302 
10539 IF2DTA_SWP124
10540  .
10541 EQU
10542  0x00C460
10543
10544 1303 
10545 _if2d\8f_swp24
10546  .
10547 EQU
10548  0x00C460
10549
10550 1304 
10551 IF2DTA_SWP24
10552  .
10553 EQU
10554  0x00C460
10555
10556 1305 
10557 _if2d\8f_swp14
10558  .
10559 EQU
10560  0x00C462
10561
10562 1306 
10563 IF2DTA_SWP14
10564  .
10565 EQU
10566  0x00C462
10567
10568 1307 
10569 _if2dtb_swp124
10570  .
10571 EQU
10572  0x00C464
10573
10574 1308 
10575 IF2DTB_SWP124
10576  .
10577 EQU
10578  0x00C464
10579
10580 1309 
10581 _if2dtb_swp24
10582  .
10583 EQU
10584  0x00C464
10585
10586 1310 
10587 IF2DTB_SWP24
10588  .
10589 EQU
10590  0x00C464
10591
10592 1311 
10593 _if2dtb_swp14
10594  .
10595 EQU
10596  0x00C466
10597
10598 1312 
10599 IF2DTB_SWP14
10600  .
10601 EQU
10602  0x00C466
10603
10604 1313 
10605 _\8ceqr124
10606  .
10607 EQU
10608  0x00C480
10609
10610 1314 
10611 TREQR124
10612  .
10613 EQU
10614  0x00C480
10615
10616 1315 
10617 _\8ceqr24
10618  .
10619 EQU
10620  0x00C480
10621
10622 1316 
10623 TREQR24
10624  .
10625 EQU
10626  0x00C480
10627
10628 1317 
10629 _\8ceqr14
10630  .
10631 EQU
10632  0x00C482
10633
10634 1318 
10635 TREQR14
10636  .
10637 EQU
10638  0x00C482
10639
10640 1319 
10641 _\8ceqr344
10642  .
10643 EQU
10644  0x00C484
10645
10646 1320 
10647 TREQR344
10648  .
10649 EQU
10650  0x00C484
10651
10652 1321 
10653 _Ãwdt124
10654  .
10655 EQU
10656  0x00C490
10657
10658 1322 
10659 NEWDT124
10660  .
10661 EQU
10662  0x00C490
10663
10664 1323 
10665 _Ãwdt24
10666  .
10667 EQU
10668  0x00C490
10669
10670 1324 
10671 NEWDT24
10672  .
10673 EQU
10674  0x00C490
10675
10676 1325 
10677 _Ãwdt14
10678  .
10679 EQU
10680  0x00C492
10681
10682 1326 
10683 NEWDT14
10684  .
10685 EQU
10686  0x00C492
10687
10688 1327 
10689 _\9a\8dnd124
10690  .
10691 EQU
10692  0x00C4A0
10693
10694 1328 
10695 INTPND124
10696  .
10697 EQU
10698  0x00C4A0
10699
10700 1329 
10701 _\9a\8dnd24
10702  .
10703 EQU
10704  0x00C4A0
10705
10706 1330 
10707 INTPND24
10708  .
10709 EQU
10710  0x00C4A0
10711
10712 1331 
10713 _\9a\8dnd14
10714  .
10715 EQU
10716  0x00C4A2
10717
10718 1332 
10719 INTPND14
10720  .
10721 EQU
10722  0x00C4A2
10723
10724 1333 
10725 _msgv®124
10726  .
10727 EQU
10728  0x00C4B0
10729
10730 1334 
10731 MSGVAL124
10732  .
10733 EQU
10734  0x00C4B0
10735
10736 1335 
10737 _msgv®24
10738  .
10739 EQU
10740  0x00C4B0
10741
10742 1336 
10743 MSGVAL24
10744  .
10745 EQU
10746  0x00C4B0
10747
10748 1337 
10749 _msgv®14
10750  .
10751 EQU
10752  0x00C4B2
10753
10754 1338 
10755 MSGVAL14
10756  .
10757 EQU
10758  0x00C4B2
10759
10760 1339 
10761 _bù¾
10762  .
10763 EQU
10764  0x00F000
10765
10766 1340 
10767 BCTRL
10768  .
10769 EQU
10770  0x00F000
10771
10772 1341 
10773 _b¡©
10774  .
10775 EQU
10776  0x00F004
10777
10778 1342 
10779 BSTAT
10780  .
10781 EQU
10782  0x00F004
10783
10784 1343 
10785 _b\9fc
10786  .
10787 EQU
10788  0x00F008
10789
10790 1344 
10791 BIAC
10792  .
10793 EQU
10794  0x00F008
10795
10796 1345 
10797 _bßc
10798  .
10799 EQU
10800  0x00F00C
10801
10802 1346 
10803 BOAC
10804  .
10805 EQU
10806  0x00F00C
10807
10808 1347 
10809 _b\9cq
10810  .
10811 EQU
10812  0x00F010
10813
10814 1348 
10815 BIRQ
10816  .
10817 EQU
10818  0x00F010
10819
10820 1349 
10821 _bü0
10822  .
10823 EQU
10824  0x00F020
10825
10826 1350 
10827 BCR0
10828  .
10829 EQU
10830  0x00F020
10831
10832 1351 
10833 _bü1
10834  .
10835 EQU
10836  0x00F024
10837
10838 1352 
10839 BCR1
10840  .
10841 EQU
10842  0x00F024
10843
10844 1353 
10845 _bad0
10846  .
10847 EQU
10848  0x00F080
10849
10850 1354 
10851 BAD0
10852  .
10853 EQU
10854  0x00F080
10855
10856 1355 
10857 _bad1
10858  .
10859 EQU
10860  0x00F084
10861
10862 1356 
10863 BAD1
10864  .
10865 EQU
10866  0x00F084
10867
10868 1357 
10869 _bad2
10870  .
10871 EQU
10872  0x00F088
10873
10874 1358 
10875 BAD2
10876  .
10877 EQU
10878  0x00F088
10879
10880 1359 
10881 _bad3
10882  .
10883 EQU
10884  0x00F08C
10885
10886 1360 
10887 BAD3
10888  .
10889 EQU
10890  0x00F08C
10891
10892 1361 
10893 _bad4
10894  .
10895 EQU
10896  0x00F090
10897
10898 1362 
10899 BAD4
10900  .
10901 EQU
10902  0x00F090
10903
10904 1363 
10905 _bad5
10906  .
10907 EQU
10908  0x00F094
10909
10910 1364 
10911 BAD5
10912  .
10913 EQU
10914  0x00F094
10915
10916 1365 
10917 _bad6
10918  .
10919 EQU
10920  0x00F098
10921
10922 1366 
10923 BAD6
10924  .
10925 EQU
10926  0x00F098
10927
10928 1367 
10929 _bad7
10930  .
10931 EQU
10932  0x00F09C
10933
10934 1368 
10935 BAD7
10936  .
10937 EQU
10938  0x00F09C
10939
10940 1369 
10941 _fsv1
10942  .
10943 EQU
10944  0x148000
10945
10946 1370 
10947 FSV1
10948  .
10949 EQU
10950  0x148000
10951
10952 1371 
10953 _bsv1
10954  .
10955 EQU
10956  0x148004
10957
10958 1372 
10959 BSV1
10960  .
10961 EQU
10962  0x148004
10963
10964 1373 
10965 _fsv2
10966  .
10967 EQU
10968  0x148008
10969
10970 1374 
10971 FSV2
10972  .
10973 EQU
10974  0x148008
10975
10976 1375 
10977 _bsv2
10978  .
10979 EQU
10980  0x14800C
10981
10982 1376 
10983 BSV2
10984  .
10985 EQU
10986  0x14800C
10987
10988 1377 #´agm¨
10989 \92dasm
10990
10991
10992 1380 #iâdeà
10993 _MB91XXX_H
10994
10995
10996 1381 \ 1
10997         #_MB91XXX_H
10998
10999
11000         )
11001
11002 1383 #ifdeà 
11003 __FASM__
11004
11005
11006 1384 #´agm¨
11007 asm
11008
11009
11010 1385 .
11011 IMPORT
11012  
11013 _pdr14
11014
11015 _pdr15
11016
11017 _pdr16
11018
11019 _pdr17
11020
11021 _pdr18
11022
11023 _pdr19
11024
11025
11026 1386 .
11027 IMPORT
11028  
11029 _pdr20
11030
11031 _pdr21
11032
11033 _pdr22
11034
11035 _pdr24
11036
11037 _pdr26
11038
11039 _pdr27
11040
11041
11042 1387 .
11043 IMPORT
11044  
11045 _pdr28
11046
11047 _pdr29
11048
11049 _e\9cr0
11050
11051 _\92\9c0
11052
11053 _\96vr0
11054
11055 _e\9cr1
11056
11057
11058 1388 .
11059 IMPORT
11060  
11061 _\92\9c1
11062
11063 _\96vr1
11064
11065 _diü
11066
11067 _hrþ
11068
11069 _rbsync
11070
11071 _sü00
11072
11073
11074 1389 .
11075 IMPORT
11076  
11077 _smr00
11078
11079 _s¤00
11080
11081 _rdr00
11082
11083 _tdr00
11084
11085 _esü00
11086
11087 _ecü00
11088
11089
11090 1390 .
11091 IMPORT
11092  
11093 _sü01
11094
11095 _smr01
11096
11097 _s¤01
11098
11099 _rdr01
11100
11101 _tdr01
11102
11103 _esü01
11104
11105
11106 1391 .
11107 IMPORT
11108  
11109 _ecü01
11110
11111 _sü02
11112
11113 _smr02
11114
11115 _s¤02
11116
11117 _rdr02
11118
11119 _tdr02
11120
11121
11122 1392 .
11123 IMPORT
11124  
11125 _esü02
11126
11127 _ecü02
11128
11129 _sü03
11130
11131 _smr03
11132
11133 _s¤03
11134
11135 _rdr03
11136
11137
11138 1393 .
11139 IMPORT
11140  
11141 _tdr03
11142
11143 _esü03
11144
11145 _ecü03
11146
11147 _sü04
11148
11149 _smr04
11150
11151 _s¤04
11152
11153
11154 1394 .
11155 IMPORT
11156  
11157 _rdr04
11158
11159 _tdr04
11160
11161 _esü04
11162
11163 _ecü04
11164
11165 _f¤04
11166
11167 _fü04
11168
11169
11170 1395 .
11171 IMPORT
11172  
11173 _bgr00
11174
11175 _bgr100
11176
11177 _bgr000
11178
11179 _bgr01
11180
11181 _bgr101
11182
11183 _bgr001
11184
11185
11186 1396 .
11187 IMPORT
11188  
11189 _bgr02
11190
11191 _bgr102
11192
11193 _bgr002
11194
11195 _bgr03
11196
11197 _bgr103
11198
11199 _bgr003
11200
11201
11202 1397 .
11203 IMPORT
11204  
11205 _bgr04
11206
11207 _bgr104
11208
11209 _bgr004
11210
11211 _ibü0
11212
11213 _ib¤0
11214
11215 _\99ba0
11216
11217
11218 1398 .
11219 IMPORT
11220  
11221 _\99bah0
11222
11223 _\99b®0
11224
11225 _\99mk0
11226
11227 _\99mkh0
11228
11229 _\99mkl0
11230
11231 _ismk0
11232
11233
11234 1399 .
11235 IMPORT
11236  
11237 _isba0
11238
11239 _id¬0
11240
11241 _icü0
11242
11243 _gú10
11244
11245 _gú20
11246
11247 _gú11
11248
11249
11250 1400 .
11251 IMPORT
11252  
11253 _gú21
11254
11255 _gú12
11256
11257 _gú22
11258
11259 _±mr00
11260
11261 _pc¤00
11262
11263 _pdut00
11264
11265
11266 1401 .
11267 IMPORT
11268  
11269 _pú00
11270
11271 _púh00
11272
11273 _púl00
11274
11275 _±mr01
11276
11277 _pc¤01
11278
11279 _pdut01
11280
11281
11282 1402 .
11283 IMPORT
11284  
11285 _pú01
11286
11287 _púh01
11288
11289 _púl01
11290
11291 _±mr02
11292
11293 _pc¤02
11294
11295 _pdut02
11296
11297
11298 1403 .
11299 IMPORT
11300  
11301 _pú02
11302
11303 _púh02
11304
11305 _púl02
11306
11307 _±mr03
11308
11309 _pc¤03
11310
11311 _pdut03
11312
11313
11314 1404 .
11315 IMPORT
11316  
11317 _pú03
11318
11319 _púh03
11320
11321 _púl03
11322
11323 _±mr04
11324
11325 _pc¤04
11326
11327 _pdut04
11328
11329
11330 1405 .
11331 IMPORT
11332  
11333 _pú04
11334
11335 _púh04
11336
11337 _púl04
11338
11339 _±mr05
11340
11341 _pc¤05
11342
11343 _pdut05
11344
11345
11346 1406 .
11347 IMPORT
11348  
11349 _pú05
11350
11351 _púh05
11352
11353 _púl05
11354
11355 _±mr06
11356
11357 _pc¤06
11358
11359 _pdut06
11360
11361
11362 1407 .
11363 IMPORT
11364  
11365 _pú06
11366
11367 _púh06
11368
11369 _púl06
11370
11371 _±mr07
11372
11373 _pc¤07
11374
11375 _pdut07
11376
11377
11378 1408 .
11379 IMPORT
11380  
11381 _pú07
11382
11383 _púh07
11384
11385 _púl07
11386
11387 _±mr08
11388
11389 _pc¤08
11390
11391 _pdut08
11392
11393
11394 1409 .
11395 IMPORT
11396  
11397 _pú08
11398
11399 _púh08
11400
11401 _púl08
11402
11403 _±mr09
11404
11405 _pc¤09
11406
11407 _pdut09
11408
11409
11410 1410 .
11411 IMPORT
11412  
11413 _pú09
11414
11415 _púh09
11416
11417 _púl09
11418
11419 _±mr10
11420
11421 _pc¤10
11422
11423 _pdut10
11424
11425
11426 1411 .
11427 IMPORT
11428  
11429 _pú10
11430
11431 _púh10
11432
11433 _púl10
11434
11435 _±mr11
11436
11437 _pc¤11
11438
11439 _pdut11
11440
11441
11442 1412 .
11443 IMPORT
11444  
11445 _pú11
11446
11447 _púh11
11448
11449 _púl11
11450
11451 _ics01
11452
11453 _ics23
11454
11455 _\9dý0
11456
11457
11458 1413 .
11459 IMPORT
11460  
11461 _\9dý1
11462
11463 _\9dý2
11464
11465 _\9dý3
11466
11467 _ocs01
11468
11469 _ocs23
11470
11471 _ocý0
11472
11473
11474 1414 .
11475 IMPORT
11476  
11477 _ocý1
11478
11479 _ocý2
11480
11481 _ocý3
11482
11483 _ad\94h
11484
11485 _ad\94l
11486
11487 _ad\94
11488
11489
11490 1415 .
11491 IMPORT
11492  
11493 _adcs1
11494
11495 _adcs0
11496
11497 _adcs
11498
11499 _adü1
11500
11501 _adü0
11502
11503 _adü
11504
11505
11506 1416 .
11507 IMPORT
11508  
11509 _adù1
11510
11511 _adù0
11512
11513 _adù
11514
11515 _adsch
11516
11517 _adech
11518
11519 _tm¾r0
11520
11521
11522 1417 .
11523 IMPORT
11524  
11525 _tmr0
11526
11527 _tmc¤0
11528
11529 _tmc¤h0
11530
11531 _tmc¤l0
11532
11533 _tm¾r1
11534
11535 _tmr1
11536
11537
11538 1418 .
11539 IMPORT
11540  
11541 _tmc¤1
11542
11543 _tmc¤h1
11544
11545 _tmc¤l1
11546
11547 _tm¾r2
11548
11549 _tmr2
11550
11551 _tmc¤2
11552
11553
11554 1419 .
11555 IMPORT
11556  
11557 _tmc¤h2
11558
11559 _tmc¤l2
11560
11561 _tm¾r3
11562
11563 _tmr3
11564
11565 _tmc¤3
11566
11567 _tmc¤h3
11568
11569
11570 1420 .
11571 IMPORT
11572  
11573 _tmc¤l3
11574
11575 _tm¾r4
11576
11577 _tmr4
11578
11579 _tmc¤4
11580
11581 _tmc¤h4
11582
11583 _tmc¤l4
11584
11585
11586 1421 .
11587 IMPORT
11588  
11589 _tm¾r5
11590
11591 _tmr5
11592
11593 _tmc¤5
11594
11595 _tmc¤h5
11596
11597 _tmc¤l5
11598
11599 _tm¾r6
11600
11601
11602 1422 .
11603 IMPORT
11604  
11605 _tmr6
11606
11607 _tmc¤6
11608
11609 _tmc¤h6
11610
11611 _tmc¤l6
11612
11613 _tm¾r7
11614
11615 _tmr7
11616
11617
11618 1423 .
11619 IMPORT
11620  
11621 _tmc¤7
11622
11623 _tmc¤h7
11624
11625 _tmc¤l7
11626
11627 _tcdt0
11628
11629 _tccs0
11630
11631 _tcdt1
11632
11633
11634 1424 .
11635 IMPORT
11636  
11637 _tccs1
11638
11639 _tcdt2
11640
11641 _tccs2
11642
11643 _tcdt3
11644
11645 _tccs3
11646
11647 _dmaÿ0
11648
11649
11650 1425 .
11651 IMPORT
11652  
11653 _dmacb0
11654
11655 _dmaÿ1
11656
11657 _dmacb1
11658
11659 _dmaÿ2
11660
11661 _dmacb2
11662
11663 _dmaÿ3
11664
11665
11666 1426 .
11667 IMPORT
11668  
11669 _dmacb3
11670
11671 _dmaÿ4
11672
11673 _dmacb4
11674
11675 _dmaü
11676
11677 _ics45
11678
11679 _ics67
11680
11681
11682 1427 .
11683 IMPORT
11684  
11685 _\9dý4
11686
11687 _\9dý5
11688
11689 _\9dý6
11690
11691 _\9dý7
11692
11693 _ocs45
11694
11695 _ocs67
11696
11697
11698 1428 .
11699 IMPORT
11700  
11701 _ocý4
11702
11703 _ocý5
11704
11705 _ocý6
11706
11707 _ocý7
11708
11709 _tcdt4
11710
11711 _tccs4
11712
11713
11714 1429 .
11715 IMPORT
11716  
11717 _tcdt5
11718
11719 _tccs5
11720
11721 _tcdt6
11722
11723 _tccs6
11724
11725 _tcdt7
11726
11727 _tccs7
11728
11729
11730 1430 .
11731 IMPORT
11732  
11733 _roms
11734
11735 _bsd0
11736
11737 _bsd1
11738
11739 _bsdc
11740
11741 _b¤r
11742
11743 _iü00
11744
11745
11746 1431 .
11747 IMPORT
11748  
11749 _iü01
11750
11751 _iü02
11752
11753 _iü03
11754
11755 _iü04
11756
11757 _iü05
11758
11759 _iü06
11760
11761
11762 1432 .
11763 IMPORT
11764  
11765 _iü07
11766
11767 _iü08
11768
11769 _iü09
11770
11771 _iü10
11772
11773 _iü11
11774
11775 _iü12
11776
11777
11778 1433 .
11779 IMPORT
11780  
11781 _iü13
11782
11783 _iü14
11784
11785 _iü15
11786
11787 _iü16
11788
11789 _iü17
11790
11791 _iü18
11792
11793
11794 1434 .
11795 IMPORT
11796  
11797 _iü19
11798
11799 _iü20
11800
11801 _iü21
11802
11803 _iü22
11804
11805 _iü23
11806
11807 _iü24
11808
11809
11810 1435 .
11811 IMPORT
11812  
11813 _iü25
11814
11815 _iü26
11816
11817 _iü27
11818
11819 _iü28
11820
11821 _iü29
11822
11823 _iü30
11824
11825
11826 1436 .
11827 IMPORT
11828  
11829 _iü31
11830
11831 _iü32
11832
11833 _iü33
11834
11835 _iü34
11836
11837 _iü35
11838
11839 _iü36
11840
11841
11842 1437 .
11843 IMPORT
11844  
11845 _iü37
11846
11847 _iü38
11848
11849 _iü39
11850
11851 _iü40
11852
11853 _iü41
11854
11855 _iü42
11856
11857
11858 1438 .
11859 IMPORT
11860  
11861 _iü43
11862
11863 _iü44
11864
11865 _iü45
11866
11867 _iü46
11868
11869 _iü47
11870
11871 _iü48
11872
11873
11874 1439 .
11875 IMPORT
11876  
11877 _iü49
11878
11879 _iü50
11880
11881 _iü51
11882
11883 _iü52
11884
11885 _iü53
11886
11887 _iü54
11888
11889
11890 1440 .
11891 IMPORT
11892  
11893 _iü55
11894
11895 _iü56
11896
11897 _iü57
11898
11899 _iü58
11900
11901 _iü59
11902
11903 _iü60
11904
11905
11906 1441 .
11907 IMPORT
11908  
11909 _iü61
11910
11911 _iü62
11912
11913 _iü63
11914
11915 _r¤r
11916
11917 _¡ü
11918
11919 _tbü
11920
11921
11922 1442 .
11923 IMPORT
11924  
11925 _ùbr
11926
11927 _þkr
11928
11929 _w´
11930
11931 _divr0
11932
11933 _divr1
11934
11935 _¶ldivm
11936
11937
11938 1443 .
11939 IMPORT
11940  
11941 _¶ldivn
11942
11943 _¶ldivg
11944
11945 _¶lmulg
11946
11947 _¶lù¾
11948
11949 _oscc1
11950
11951 _oscs1
11952
11953
11954 1444 .
11955 IMPORT
11956  
11957 _oscc2
11958
11959 _oscs2
11960
11961 _p܋n
11962
11963 _wtûr
11964
11965 _wtü
11966
11967 _wtbr
11968
11969
11970 1445 .
11971 IMPORT
11972  
11973 _wthr
11974
11975 _wtmr
11976
11977 _wt¤
11978
11979 _csv\8c
11980
11981 _csvü
11982
11983 _cscfg
11984
11985
11986 1446 .
11987 IMPORT
11988  
11989 _cmcfg
11990
11991 _cuü
11992
11993 _cutd
11994
11995 _cu\8c1
11996
11997 _cu\8c2
11998
11999 _cm´
12000
12001
12002 1447 .
12003 IMPORT
12004  
12005 _cmü
12006
12007 _cmt1
12008
12009 _cmt2
12010
12011 _ÿÅ»
12012
12013 _ÿnckd
12014
12015 _lv£l
12016
12017
12018 1448 .
12019 IMPORT
12020  
12021 _lvd\91
12022
12023 _hwwde
12024
12025 _hwwd
12026
12027 _osüh
12028
12029 _osül
12030
12031 _wpüh
12032
12033
12034 1449 .
12035 IMPORT
12036  
12037 _wpül
12038
12039 _oscü
12040
12041 _»g£l
12042
12043 _»gùr
12044
12045 _modr
12046
12047 _pdrd14
12048
12049
12050 1450 .
12051 IMPORT
12052  
12053 _pdrd15
12054
12055 _pdrd16
12056
12057 _pdrd17
12058
12059 _pdrd18
12060
12061 _pdrd19
12062
12063 _pdrd20
12064
12065
12066 1451 .
12067 IMPORT
12068  
12069 _pdrd21
12070
12071 _pdrd22
12072
12073 _pdrd24
12074
12075 _pdrd26
12076
12077 _pdrd27
12078
12079 _pdrd28
12080
12081
12082 1452 .
12083 IMPORT
12084  
12085 _pdrd29
12086
12087 _ddr14
12088
12089 _ddr15
12090
12091 _ddr16
12092
12093 _ddr17
12094
12095 _ddr18
12096
12097
12098 1453 .
12099 IMPORT
12100  
12101 _ddr19
12102
12103 _ddr20
12104
12105 _ddr21
12106
12107 _ddr22
12108
12109 _ddr24
12110
12111 _ddr26
12112
12113
12114 1454 .
12115 IMPORT
12116  
12117 _ddr27
12118
12119 _ddr28
12120
12121 _ddr29
12122
12123 _pä14
12124
12125 _pä15
12126
12127 _pä16
12128
12129
12130 1455 .
12131 IMPORT
12132  
12133 _pä17
12134
12135 _pä18
12136
12137 _pä19
12138
12139 _pä20
12140
12141 _pä21
12142
12143 _pä22
12144
12145
12146 1456 .
12147 IMPORT
12148  
12149 _pä24
12150
12151 _pä26
12152
12153 _pä27
12154
12155 _pä28
12156
12157 _pä29
12158
12159 _\95ä14
12160
12161
12162 1457 .
12163 IMPORT
12164  
12165 _\95ä15
12166
12167 _\95ä16
12168
12169 _\95ä17
12170
12171 _\95ä18
12172
12173 _\95ä19
12174
12175 _\95ä20
12176
12177
12178 1458 .
12179 IMPORT
12180  
12181 _\95ä21
12182
12183 _\95ä22
12184
12185 _\95ä24
12186
12187 _\95ä26
12188
12189 _\95ä27
12190
12191 _\95ä29
12192
12193
12194 1459 .
12195 IMPORT
12196  
12197 _podr14
12198
12199 _podr15
12200
12201 _podr16
12202
12203 _podr17
12204
12205 _podr18
12206
12207 _podr19
12208
12209
12210 1460 .
12211 IMPORT
12212  
12213 _podr20
12214
12215 _podr21
12216
12217 _podr22
12218
12219 _podr24
12220
12221 _podr26
12222
12223 _podr27
12224
12225
12226 1461 .
12227 IMPORT
12228  
12229 _podr28
12230
12231 _podr29
12232
12233 _p\9er14
12234
12235 _p\9er15
12236
12237 _p\9er16
12238
12239 _p\9er17
12240
12241
12242 1462 .
12243 IMPORT
12244  
12245 _p\9er18
12246
12247 _p\9er19
12248
12249 _p\9er20
12250
12251 _p\9er21
12252
12253 _p\9er22
12254
12255 _p\9er24
12256
12257
12258 1463 .
12259 IMPORT
12260  
12261 _p\9er26
12262
12263 _p\9er27
12264
12265 _p\9er28
12266
12267 _p\9er29
12268
12269 _\95\9er14
12270
12271 _\95\9er15
12272
12273
12274 1464 .
12275 IMPORT
12276  
12277 _\95\9er16
12278
12279 _\95\9er17
12280
12281 _\95\9er18
12282
12283 _\95\9er19
12284
12285 _\95\9er20
12286
12287 _\95\9er21
12288
12289
12290 1465 .
12291 IMPORT
12292  
12293 _\95\9er22
12294
12295 _\95\9er24
12296
12297 _\95\9er26
12298
12299 _\95\9er27
12300
12301 _\95\9er28
12302
12303 _\95\9er29
12304
12305
12306 1466 .
12307 IMPORT
12308  
12309\9414
12310
12311\9415
12312
12313\9416
12314
12315\9417
12316
12317\9418
12318
12319\9419
12320
12321
12322 1467 .
12323 IMPORT
12324  
12325\9420
12326
12327\9421
12328
12329\9422
12330
12331\9424
12332
12333\9426
12334
12335\9427
12336
12337
12338 1468 .
12339 IMPORT
12340  
12341\9428
12342
12343\9429
12344
12345 _µü14
12346
12347 _µü15
12348
12349 _µü16
12350
12351 _µü17
12352
12353
12354 1469 .
12355 IMPORT
12356  
12357 _µü18
12358
12359 _µü19
12360
12361 _µü20
12362
12363 _µü21
12364
12365 _µü22
12366
12367 _µü24
12368
12369
12370 1470 .
12371 IMPORT
12372  
12373 _µü26
12374
12375 _µü27
12376
12377 _µü28
12378
12379 _µü29
12380
12381 _dma§0
12382
12383 _dmada0
12384
12385
12386 1471 .
12387 IMPORT
12388  
12389 _dma§1
12390
12391 _dmada1
12392
12393 _dma§2
12394
12395 _dmada2
12396
12397 _dma§3
12398
12399 _dmada3
12400
12401
12402 1472 .
12403 IMPORT
12404  
12405 _dma§4
12406
12407 _dmada4
12408
12409 _fmcs
12410
12411 _fmü
12412
12413 _fchü
12414
12415 _fmwt
12416
12417
12418 1473 .
12419 IMPORT
12420  
12421 _fmwt2
12422
12423 _fmps
12424
12425 _fmac
12426
12427 _fcha0
12428
12429 _fcha1
12430
12431 _fsü0
12432
12433
12434 1474 .
12435 IMPORT
12436  
12437 _fsü1
12438
12439 _ù¾r4
12440
12441 _¡©r4
12442
12443 _\94rút4
12444
12445 _b\8c4
12446
12447 _\9a\8c4
12448
12449
12450 1475 .
12451 IMPORT
12452  
12453 _\8b¡r4
12454
12455 _b½\944
12456
12457 _b½e4
12458
12459 _if1üeq4
12460
12461 _if1cmsk4
12462
12463 _if1msk124
12464
12465
12466 1476 .
12467 IMPORT
12468  
12469 _if1msk24
12470
12471 _if1msk14
12472
12473 _if1¬b124
12474
12475 _if1¬b24
12476
12477 _if1¬b14
12478
12479 _if1mùr4
12480
12481
12482 1477 .
12483 IMPORT
12484  
12485 _if1d\8f124
12486
12487 _if1d\8f14
12488
12489 _if1d\8f24
12490
12491 _if1dtb124
12492
12493 _if1dtb14
12494
12495 _if1dtb24
12496
12497
12498 1478 .
12499 IMPORT
12500  
12501 _if1d\8f_swp124
12502
12503 _if1d\8f_swp24
12504
12505 _if1d\8f_swp14
12506
12507 _if1dtb_swp124
12508
12509 _if1dtb_swp24
12510
12511 _if1dtb_swp14
12512
12513
12514 1479 .
12515 IMPORT
12516  
12517 _if2üeq4
12518
12519 _if2cmsk4
12520
12521 _if2msk124
12522
12523 _if2msk24
12524
12525 _if2msk14
12526
12527 _if2¬b124
12528
12529
12530 1480 .
12531 IMPORT
12532  
12533 _if2¬b24
12534
12535 _if2¬b14
12536
12537 _if2mùr4
12538
12539 _if2d\8f124
12540
12541 _if2d\8f14
12542
12543 _if2d\8f24
12544
12545
12546 1481 .
12547 IMPORT
12548  
12549 _if2dtb124
12550
12551 _if2dtb14
12552
12553 _if2dtb24
12554
12555 _if2d\8f_swp124
12556
12557 _if2d\8f_swp24
12558
12559 _if2d\8f_swp14
12560
12561
12562 1482 .
12563 IMPORT
12564  
12565 _if2dtb_swp124
12566
12567 _if2dtb_swp24
12568
12569 _if2dtb_swp14
12570
12571 _\8ceqr124
12572
12573 _\8ceqr24
12574
12575 _\8ceqr14
12576
12577
12578 1483 .
12579 IMPORT
12580  
12581 _\8ceqr344
12582
12583 _Ãwdt124
12584
12585 _Ãwdt24
12586
12587 _Ãwdt14
12588
12589 _\9a\8dnd124
12590
12591 _\9a\8dnd24
12592
12593
12594 1484 .
12595 IMPORT
12596  
12597 _\9a\8dnd14
12598
12599 _msgv®124
12600
12601 _msgv®24
12602
12603 _msgv®14
12604
12605 _bù¾
12606
12607 _b¡©
12608
12609
12610 1485 .
12611 IMPORT
12612  
12613 _b\9fc
12614
12615 _bßc
12616
12617 _b\9cq
12618
12619 _bü0
12620
12621 _bü1
12622
12623 _bad0
12624
12625
12626 1486 .
12627 IMPORT
12628  
12629 _bad1
12630
12631 _bad2
12632
12633 _bad3
12634
12635 _bad4
12636
12637 _bad5
12638
12639 _bad6
12640
12641
12642 1487 .
12643 IMPORT
12644  
12645 _bad7
12646
12647 _fsv1
12648
12649 _bsv1
12650
12651 _fsv2
12652
12653 _bsv2
12654
12655
12656 1488 #´agm¨
12657 \92dasm
12658
12659
12660 1514 \e\1d\ 5
12661         tIO_BYTE
12662 ;
12663
12664 1515 \e\1d\16
12665         tIO_WORD
12666 ;
12667
12668 1516 \e\1d\13
12669         tIO_LWORD
12670 ;
12671
12672 1517 \ecڡ \1d\16
12673         tIO_WORD_READ
12674 ;
12675
12676 1520 
12677 IO_BYTE
12678  
12679         mby\8b
12680 ;
12681
12682 1522 
12683 IO_BYTE
12684  
12685         m_D7
12686  :1;
12687
12688 1523 
12689 IO_BYTE
12690  
12691         m_D6
12692  :1;
12693
12694 1524 
12695 IO_BYTE
12696  
12697         m_D5
12698  :1;
12699
12700 1525 
12701 IO_BYTE
12702  
12703         m_D4
12704  :1;
12705
12706 1526 
12707 IO_BYTE
12708  
12709         m_D3
12710  :1;
12711
12712 1527 
12713 IO_BYTE
12714  
12715         m_D2
12716  :1;
12717
12718 1528 
12719 IO_BYTE
12720  
12721         m_D1
12722  :1;
12723
12724 1529 
12725 IO_BYTE
12726  
12727         m_D0
12728  :1;
12729
12730 1530 }
12731         mb\99
12732 ;
12733
12734 1531 }
12735         tPDR14STR
12736 ;
12737
12738 1533 
12739 IO_BYTE
12740  
12741         mby\8b
12742 ;
12743
12744 1535 
12745 IO_BYTE
12746  
12747         m_D7
12748  :1;
12749
12750 1536 
12751 IO_BYTE
12752  
12753         m_D6
12754  :1;
12755
12756 1537 
12757 IO_BYTE
12758  
12759         m_D5
12760  :1;
12761
12762 1538 
12763 IO_BYTE
12764  
12765         m_D4
12766  :1;
12767
12768 1539 
12769 IO_BYTE
12770  
12771         m_D3
12772  :1;
12773
12774 1540 
12775 IO_BYTE
12776  
12777         m_D2
12778  :1;
12779
12780 1541 
12781 IO_BYTE
12782  
12783         m_D1
12784  :1;
12785
12786 1542 
12787 IO_BYTE
12788  
12789         m_D0
12790  :1;
12791
12792 1543 }
12793         mb\99
12794 ;
12795
12796 1544 }
12797         tPDR15STR
12798 ;
12799
12800 1546 
12801 IO_BYTE
12802  
12803         mby\8b
12804 ;
12805
12806 1548 
12807 IO_BYTE
12808  
12809         m_D7
12810  :1;
12811
12812 1549 
12813 IO_BYTE
12814  
12815         m_D6
12816  :1;
12817
12818 1550 
12819 IO_BYTE
12820  
12821         m_D5
12822  :1;
12823
12824 1551 
12825 IO_BYTE
12826  
12827         m_D4
12828  :1;
12829
12830 1552 
12831 IO_BYTE
12832  
12833         m_D3
12834  :1;
12835
12836 1553 
12837 IO_BYTE
12838  
12839         m_D2
12840  :1;
12841
12842 1554 
12843 IO_BYTE
12844  
12845         m_D1
12846  :1;
12847
12848 1555 
12849 IO_BYTE
12850  
12851         m_D0
12852  :1;
12853
12854 1556 }
12855         mb\99
12856 ;
12857
12858 1557 }
12859         tPDR16STR
12860 ;
12861
12862 1559 
12863 IO_BYTE
12864  
12865         mby\8b
12866 ;
12867
12868 1561 
12869 IO_BYTE
12870  
12871         m_D7
12872  :1;
12873
12874 1562 
12875 IO_BYTE
12876  
12877         m_D6
12878  :1;
12879
12880 1563 
12881 IO_BYTE
12882  
12883         m_D5
12884  :1;
12885
12886 1564 
12887 IO_BYTE
12888  
12889         m_D4
12890  :1;
12891
12892 1565 
12893 IO_BYTE
12894  
12895         m_D3
12896  :1;
12897
12898 1566 
12899 IO_BYTE
12900  
12901         m_D2
12902  :1;
12903
12904 1567 
12905 IO_BYTE
12906  
12907         m_D1
12908  :1;
12909
12910 1568 
12911 IO_BYTE
12912  
12913         m_D0
12914  :1;
12915
12916 1569 }
12917         mb\99
12918 ;
12919
12920 1570 }
12921         tPDR17STR
12922 ;
12923
12924 1572 
12925 IO_BYTE
12926  
12927         mby\8b
12928 ;
12929
12930 1574 
12931         mIO_BYTE
12932  :1;
12933
12934 1575 
12935 IO_BYTE
12936  
12937         m_D6
12938  :1;
12939
12940 1576 
12941         mIO_BYTE
12942  :1;
12943
12944 1577 
12945         mIO_BYTE
12946  :1;
12947
12948 1578 
12949         mIO_BYTE
12950  :1;
12951
12952 1579 
12953 IO_BYTE
12954  
12955         m_D2
12956  :1;
12957
12958 1580 
12959         mIO_BYTE
12960  :1;
12961
12962 1581 
12963         mIO_BYTE
12964  :1;
12965
12966 1582 }
12967         mb\99
12968 ;
12969
12970 1583 }
12971         tPDR18STR
12972 ;
12973
12974 1585 
12975 IO_BYTE
12976  
12977         mby\8b
12978 ;
12979
12980 1587 
12981         mIO_BYTE
12982  :1;
12983
12984 1588 
12985 IO_BYTE
12986  
12987         m_D6
12988  :1;
12989
12990 1589 
12991         mIO_BYTE
12992  :1;
12993
12994 1590 
12995         mIO_BYTE
12996  :1;
12997
12998 1591 
12999         mIO_BYTE
13000  :1;
13001
13002 1592 
13003 IO_BYTE
13004  
13005         m_D2
13006  :1;
13007
13008 1593 
13009 IO_BYTE
13010  
13011         m_D1
13012  :1;
13013
13014 1594 
13015 IO_BYTE
13016  
13017         m_D0
13018  :1;
13019
13020 1595 }
13021         mb\99
13022 ;
13023
13024 1596 }
13025         tPDR19STR
13026 ;
13027
13028 1598 
13029 IO_BYTE
13030  
13031         mby\8b
13032 ;
13033
13034 1600 
13035 IO_BYTE
13036  
13037         m_D7
13038  :1;
13039
13040 1601 
13041 IO_BYTE
13042  
13043         m_D6
13044  :1;
13045
13046 1602 
13047 IO_BYTE
13048  
13049         m_D5
13050  :1;
13051
13052 1603 
13053 IO_BYTE
13054  
13055         m_D4
13056  :1;
13057
13058 1604 
13059 IO_BYTE
13060  
13061         m_D3
13062  :1;
13063
13064 1605 
13065 IO_BYTE
13066  
13067         m_D2
13068  :1;
13069
13070 1606 
13071 IO_BYTE
13072  
13073         m_D1
13074  :1;
13075
13076 1607 
13077 IO_BYTE
13078  
13079         m_D0
13080  :1;
13081
13082 1608 }
13083         mb\99
13084 ;
13085
13086 1609 }
13087         tPDR20STR
13088 ;
13089
13090 1611 
13091 IO_BYTE
13092  
13093         mby\8b
13094 ;
13095
13096 1613 
13097 IO_BYTE
13098  
13099         m_D7
13100  :1;
13101
13102 1614 
13103 IO_BYTE
13104  
13105         m_D6
13106  :1;
13107
13108 1615 
13109 IO_BYTE
13110  
13111         m_D5
13112  :1;
13113
13114 1616 
13115 IO_BYTE
13116  
13117         m_D4
13118  :1;
13119
13120 1617 
13121 IO_BYTE
13122  
13123         m_D3
13124  :1;
13125
13126 1618 
13127 IO_BYTE
13128  
13129         m_D2
13130  :1;
13131
13132 1619 
13133 IO_BYTE
13134  
13135         m_D1
13136  :1;
13137
13138 1620 
13139 IO_BYTE
13140  
13141         m_D0
13142  :1;
13143
13144 1621 }
13145         mb\99
13146 ;
13147
13148 1622 }
13149         tPDR21STR
13150 ;
13151
13152 1624 
13153 IO_BYTE
13154  
13155         mby\8b
13156 ;
13157
13158 1626 
13159         mIO_BYTE
13160  :1;
13161
13162 1627 
13163         mIO_BYTE
13164  :1;
13165
13166 1628 
13167 IO_BYTE
13168  
13169         m_D5
13170  :1;
13171
13172 1629 
13173 IO_BYTE
13174  
13175         m_D4
13176  :1;
13177
13178 1630 
13179         mIO_BYTE
13180  :1;
13181
13182 1631 
13183         mIO_BYTE
13184  :1;
13185
13186 1632 
13187 IO_BYTE
13188  
13189         m_D1
13190  :1;
13191
13192 1633 
13193 IO_BYTE
13194  
13195         m_D0
13196  :1;
13197
13198 1634 }
13199         mb\99
13200 ;
13201
13202 1635 }
13203         tPDR22STR
13204 ;
13205
13206 1637 
13207 IO_BYTE
13208  
13209         mby\8b
13210 ;
13211
13212 1639 
13213 IO_BYTE
13214  
13215         m_D7
13216  :1;
13217
13218 1640 
13219 IO_BYTE
13220  
13221         m_D6
13222  :1;
13223
13224 1641 
13225 IO_BYTE
13226  
13227         m_D5
13228  :1;
13229
13230 1642 
13231 IO_BYTE
13232  
13233         m_D4
13234  :1;
13235
13236 1643 
13237 IO_BYTE
13238  
13239         m_D3
13240  :1;
13241
13242 1644 
13243 IO_BYTE
13244  
13245         m_D2
13246  :1;
13247
13248 1645 
13249 IO_BYTE
13250  
13251         m_D1
13252  :1;
13253
13254 1646 
13255 IO_BYTE
13256  
13257         m_D0
13258  :1;
13259
13260 1647 }
13261         mb\99
13262 ;
13263
13264 1648 }
13265         tPDR24STR
13266 ;
13267
13268 1650 
13269 IO_BYTE
13270  
13271         mby\8b
13272 ;
13273
13274 1652 
13275         mIO_BYTE
13276  :1;
13277
13278 1653 
13279         mIO_BYTE
13280  :1;
13281
13282 1654 
13283         mIO_BYTE
13284  :1;
13285
13286 1655 
13287         mIO_BYTE
13288  :1;
13289
13290 1656 
13291         mIO_BYTE
13292  :1;
13293
13294 1657 
13295         mIO_BYTE
13296  :1;
13297
13298 1658 
13299 IO_BYTE
13300  
13301         m_D1
13302  :1;
13303
13304 1659 
13305 IO_BYTE
13306  
13307         m_D0
13308  :1;
13309
13310 1660 }
13311         mb\99
13312 ;
13313
13314 1661 }
13315         tPDR26STR
13316 ;
13317
13318 1663 
13319 IO_BYTE
13320  
13321         mby\8b
13322 ;
13323
13324 1665 
13325 IO_BYTE
13326  
13327         m_D7
13328  :1;
13329
13330 1666 
13331 IO_BYTE
13332  
13333         m_D6
13334  :1;
13335
13336 1667 
13337 IO_BYTE
13338  
13339         m_D5
13340  :1;
13341
13342 1668 
13343 IO_BYTE
13344  
13345         m_D4
13346  :1;
13347
13348 1669 
13349 IO_BYTE
13350  
13351         m_D3
13352  :1;
13353
13354 1670 
13355 IO_BYTE
13356  
13357         m_D2
13358  :1;
13359
13360 1671 
13361 IO_BYTE
13362  
13363         m_D1
13364  :1;
13365
13366 1672 
13367 IO_BYTE
13368  
13369         m_D0
13370  :1;
13371
13372 1673 }
13373         mb\99
13374 ;
13375
13376 1674 }
13377         tPDR27STR
13378 ;
13379
13380 1676 
13381 IO_BYTE
13382  
13383         mby\8b
13384 ;
13385
13386 1678 
13387 IO_BYTE
13388  
13389         m_D7
13390  :1;
13391
13392 1679 
13393 IO_BYTE
13394  
13395         m_D6
13396  :1;
13397
13398 1680 
13399 IO_BYTE
13400  
13401         m_D5
13402  :1;
13403
13404 1681 
13405 IO_BYTE
13406  
13407         m_D4
13408  :1;
13409
13410 1682 
13411 IO_BYTE
13412  
13413         m_D3
13414  :1;
13415
13416 1683 
13417 IO_BYTE
13418  
13419         m_D2
13420  :1;
13421
13422 1684 
13423 IO_BYTE
13424  
13425         m_D1
13426  :1;
13427
13428 1685 
13429 IO_BYTE
13430  
13431         m_D0
13432  :1;
13433
13434 1686 }
13435         mb\99
13436 ;
13437
13438 1687 }
13439         tPDR28STR
13440 ;
13441
13442 1689 
13443 IO_BYTE
13444  
13445         mby\8b
13446 ;
13447
13448 1691 
13449 IO_BYTE
13450  
13451         m_D7
13452  :1;
13453
13454 1692 
13455 IO_BYTE
13456  
13457         m_D6
13458  :1;
13459
13460 1693 
13461 IO_BYTE
13462  
13463         m_D5
13464  :1;
13465
13466 1694 
13467 IO_BYTE
13468  
13469         m_D4
13470  :1;
13471
13472 1695 
13473 IO_BYTE
13474  
13475         m_D3
13476  :1;
13477
13478 1696 
13479 IO_BYTE
13480  
13481         m_D2
13482  :1;
13483
13484 1697 
13485 IO_BYTE
13486  
13487         m_D1
13488  :1;
13489
13490 1698 
13491 IO_BYTE
13492  
13493         m_D0
13494  :1;
13495
13496 1699 }
13497         mb\99
13498 ;
13499
13500 1700 }
13501         tPDR29STR
13502 ;
13503
13504 1702 
13505 IO_BYTE
13506  
13507         mby\8b
13508 ;
13509
13510 1704 
13511 IO_BYTE
13512  
13513         m_ER7
13514  :1;
13515
13516 1705 
13517 IO_BYTE
13518  
13519         m_ER6
13520  :1;
13521
13522 1706 
13523 IO_BYTE
13524  
13525         m_ER5
13526  :1;
13527
13528 1707 
13529 IO_BYTE
13530  
13531         m_ER4
13532  :1;
13533
13534 1708 
13535 IO_BYTE
13536  
13537         m_ER3
13538  :1;
13539
13540 1709 
13541 IO_BYTE
13542  
13543         m_ER2
13544  :1;
13545
13546 1710 
13547 IO_BYTE
13548  
13549         m_ER1
13550  :1;
13551
13552 1711 
13553 IO_BYTE
13554  
13555         m_ER0
13556  :1;
13557
13558 1712 }
13559         mb\99
13560 ;
13561
13562 1713 }
13563         tEIRR0STR
13564 ;
13565
13566 1715 
13567 IO_BYTE
13568  
13569         mby\8b
13570 ;
13571
13572 1717 
13573 IO_BYTE
13574  
13575         m_EN7
13576  :1;
13577
13578 1718 
13579 IO_BYTE
13580  
13581         m_EN6
13582  :1;
13583
13584 1719 
13585 IO_BYTE
13586  
13587         m_EN5
13588  :1;
13589
13590 1720 
13591 IO_BYTE
13592  
13593         m_EN4
13594  :1;
13595
13596 1721 
13597 IO_BYTE
13598  
13599         m_EN3
13600  :1;
13601
13602 1722 
13603 IO_BYTE
13604  
13605         m_EN2
13606  :1;
13607
13608 1723 
13609 IO_BYTE
13610  
13611         m_EN1
13612  :1;
13613
13614 1724 
13615 IO_BYTE
13616  
13617         m_EN0
13618  :1;
13619
13620 1725 }
13621         mb\99
13622 ;
13623
13624 1726 }
13625         tENIR0STR
13626 ;
13627
13628 1728 
13629 IO_WORD
13630  
13631         mwÜd
13632 ;
13633
13634 1730 
13635 IO_WORD
13636  
13637         m_LB7
13638  :1;
13639
13640 1731 
13641 IO_WORD
13642  
13643         m_LA7
13644  :1;
13645
13646 1732 
13647 IO_WORD
13648  
13649         m_LB6
13650  :1;
13651
13652 1733 
13653 IO_WORD
13654  
13655         m_LA6
13656  :1;
13657
13658 1734 
13659 IO_WORD
13660  
13661         m_LB5
13662  :1;
13663
13664 1735 
13665 IO_WORD
13666  
13667         m_LA5
13668  :1;
13669
13670 1736 
13671 IO_WORD
13672  
13673         m_LB4
13674  :1;
13675
13676 1737 
13677 IO_WORD
13678  
13679         m_LA4
13680  :1;
13681
13682 1738 
13683 IO_WORD
13684  
13685         m_LB3
13686  :1;
13687
13688 1739 
13689 IO_WORD
13690  
13691         m_LA3
13692  :1;
13693
13694 1740 
13695 IO_WORD
13696  
13697         m_LB2
13698  :1;
13699
13700 1741 
13701 IO_WORD
13702  
13703         m_LA2
13704  :1;
13705
13706 1742 
13707 IO_WORD
13708  
13709         m_LB1
13710  :1;
13711
13712 1743 
13713 IO_WORD
13714  
13715         m_LA1
13716  :1;
13717
13718 1744 
13719 IO_WORD
13720  
13721         m_LB0
13722  :1;
13723
13724 1745 
13725 IO_WORD
13726  
13727         m_LA0
13728  :1;
13729
13730 1746 }
13731         mb\99
13732 ;
13733
13734 1747 }
13735         tELVR0STR
13736 ;
13737
13738 1749 
13739 IO_BYTE
13740  
13741         mby\8b
13742 ;
13743
13744 1751 
13745 IO_BYTE
13746  
13747         m_ER15
13748  :1;
13749
13750 1752 
13751 IO_BYTE
13752  
13753         m_ER14
13754  :1;
13755
13756 1753 
13757 IO_BYTE
13758  
13759         m_ER13
13760  :1;
13761
13762 1754 
13763 IO_BYTE
13764  
13765         m_ER12
13766  :1;
13767
13768 1755 
13769 IO_BYTE
13770  
13771         m_ER11
13772  :1;
13773
13774 1756 
13775 IO_BYTE
13776  
13777         m_ER10
13778  :1;
13779
13780 1757 
13781 IO_BYTE
13782  
13783         m_ER9
13784  :1;
13785
13786 1758 
13787 IO_BYTE
13788  
13789         m_ER8
13790  :1;
13791
13792 1759 }
13793         mb\99
13794 ;
13795
13796 1760 }
13797         tEIRR1STR
13798 ;
13799
13800 1762 
13801 IO_BYTE
13802  
13803         mby\8b
13804 ;
13805
13806 1764 
13807 IO_BYTE
13808  
13809         m_EN15
13810  :1;
13811
13812 1765 
13813 IO_BYTE
13814  
13815         m_EN14
13816  :1;
13817
13818 1766 
13819 IO_BYTE
13820  
13821         m_EN13
13822  :1;
13823
13824 1767 
13825 IO_BYTE
13826  
13827         m_EN12
13828  :1;
13829
13830 1768 
13831 IO_BYTE
13832  
13833         m_EN11
13834  :1;
13835
13836 1769 
13837 IO_BYTE
13838  
13839         m_EN10
13840  :1;
13841
13842 1770 
13843 IO_BYTE
13844  
13845         m_EN9
13846  :1;
13847
13848 1771 
13849 IO_BYTE
13850  
13851         m_EN8
13852  :1;
13853
13854 1772 }
13855         mb\99
13856 ;
13857
13858 1773 }
13859         tENIR1STR
13860 ;
13861
13862 1775 
13863 IO_WORD
13864  
13865         mwÜd
13866 ;
13867
13868 1777 
13869 IO_WORD
13870  
13871         m_LB15
13872  :1;
13873
13874 1778 
13875 IO_WORD
13876  
13877         m_LA15
13878  :1;
13879
13880 1779 
13881 IO_WORD
13882  
13883         m_LB14
13884  :1;
13885
13886 1780 
13887 IO_WORD
13888  
13889         m_LA14
13890  :1;
13891
13892 1781 
13893 IO_WORD
13894  
13895         m_LB13
13896  :1;
13897
13898 1782 
13899 IO_WORD
13900  
13901         m_LA13
13902  :1;
13903
13904 1783 
13905 IO_WORD
13906  
13907         m_LB12
13908  :1;
13909
13910 1784 
13911 IO_WORD
13912  
13913         m_LA12
13914  :1;
13915
13916 1785 
13917 IO_WORD
13918  
13919         m_LB11
13920  :1;
13921
13922 1786 
13923 IO_WORD
13924  
13925         m_LA11
13926  :1;
13927
13928 1787 
13929 IO_WORD
13930  
13931         m_LB10
13932  :1;
13933
13934 1788 
13935 IO_WORD
13936  
13937         m_LA10
13938  :1;
13939
13940 1789 
13941 IO_WORD
13942  
13943         m_LB9
13944  :1;
13945
13946 1790 
13947 IO_WORD
13948  
13949         m_LA9
13950  :1;
13951
13952 1791 
13953 IO_WORD
13954  
13955         m_LB8
13956  :1;
13957
13958 1792 
13959 IO_WORD
13960  
13961         m_LA8
13962  :1;
13963
13964 1793 }
13965         mb\99
13966 ;
13967
13968 1794 }
13969         tELVR1STR
13970 ;
13971
13972 1796 
13973 IO_BYTE
13974  
13975         mby\8b
13976 ;
13977
13978 1798 
13979         mIO_BYTE
13980  :1;
13981
13982 1799 
13983         mIO_BYTE
13984  :1;
13985
13986 1800 
13987         mIO_BYTE
13988  :1;
13989
13990 1801 
13991         mIO_BYTE
13992  :1;
13993
13994 1802 
13995         mIO_BYTE
13996  :1;
13997
13998 1803 
13999         mIO_BYTE
14000  :1;
14001
14002 1804 
14003         mIO_BYTE
14004  :1;
14005
14006 1805 
14007 IO_BYTE
14008  
14009         m_DLYI
14010  :1;
14011
14012 1806 }
14013         mb\99
14014 ;
14015
14016 1807 }
14017         tDICRSTR
14018 ;
14019
14020 1809 
14021 IO_BYTE
14022  
14023         mby\8b
14024 ;
14025
14026 1811 
14027 IO_BYTE
14028  
14029         m_MHALTI
14030  :1;
14031
14032 1812 
14033         mIO_BYTE
14034  :1;
14035
14036 1813 
14037         mIO_BYTE
14038  :1;
14039
14040 1814 
14041 IO_BYTE
14042  
14043         m_LVL4
14044  :1;
14045
14046 1815 
14047 IO_BYTE
14048  
14049         m_LVL3
14050  :1;
14051
14052 1816 
14053 IO_BYTE
14054  
14055         m_LVL2
14056  :1;
14057
14058 1817 
14059 IO_BYTE
14060  
14061         m_LVL1
14062  :1;
14063
14064 1818 
14065 IO_BYTE
14066  
14067         m_LVL0
14068  :1;
14069
14070 1819 }
14071         mb\99
14072 ;
14073
14074 1821 
14075         mIO_BYTE
14076  :1;
14077
14078 1822 
14079         mIO_BYTE
14080  :1;
14081
14082 1823 
14083         mIO_BYTE
14084  :1;
14085
14086 1824 
14087 IO_BYTE
14088  
14089         m_LVL
14090  :5;
14091
14092 1825 }
14093         mb\99c
14094 ;
14095
14096 1826 }
14097         tHRCLSTR
14098 ;
14099
14100 1828 
14101 IO_BYTE
14102  
14103         mby\8b
14104 ;
14105
14106 1830 
14107 IO_BYTE
14108  
14109         m_PEN
14110  :1;
14111
14112 1831 
14113 IO_BYTE
14114  
14115         m_P
14116  :1;
14117
14118 1832 
14119 IO_BYTE
14120  
14121         m_SBL
14122  :1;
14123
14124 1833 
14125 IO_BYTE
14126  
14127         m_CL
14128  :1;
14129
14130 1834 
14131 IO_BYTE
14132  
14133         m_AD
14134  :1;
14135
14136 1835 
14137 IO_BYTE
14138  
14139         m_CRE
14140  :1;
14141
14142 1836 
14143 IO_BYTE
14144  
14145         m_RXE
14146  :1;
14147
14148 1837 
14149 IO_BYTE
14150  
14151         m_TXE
14152  :1;
14153
14154 1838 }
14155         mb\99
14156 ;
14157
14158 1839 }
14159         tSCR00STR
14160 ;
14161
14162 1841 
14163 IO_BYTE
14164  
14165         mby\8b
14166 ;
14167
14168 1843 
14169 IO_BYTE
14170  
14171         m_MD1
14172  :1;
14173
14174 1844 
14175 IO_BYTE
14176  
14177         m_MD0
14178  :1;
14179
14180 1845 
14181 IO_BYTE
14182  
14183         m_OTO
14184  :1;
14185
14186 1846 
14187 IO_BYTE
14188  
14189         m_EXT
14190  :1;
14191
14192 1847 
14193 IO_BYTE
14194  
14195         m_REST
14196  :1;
14197
14198 1848 
14199 IO_BYTE
14200  
14201         m_UPCL
14202  :1;
14203
14204 1849 
14205 IO_BYTE
14206  
14207         m_SCKE
14208  :1;
14209
14210 1850 
14211 IO_BYTE
14212  
14213         m_SOE
14214  :1;
14215
14216 1851 }
14217         mb\99
14218 ;
14219
14220 1853 
14221 IO_BYTE
14222  
14223         m_MD
14224  :2;
14225
14226 1854 }
14227         mb\99c
14228 ;
14229
14230 1855 }
14231         tSMR00STR
14232 ;
14233
14234 1857 
14235 IO_BYTE
14236  
14237         mby\8b
14238 ;
14239
14240 1859 
14241 IO_BYTE
14242  
14243         m_PE
14244  :1;
14245
14246 1860 
14247 IO_BYTE
14248  
14249         m_ORE
14250  :1;
14251
14252 1861 
14253 IO_BYTE
14254  
14255         m_FRE
14256  :1;
14257
14258 1862 
14259 IO_BYTE
14260  
14261         m_RDRF
14262  :1;
14263
14264 1863 
14265 IO_BYTE
14266  
14267         m_TDRE
14268  :1;
14269
14270 1864 
14271 IO_BYTE
14272  
14273         m_BDS
14274  :1;
14275
14276 1865 
14277 IO_BYTE
14278  
14279         m_RIE
14280  :1;
14281
14282 1866 
14283 IO_BYTE
14284  
14285         m_TIE
14286  :1;
14287
14288 1867 }
14289         mb\99
14290 ;
14291
14292 1868 }
14293         tSSR00STR
14294 ;
14295
14296 1870 
14297 IO_BYTE
14298  
14299         mby\8b
14300 ;
14301
14302 1872 
14303 IO_BYTE
14304  
14305         m_LBIE
14306  :1;
14307
14308 1873 
14309 IO_BYTE
14310  
14311         m_LBD
14312  :1;
14313
14314 1874 
14315 IO_BYTE
14316  
14317         m_LBL1
14318  :1;
14319
14320 1875 
14321 IO_BYTE
14322  
14323         m_LBL0
14324  :1;
14325
14326 1876 
14327 IO_BYTE
14328  
14329         m_SOPE
14330  :1;
14331
14332 1877 
14333 IO_BYTE
14334  
14335         m_SIOP
14336  :1;
14337
14338 1878 
14339 IO_BYTE
14340  
14341         m_CCO
14342  :1;
14343
14344 1879 
14345 IO_BYTE
14346  
14347         m_SCES
14348  :1;
14349
14350 1880 }
14351         mb\99
14352 ;
14353
14354 1882 
14355         mIO_BYTE
14356  :1;
14357
14358 1883 
14359         mIO_BYTE
14360  :1;
14361
14362 1884 
14363 IO_BYTE
14364  
14365         m_LBL
14366  :2;
14367
14368 1885 }
14369         mb\99c
14370 ;
14371
14372 1886 }
14373         tESCR00STR
14374 ;
14375
14376 1888 
14377 IO_BYTE
14378  
14379         mby\8b
14380 ;
14381
14382 1890 
14383 IO_BYTE
14384  
14385         m_INV
14386  :1;
14387
14388 1891 
14389 IO_BYTE
14390  
14391         m_LBR
14392  :1;
14393
14394 1892 
14395 IO_BYTE
14396  
14397         m_MS
14398  :1;
14399
14400 1893 
14401 IO_BYTE
14402  
14403         m_SCDE
14404  :1;
14405
14406 1894 
14407 IO_BYTE
14408  
14409         m_SSM
14410  :1;
14411
14412 1895 
14413 IO_BYTE
14414  
14415         m_BIE
14416  :1;
14417
14418 1896 
14419 IO_BYTE
14420  
14421         m_RBI
14422  :1;
14423
14424 1897 
14425 IO_BYTE
14426  
14427         m_TBI
14428  :1;
14429
14430 1898 }
14431         mb\99
14432 ;
14433
14434 1899 }
14435         tECCR00STR
14436 ;
14437
14438 1901 
14439 IO_BYTE
14440  
14441         mby\8b
14442 ;
14443
14444 1903 
14445 IO_BYTE
14446  
14447         m_PEN
14448  :1;
14449
14450 1904 
14451 IO_BYTE
14452  
14453         m_P
14454  :1;
14455
14456 1905 
14457 IO_BYTE
14458  
14459         m_SBL
14460  :1;
14461
14462 1906 
14463 IO_BYTE
14464  
14465         m_CL
14466  :1;
14467
14468 1907 
14469 IO_BYTE
14470  
14471         m_AD
14472  :1;
14473
14474 1908 
14475 IO_BYTE
14476  
14477         m_CRE
14478  :1;
14479
14480 1909 
14481 IO_BYTE
14482  
14483         m_RXE
14484  :1;
14485
14486 1910 
14487 IO_BYTE
14488  
14489         m_TXE
14490  :1;
14491
14492 1911 }
14493         mb\99
14494 ;
14495
14496 1912 }
14497         tSCR01STR
14498 ;
14499
14500 1914 
14501 IO_BYTE
14502  
14503         mby\8b
14504 ;
14505
14506 1916 
14507 IO_BYTE
14508  
14509         m_MD1
14510  :1;
14511
14512 1917 
14513 IO_BYTE
14514  
14515         m_MD0
14516  :1;
14517
14518 1918 
14519 IO_BYTE
14520  
14521         m_OTO
14522  :1;
14523
14524 1919 
14525 IO_BYTE
14526  
14527         m_EXT
14528  :1;
14529
14530 1920 
14531 IO_BYTE
14532  
14533         m_REST
14534  :1;
14535
14536 1921 
14537 IO_BYTE
14538  
14539         m_UPCL
14540  :1;
14541
14542 1922 
14543 IO_BYTE
14544  
14545         m_SCKE
14546  :1;
14547
14548 1923 
14549 IO_BYTE
14550  
14551         m_SOE
14552  :1;
14553
14554 1924 }
14555         mb\99
14556 ;
14557
14558 1926 
14559 IO_BYTE
14560  
14561         m_MD
14562  :2;
14563
14564 1927 }
14565         mb\99c
14566 ;
14567
14568 1928 }
14569         tSMR01STR
14570 ;
14571
14572 1930 
14573 IO_BYTE
14574  
14575         mby\8b
14576 ;
14577
14578 1932 
14579 IO_BYTE
14580  
14581         m_PE
14582  :1;
14583
14584 1933 
14585 IO_BYTE
14586  
14587         m_ORE
14588  :1;
14589
14590 1934 
14591 IO_BYTE
14592  
14593         m_FRE
14594  :1;
14595
14596 1935 
14597 IO_BYTE
14598  
14599         m_RDRF
14600  :1;
14601
14602 1936 
14603 IO_BYTE
14604  
14605         m_TDRE
14606  :1;
14607
14608 1937 
14609 IO_BYTE
14610  
14611         m_BDS
14612  :1;
14613
14614 1938 
14615 IO_BYTE
14616  
14617         m_RIE
14618  :1;
14619
14620 1939 
14621 IO_BYTE
14622  
14623         m_TIE
14624  :1;
14625
14626 1940 }
14627         mb\99
14628 ;
14629
14630 1941 }
14631         tSSR01STR
14632 ;
14633
14634 1943 
14635 IO_BYTE
14636  
14637         mby\8b
14638 ;
14639
14640 1945 
14641 IO_BYTE
14642  
14643         m_LBIE
14644  :1;
14645
14646 1946 
14647 IO_BYTE
14648  
14649         m_LBD
14650  :1;
14651
14652 1947 
14653 IO_BYTE
14654  
14655         m_LBL1
14656  :1;
14657
14658 1948 
14659 IO_BYTE
14660  
14661         m_LBL0
14662  :1;
14663
14664 1949 
14665 IO_BYTE
14666  
14667         m_SOPE
14668  :1;
14669
14670 1950 
14671 IO_BYTE
14672  
14673         m_SIOP
14674  :1;
14675
14676 1951 
14677 IO_BYTE
14678  
14679         m_CCO
14680  :1;
14681
14682 1952 
14683 IO_BYTE
14684  
14685         m_SCES
14686  :1;
14687
14688 1953 }
14689         mb\99
14690 ;
14691
14692 1955 
14693         mIO_BYTE
14694  :1;
14695
14696 1956 
14697         mIO_BYTE
14698  :1;
14699
14700 1957 
14701 IO_BYTE
14702  
14703         m_LBL
14704  :2;
14705
14706 1958 }
14707         mb\99c
14708 ;
14709
14710 1959 }
14711         tESCR01STR
14712 ;
14713
14714 1961 
14715 IO_BYTE
14716  
14717         mby\8b
14718 ;
14719
14720 1963 
14721 IO_BYTE
14722  
14723         m_INV
14724  :1;
14725
14726 1964 
14727 IO_BYTE
14728  
14729         m_LBR
14730  :1;
14731
14732 1965 
14733 IO_BYTE
14734  
14735         m_MS
14736  :1;
14737
14738 1966 
14739 IO_BYTE
14740  
14741         m_SCDE
14742  :1;
14743
14744 1967 
14745 IO_BYTE
14746  
14747         m_SSM
14748  :1;
14749
14750 1968 
14751 IO_BYTE
14752  
14753         m_BIE
14754  :1;
14755
14756 1969 
14757 IO_BYTE
14758  
14759         m_RBI
14760  :1;
14761
14762 1970 
14763 IO_BYTE
14764  
14765         m_TBI
14766  :1;
14767
14768 1971 }
14769         mb\99
14770 ;
14771
14772 1972 }
14773         tECCR01STR
14774 ;
14775
14776 1974 
14777 IO_BYTE
14778  
14779         mby\8b
14780 ;
14781
14782 1976 
14783 IO_BYTE
14784  
14785         m_PEN
14786  :1;
14787
14788 1977 
14789 IO_BYTE
14790  
14791         m_P
14792  :1;
14793
14794 1978 
14795 IO_BYTE
14796  
14797         m_SBL
14798  :1;
14799
14800 1979 
14801 IO_BYTE
14802  
14803         m_CL
14804  :1;
14805
14806 1980 
14807 IO_BYTE
14808  
14809         m_AD
14810  :1;
14811
14812 1981 
14813 IO_BYTE
14814  
14815         m_CRE
14816  :1;
14817
14818 1982 
14819 IO_BYTE
14820  
14821         m_RXE
14822  :1;
14823
14824 1983 
14825 IO_BYTE
14826  
14827         m_TXE
14828  :1;
14829
14830 1984 }
14831         mb\99
14832 ;
14833
14834 1985 }
14835         tSCR02STR
14836 ;
14837
14838 1987 
14839 IO_BYTE
14840  
14841         mby\8b
14842 ;
14843
14844 1989 
14845 IO_BYTE
14846  
14847         m_MD1
14848  :1;
14849
14850 1990 
14851 IO_BYTE
14852  
14853         m_MD0
14854  :1;
14855
14856 1991 
14857 IO_BYTE
14858  
14859         m_OTO
14860  :1;
14861
14862 1992 
14863 IO_BYTE
14864  
14865         m_EXT
14866  :1;
14867
14868 1993 
14869 IO_BYTE
14870  
14871         m_REST
14872  :1;
14873
14874 1994 
14875 IO_BYTE
14876  
14877         m_UPCL
14878  :1;
14879
14880 1995 
14881 IO_BYTE
14882  
14883         m_SCKE
14884  :1;
14885
14886 1996 
14887 IO_BYTE
14888  
14889         m_SOE
14890  :1;
14891
14892 1997 }
14893         mb\99
14894 ;
14895
14896 1999 
14897 IO_BYTE
14898  
14899         m_MD
14900  :2;
14901
14902 2000 }
14903         mb\99c
14904 ;
14905
14906 2001 }
14907         tSMR02STR
14908 ;
14909
14910 2003 
14911 IO_BYTE
14912  
14913         mby\8b
14914 ;
14915
14916 2005 
14917 IO_BYTE
14918  
14919         m_PE
14920  :1;
14921
14922 2006 
14923 IO_BYTE
14924  
14925         m_ORE
14926  :1;
14927
14928 2007 
14929 IO_BYTE
14930  
14931         m_FRE
14932  :1;
14933
14934 2008 
14935 IO_BYTE
14936  
14937         m_RDRF
14938  :1;
14939
14940 2009 
14941 IO_BYTE
14942  
14943         m_TDRE
14944  :1;
14945
14946 2010 
14947 IO_BYTE
14948  
14949         m_BDS
14950  :1;
14951
14952 2011 
14953 IO_BYTE
14954  
14955         m_RIE
14956  :1;
14957
14958 2012 
14959 IO_BYTE
14960  
14961         m_TIE
14962  :1;
14963
14964 2013 }
14965         mb\99
14966 ;
14967
14968 2014 }
14969         tSSR02STR
14970 ;
14971
14972 2016 
14973 IO_BYTE
14974  
14975         mby\8b
14976 ;
14977
14978 2018 
14979 IO_BYTE
14980  
14981         m_LBIE
14982  :1;
14983
14984 2019 
14985 IO_BYTE
14986  
14987         m_LBD
14988  :1;
14989
14990 2020 
14991 IO_BYTE
14992  
14993         m_LBL1
14994  :1;
14995
14996 2021 
14997 IO_BYTE
14998  
14999         m_LBL0
15000  :1;
15001
15002 2022 
15003 IO_BYTE
15004  
15005         m_SOPE
15006  :1;
15007
15008 2023 
15009 IO_BYTE
15010  
15011         m_SIOP
15012  :1;
15013
15014 2024 
15015 IO_BYTE
15016  
15017         m_CCO
15018  :1;
15019
15020 2025 
15021 IO_BYTE
15022  
15023         m_SCES
15024  :1;
15025
15026 2026 }
15027         mb\99
15028 ;
15029
15030 2028 
15031         mIO_BYTE
15032  :1;
15033
15034 2029 
15035         mIO_BYTE
15036  :1;
15037
15038 2030 
15039 IO_BYTE
15040  
15041         m_LBL
15042  :2;
15043
15044 2031 }
15045         mb\99c
15046 ;
15047
15048 2032 }
15049         tESCR02STR
15050 ;
15051
15052 2034 
15053 IO_BYTE
15054  
15055         mby\8b
15056 ;
15057
15058 2036 
15059 IO_BYTE
15060  
15061         m_INV
15062  :1;
15063
15064 2037 
15065 IO_BYTE
15066  
15067         m_LBR
15068  :1;
15069
15070 2038 
15071 IO_BYTE
15072  
15073         m_MS
15074  :1;
15075
15076 2039 
15077 IO_BYTE
15078  
15079         m_SCDE
15080  :1;
15081
15082 2040 
15083 IO_BYTE
15084  
15085         m_SSM
15086  :1;
15087
15088 2041 
15089 IO_BYTE
15090  
15091         m_BIE
15092  :1;
15093
15094 2042 
15095 IO_BYTE
15096  
15097         m_RBI
15098  :1;
15099
15100 2043 
15101 IO_BYTE
15102  
15103         m_TBI
15104  :1;
15105
15106 2044 }
15107         mb\99
15108 ;
15109
15110 2045 }
15111         tECCR02STR
15112 ;
15113
15114 2047 
15115 IO_BYTE
15116  
15117         mby\8b
15118 ;
15119
15120 2049 
15121 IO_BYTE
15122  
15123         m_PEN
15124  :1;
15125
15126 2050 
15127 IO_BYTE
15128  
15129         m_P
15130  :1;
15131
15132 2051 
15133 IO_BYTE
15134  
15135         m_SBL
15136  :1;
15137
15138 2052 
15139 IO_BYTE
15140  
15141         m_CL
15142  :1;
15143
15144 2053 
15145 IO_BYTE
15146  
15147         m_AD
15148  :1;
15149
15150 2054 
15151 IO_BYTE
15152  
15153         m_CRE
15154  :1;
15155
15156 2055 
15157 IO_BYTE
15158  
15159         m_RXE
15160  :1;
15161
15162 2056 
15163 IO_BYTE
15164  
15165         m_TXE
15166  :1;
15167
15168 2057 }
15169         mb\99
15170 ;
15171
15172 2058 }
15173         tSCR03STR
15174 ;
15175
15176 2060 
15177 IO_BYTE
15178  
15179         mby\8b
15180 ;
15181
15182 2062 
15183 IO_BYTE
15184  
15185         m_MD1
15186  :1;
15187
15188 2063 
15189 IO_BYTE
15190  
15191         m_MD0
15192  :1;
15193
15194 2064 
15195 IO_BYTE
15196  
15197         m_OTO
15198  :1;
15199
15200 2065 
15201 IO_BYTE
15202  
15203         m_EXT
15204  :1;
15205
15206 2066 
15207 IO_BYTE
15208  
15209         m_REST
15210  :1;
15211
15212 2067 
15213 IO_BYTE
15214  
15215         m_UPCL
15216  :1;
15217
15218 2068 
15219 IO_BYTE
15220  
15221         m_SCKE
15222  :1;
15223
15224 2069 
15225 IO_BYTE
15226  
15227         m_SOE
15228  :1;
15229
15230 2070 }
15231         mb\99
15232 ;
15233
15234 2072 
15235 IO_BYTE
15236  
15237         m_MD
15238  :2;
15239
15240 2073 }
15241         mb\99c
15242 ;
15243
15244 2074 }
15245         tSMR03STR
15246 ;
15247
15248 2076 
15249 IO_BYTE
15250  
15251         mby\8b
15252 ;
15253
15254 2078 
15255 IO_BYTE
15256  
15257         m_PE
15258  :1;
15259
15260 2079 
15261 IO_BYTE
15262  
15263         m_ORE
15264  :1;
15265
15266 2080 
15267 IO_BYTE
15268  
15269         m_FRE
15270  :1;
15271
15272 2081 
15273 IO_BYTE
15274  
15275         m_RDRF
15276  :1;
15277
15278 2082 
15279 IO_BYTE
15280  
15281         m_TDRE
15282  :1;
15283
15284 2083 
15285 IO_BYTE
15286  
15287         m_BDS
15288  :1;
15289
15290 2084 
15291 IO_BYTE
15292  
15293         m_RIE
15294  :1;
15295
15296 2085 
15297 IO_BYTE
15298  
15299         m_TIE
15300  :1;
15301
15302 2086 }
15303         mb\99
15304 ;
15305
15306 2087 }
15307         tSSR03STR
15308 ;
15309
15310 2089 
15311 IO_BYTE
15312  
15313         mby\8b
15314 ;
15315
15316 2091 
15317 IO_BYTE
15318  
15319         m_LBIE
15320  :1;
15321
15322 2092 
15323 IO_BYTE
15324  
15325         m_LBD
15326  :1;
15327
15328 2093 
15329 IO_BYTE
15330  
15331         m_LBL1
15332  :1;
15333
15334 2094 
15335 IO_BYTE
15336  
15337         m_LBL0
15338  :1;
15339
15340 2095 
15341 IO_BYTE
15342  
15343         m_SOPE
15344  :1;
15345
15346 2096 
15347 IO_BYTE
15348  
15349         m_SIOP
15350  :1;
15351
15352 2097 
15353 IO_BYTE
15354  
15355         m_CCO
15356  :1;
15357
15358 2098 
15359 IO_BYTE
15360  
15361         m_SCES
15362  :1;
15363
15364 2099 }
15365         mb\99
15366 ;
15367
15368 2101 
15369         mIO_BYTE
15370  :1;
15371
15372 2102 
15373         mIO_BYTE
15374  :1;
15375
15376 2103 
15377 IO_BYTE
15378  
15379         m_LBL
15380  :2;
15381
15382 2104 }
15383         mb\99c
15384 ;
15385
15386 2105 }
15387         tESCR03STR
15388 ;
15389
15390 2107 
15391 IO_BYTE
15392  
15393         mby\8b
15394 ;
15395
15396 2109 
15397 IO_BYTE
15398  
15399         m_INV
15400  :1;
15401
15402 2110 
15403 IO_BYTE
15404  
15405         m_LBR
15406  :1;
15407
15408 2111 
15409 IO_BYTE
15410  
15411         m_MS
15412  :1;
15413
15414 2112 
15415 IO_BYTE
15416  
15417         m_SCDE
15418  :1;
15419
15420 2113 
15421 IO_BYTE
15422  
15423         m_SSM
15424  :1;
15425
15426 2114 
15427 IO_BYTE
15428  
15429         m_BIE
15430  :1;
15431
15432 2115 
15433 IO_BYTE
15434  
15435         m_RBI
15436  :1;
15437
15438 2116 
15439 IO_BYTE
15440  
15441         m_TBI
15442  :1;
15443
15444 2117 }
15445         mb\99
15446 ;
15447
15448 2118 }
15449         tECCR03STR
15450 ;
15451
15452 2120 
15453 IO_BYTE
15454  
15455         mby\8b
15456 ;
15457
15458 2122 
15459 IO_BYTE
15460  
15461         m_PEN
15462  :1;
15463
15464 2123 
15465 IO_BYTE
15466  
15467         m_P
15468  :1;
15469
15470 2124 
15471 IO_BYTE
15472  
15473         m_SBL
15474  :1;
15475
15476 2125 
15477 IO_BYTE
15478  
15479         m_CL
15480  :1;
15481
15482 2126 
15483 IO_BYTE
15484  
15485         m_AD
15486  :1;
15487
15488 2127 
15489 IO_BYTE
15490  
15491         m_CRE
15492  :1;
15493
15494 2128 
15495 IO_BYTE
15496  
15497         m_RXE
15498  :1;
15499
15500 2129 
15501 IO_BYTE
15502  
15503         m_TXE
15504  :1;
15505
15506 2130 }
15507         mb\99
15508 ;
15509
15510 2131 }
15511         tSCR04STR
15512 ;
15513
15514 2133 
15515 IO_BYTE
15516  
15517         mby\8b
15518 ;
15519
15520 2135 
15521 IO_BYTE
15522  
15523         m_MD1
15524  :1;
15525
15526 2136 
15527 IO_BYTE
15528  
15529         m_MD0
15530  :1;
15531
15532 2137 
15533 IO_BYTE
15534  
15535         m_OTO
15536  :1;
15537
15538 2138 
15539 IO_BYTE
15540  
15541         m_EXT
15542  :1;
15543
15544 2139 
15545 IO_BYTE
15546  
15547         m_REST
15548  :1;
15549
15550 2140 
15551 IO_BYTE
15552  
15553         m_UPCL
15554  :1;
15555
15556 2141 
15557 IO_BYTE
15558  
15559         m_SCKE
15560  :1;
15561
15562 2142 
15563 IO_BYTE
15564  
15565         m_SOE
15566  :1;
15567
15568 2143 }
15569         mb\99
15570 ;
15571
15572 2145 
15573 IO_BYTE
15574  
15575         m_MD
15576  :2;
15577
15578 2146 }
15579         mb\99c
15580 ;
15581
15582 2147 }
15583         tSMR04STR
15584 ;
15585
15586 2149 
15587 IO_BYTE
15588  
15589         mby\8b
15590 ;
15591
15592 2151 
15593 IO_BYTE
15594  
15595         m_PE
15596  :1;
15597
15598 2152 
15599 IO_BYTE
15600  
15601         m_ORE
15602  :1;
15603
15604 2153 
15605 IO_BYTE
15606  
15607         m_FRE
15608  :1;
15609
15610 2154 
15611 IO_BYTE
15612  
15613         m_RDRF
15614  :1;
15615
15616 2155 
15617 IO_BYTE
15618  
15619         m_TDRE
15620  :1;
15621
15622 2156 
15623 IO_BYTE
15624  
15625         m_BDS
15626  :1;
15627
15628 2157 
15629 IO_BYTE
15630  
15631         m_RIE
15632  :1;
15633
15634 2158 
15635 IO_BYTE
15636  
15637         m_TIE
15638  :1;
15639
15640 2159 }
15641         mb\99
15642 ;
15643
15644 2160 }
15645         tSSR04STR
15646 ;
15647
15648 2162 
15649 IO_BYTE
15650  
15651         mby\8b
15652 ;
15653
15654 2164 
15655 IO_BYTE
15656  
15657         m_LBIE
15658  :1;
15659
15660 2165 
15661 IO_BYTE
15662  
15663         m_LBD
15664  :1;
15665
15666 2166 
15667 IO_BYTE
15668  
15669         m_LBL1
15670  :1;
15671
15672 2167 
15673 IO_BYTE
15674  
15675         m_LBL0
15676  :1;
15677
15678 2168 
15679 IO_BYTE
15680  
15681         m_SOPE
15682  :1;
15683
15684 2169 
15685 IO_BYTE
15686  
15687         m_SIOP
15688  :1;
15689
15690 2170 
15691 IO_BYTE
15692  
15693         m_CCO
15694  :1;
15695
15696 2171 
15697 IO_BYTE
15698  
15699         m_SCES
15700  :1;
15701
15702 2172 }
15703         mb\99
15704 ;
15705
15706 2174 
15707         mIO_BYTE
15708  :1;
15709
15710 2175 
15711         mIO_BYTE
15712  :1;
15713
15714 2176 
15715 IO_BYTE
15716  
15717         m_LBL
15718  :2;
15719
15720 2177 }
15721         mb\99c
15722 ;
15723
15724 2178 }
15725         tESCR04STR
15726 ;
15727
15728 2180 
15729 IO_BYTE
15730  
15731         mby\8b
15732 ;
15733
15734 2182 
15735 IO_BYTE
15736  
15737         m_INV
15738  :1;
15739
15740 2183 
15741 IO_BYTE
15742  
15743         m_LBR
15744  :1;
15745
15746 2184 
15747 IO_BYTE
15748  
15749         m_MS
15750  :1;
15751
15752 2185 
15753 IO_BYTE
15754  
15755         m_SCDE
15756  :1;
15757
15758 2186 
15759 IO_BYTE
15760  
15761         m_SSM
15762  :1;
15763
15764 2187 
15765 IO_BYTE
15766  
15767         m_BIE
15768  :1;
15769
15770 2188 
15771 IO_BYTE
15772  
15773         m_RBI
15774  :1;
15775
15776 2189 
15777 IO_BYTE
15778  
15779         m_TBI
15780  :1;
15781
15782 2190 }
15783         mb\99
15784 ;
15785
15786 2191 }
15787         tECCR04STR
15788 ;
15789
15790 2193 
15791 IO_BYTE
15792  
15793         mby\8b
15794 ;
15795
15796 2195 
15797 IO_BYTE
15798  
15799         m_RXL3
15800  :1;
15801
15802 2196 
15803 IO_BYTE
15804  
15805         m_RXL2
15806  :1;
15807
15808 2197 
15809 IO_BYTE
15810  
15811         m_RXL1
15812  :1;
15813
15814 2198 
15815 IO_BYTE
15816  
15817         m_RXL0
15818  :1;
15819
15820 2199 
15821         mIO_BYTE
15822  :1;
15823
15824 2200 
15825 IO_BYTE
15826  
15827         m_ERX
15828  :1;
15829
15830 2201 
15831 IO_BYTE
15832  
15833         m_ETX
15834  :1;
15835
15836 2202 
15837 IO_BYTE
15838  
15839         m_SVD
15840  :1;
15841
15842 2203 }
15843         mb\99
15844 ;
15845
15846 2205 
15847 IO_BYTE
15848  
15849         m_RXL
15850  :4;
15851
15852 2206 }
15853         mb\99c
15854 ;
15855
15856 2207 }
15857         tFCR04STR
15858 ;
15859
15860 2209 
15861 IO_BYTE
15862  
15863         mby\8b
15864 ;
15865
15866 2211 
15867 IO_BYTE
15868  
15869         m_BER
15870  :1;
15871
15872 2212 
15873 IO_BYTE
15874  
15875         m_BEIE
15876  :1;
15877
15878 2213 
15879 IO_BYTE
15880  
15881         m_SCC
15882  :1;
15883
15884 2214 
15885 IO_BYTE
15886  
15887         m_MSS
15888  :1;
15889
15890 2215 
15891 IO_BYTE
15892  
15893         m_ACK
15894  :1;
15895
15896 2216 
15897 IO_BYTE
15898  
15899         m_GCAA
15900  :1;
15901
15902 2217 
15903 IO_BYTE
15904  
15905         m_INTE
15906  :1;
15907
15908 2218 
15909 IO_BYTE
15910  
15911         m_INT
15912  :1;
15913
15914 2219 }
15915         mb\99
15916 ;
15917
15918 2220 }
15919         tIBCR0STR
15920 ;
15921
15922 2222 
15923 IO_BYTE
15924  
15925         mby\8b
15926 ;
15927
15928 2224 
15929 IO_BYTE
15930  
15931         m_BB
15932  :1;
15933
15934 2225 
15935 IO_BYTE
15936  
15937         m_RSC
15938  :1;
15939
15940 2226 
15941 IO_BYTE
15942  
15943         m_AL
15944  :1;
15945
15946 2227 
15947 IO_BYTE
15948  
15949         m_LRB
15950  :1;
15951
15952 2228 
15953 IO_BYTE
15954  
15955         m_TRX
15956  :1;
15957
15958 2229 
15959 IO_BYTE
15960  
15961         m_AAS
15962  :1;
15963
15964 2230 
15965 IO_BYTE
15966  
15967         m_GCA
15968  :1;
15969
15970 2231 
15971 IO_BYTE
15972  
15973         m_ADT
15974  :1;
15975
15976 2232 }
15977         mb\99
15978 ;
15979
15980 2233 }
15981         tIBSR0STR
15982 ;
15983
15984 2235 
15985 IO_WORD
15986  
15987         mwÜd
15988 ;
15989
15990 2237 
15991         mIO_WORD
15992  :1;
15993
15994 2238 
15995         mIO_WORD
15996  :1;
15997
15998 2239 
15999         mIO_WORD
16000  :1;
16001
16002 2240 
16003         mIO_WORD
16004  :1;
16005
16006 2241 
16007         mIO_WORD
16008  :1;
16009
16010 2242 
16011         mIO_WORD
16012  :1;
16013
16014 2243 
16015 IO_WORD
16016  
16017         m_TA9
16018  :1;
16019
16020 2244 
16021 IO_WORD
16022  
16023         m_TA8
16024  :1;
16025
16026 2245 
16027 IO_WORD
16028  
16029         m_TA7
16030  :1;
16031
16032 2246 
16033 IO_WORD
16034  
16035         m_TA6
16036  :1;
16037
16038 2247 
16039 IO_WORD
16040  
16041         m_TA5
16042  :1;
16043
16044 2248 
16045 IO_WORD
16046  
16047         m_TA4
16048  :1;
16049
16050 2249 
16051 IO_WORD
16052  
16053         m_TA3
16054  :1;
16055
16056 2250 
16057 IO_WORD
16058  
16059         m_TA2
16060  :1;
16061
16062 2251 
16063 IO_WORD
16064  
16065         m_TA1
16066  :1;
16067
16068 2252 
16069 IO_WORD
16070  
16071         m_TA0
16072  :1;
16073
16074 2253 }
16075         mb\99
16076 ;
16077
16078 2254 }
16079         tITBA0STR
16080 ;
16081
16082 2256 
16083 IO_BYTE
16084  
16085         mby\8b
16086 ;
16087
16088 2258 
16089         mIO_BYTE
16090  :1;
16091
16092 2259 
16093         mIO_BYTE
16094  :1;
16095
16096 2260 
16097         mIO_BYTE
16098  :1;
16099
16100 2261 
16101         mIO_BYTE
16102  :1;
16103
16104 2262 
16105         mIO_BYTE
16106  :1;
16107
16108 2263 
16109         mIO_BYTE
16110  :1;
16111
16112 2264 
16113 IO_BYTE
16114  
16115         m_TA9
16116  :1;
16117
16118 2265 
16119 IO_BYTE
16120  
16121         m_TA8
16122  :1;
16123
16124 2266 }
16125         mb\99
16126 ;
16127
16128 2267 }
16129         tITBAH0STR
16130 ;
16131
16132 2269 
16133 IO_BYTE
16134  
16135         mby\8b
16136 ;
16137
16138 2271 
16139 IO_BYTE
16140  
16141         m_TA7
16142  :1;
16143
16144 2272 
16145 IO_BYTE
16146  
16147         m_TA6
16148  :1;
16149
16150 2273 
16151 IO_BYTE
16152  
16153         m_TA5
16154  :1;
16155
16156 2274 
16157 IO_BYTE
16158  
16159         m_TA4
16160  :1;
16161
16162 2275 
16163 IO_BYTE
16164  
16165         m_TA3
16166  :1;
16167
16168 2276 
16169 IO_BYTE
16170  
16171         m_TA2
16172  :1;
16173
16174 2277 
16175 IO_BYTE
16176  
16177         m_TA1
16178  :1;
16179
16180 2278 
16181 IO_BYTE
16182  
16183         m_TA0
16184  :1;
16185
16186 2279 }
16187         mb\99
16188 ;
16189
16190 2280 }
16191         tITBAL0STR
16192 ;
16193
16194 2282 
16195 IO_WORD
16196  
16197         mwÜd
16198 ;
16199
16200 2284 
16201 IO_WORD
16202  
16203         m_ENTB
16204  :1;
16205
16206 2285 
16207 IO_WORD
16208  
16209         m_RAL
16210  :1;
16211
16212 2286 
16213         mIO_WORD
16214  :1;
16215
16216 2287 
16217         mIO_WORD
16218  :1;
16219
16220 2288 
16221         mIO_WORD
16222  :1;
16223
16224 2289 
16225         mIO_WORD
16226  :1;
16227
16228 2290 
16229 IO_WORD
16230  
16231         m_TM9
16232  :1;
16233
16234 2291 
16235 IO_WORD
16236  
16237         m_TM8
16238  :1;
16239
16240 2292 
16241 IO_WORD
16242  
16243         m_TM7
16244  :1;
16245
16246 2293 
16247 IO_WORD
16248  
16249         m_TM6
16250  :1;
16251
16252 2294 
16253 IO_WORD
16254  
16255         m_TM5
16256  :1;
16257
16258 2295 
16259 IO_WORD
16260  
16261         m_TM4
16262  :1;
16263
16264 2296 
16265 IO_WORD
16266  
16267         m_TM3
16268  :1;
16269
16270 2297 
16271 IO_WORD
16272  
16273         m_TM2
16274  :1;
16275
16276 2298 
16277 IO_WORD
16278  
16279         m_TM1
16280  :1;
16281
16282 2299 
16283 IO_WORD
16284  
16285         m_TM0
16286  :1;
16287
16288 2300 }
16289         mb\99
16290 ;
16291
16292 2301 }
16293         tITMK0STR
16294 ;
16295
16296 2303 
16297 IO_BYTE
16298  
16299         mby\8b
16300 ;
16301
16302 2305 
16303 IO_BYTE
16304  
16305         m_ENTB
16306  :1;
16307
16308 2306 
16309 IO_BYTE
16310  
16311         m_RAL
16312  :1;
16313
16314 2307 
16315         mIO_BYTE
16316  :1;
16317
16318 2308 
16319         mIO_BYTE
16320  :1;
16321
16322 2309 
16323         mIO_BYTE
16324  :1;
16325
16326 2310 
16327         mIO_BYTE
16328  :1;
16329
16330 2311 
16331 IO_BYTE
16332  
16333         m_TM9
16334  :1;
16335
16336 2312 
16337 IO_BYTE
16338  
16339         m_TM8
16340  :1;
16341
16342 2313 }
16343         mb\99
16344 ;
16345
16346 2314 }
16347         tITMKH0STR
16348 ;
16349
16350 2316 
16351 IO_BYTE
16352  
16353         mby\8b
16354 ;
16355
16356 2318 
16357 IO_BYTE
16358  
16359         m_TM7
16360  :1;
16361
16362 2319 
16363 IO_BYTE
16364  
16365         m_TM6
16366  :1;
16367
16368 2320 
16369 IO_BYTE
16370  
16371         m_TM5
16372  :1;
16373
16374 2321 
16375 IO_BYTE
16376  
16377         m_TM4
16378  :1;
16379
16380 2322 
16381 IO_BYTE
16382  
16383         m_TM3
16384  :1;
16385
16386 2323 
16387 IO_BYTE
16388  
16389         m_TM2
16390  :1;
16391
16392 2324 
16393 IO_BYTE
16394  
16395         m_TM1
16396  :1;
16397
16398 2325 
16399 IO_BYTE
16400  
16401         m_TM0
16402  :1;
16403
16404 2326 }
16405         mb\99
16406 ;
16407
16408 2327 }
16409         tITMKL0STR
16410 ;
16411
16412 2329 
16413 IO_BYTE
16414  
16415         mby\8b
16416 ;
16417
16418 2331 
16419 IO_BYTE
16420  
16421         m_ENSB
16422  :1;
16423
16424 2332 
16425 IO_BYTE
16426  
16427         m_SM6
16428  :1;
16429
16430 2333 
16431 IO_BYTE
16432  
16433         m_SM5
16434  :1;
16435
16436 2334 
16437 IO_BYTE
16438  
16439         m_SM4
16440  :1;
16441
16442 2335 
16443 IO_BYTE
16444  
16445         m_SM3
16446  :1;
16447
16448 2336 
16449 IO_BYTE
16450  
16451         m_SM2
16452  :1;
16453
16454 2337 
16455 IO_BYTE
16456  
16457         m_SM1
16458  :1;
16459
16460 2338 
16461 IO_BYTE
16462  
16463         m_SM0
16464  :1;
16465
16466 2339 }
16467         mb\99
16468 ;
16469
16470 2340 }
16471         tISMK0STR
16472 ;
16473
16474 2342 
16475 IO_BYTE
16476  
16477         mby\8b
16478 ;
16479
16480 2344 
16481         mIO_BYTE
16482  :1;
16483
16484 2345 
16485 IO_BYTE
16486  
16487         m_SA6
16488  :1;
16489
16490 2346 
16491 IO_BYTE
16492  
16493         m_SA5
16494  :1;
16495
16496 2347 
16497 IO_BYTE
16498  
16499         m_SA4
16500  :1;
16501
16502 2348 
16503 IO_BYTE
16504  
16505         m_SA3
16506  :1;
16507
16508 2349 
16509 IO_BYTE
16510  
16511         m_SA2
16512  :1;
16513
16514 2350 
16515 IO_BYTE
16516  
16517         m_SA1
16518  :1;
16519
16520 2351 
16521 IO_BYTE
16522  
16523         m_SA0
16524  :1;
16525
16526 2352 }
16527         mb\99
16528 ;
16529
16530 2353 }
16531         tISBA0STR
16532 ;
16533
16534 2355 
16535 IO_BYTE
16536  
16537         mby\8b
16538 ;
16539
16540 2357 
16541 IO_BYTE
16542  
16543         m_D7
16544  :1;
16545
16546 2358 
16547 IO_BYTE
16548  
16549         m_D6
16550  :1;
16551
16552 2359 
16553 IO_BYTE
16554  
16555         m_D5
16556  :1;
16557
16558 2360 
16559 IO_BYTE
16560  
16561         m_D4
16562  :1;
16563
16564 2361 
16565 IO_BYTE
16566  
16567         m_D3
16568  :1;
16569
16570 2362 
16571 IO_BYTE
16572  
16573         m_D2
16574  :1;
16575
16576 2363 
16577 IO_BYTE
16578  
16579         m_D1
16580  :1;
16581
16582 2364 
16583 IO_BYTE
16584  
16585         m_D0
16586  :1;
16587
16588 2365 }
16589         mb\99
16590 ;
16591
16592 2366 }
16593         tIDAR0STR
16594 ;
16595
16596 2368 
16597 IO_BYTE
16598  
16599         mby\8b
16600 ;
16601
16602 2370 
16603         mIO_BYTE
16604  :1;
16605
16606 2371 
16607 IO_BYTE
16608  
16609         m_NSF
16610  :1;
16611
16612 2372 
16613 IO_BYTE
16614  
16615         m_EN
16616  :1;
16617
16618 2373 
16619 IO_BYTE
16620  
16621         m_CS4
16622  :1;
16623
16624 2374 
16625 IO_BYTE
16626  
16627         m_CS3
16628  :1;
16629
16630 2375 
16631 IO_BYTE
16632  
16633         m_CS2
16634  :1;
16635
16636 2376 
16637 IO_BYTE
16638  
16639         m_CS1
16640  :1;
16641
16642 2377 
16643 IO_BYTE
16644  
16645         m_CS0
16646  :1;
16647
16648 2378 }
16649         mb\99
16650 ;
16651
16652 2380 
16653         mIO_BYTE
16654  :1;
16655
16656 2381 
16657         mIO_BYTE
16658  :1;
16659
16660 2382 
16661         mIO_BYTE
16662  :1;
16663
16664 2383 
16665 IO_BYTE
16666  
16667         m_CS
16668  :5;
16669
16670 2384 }
16671         mb\99c
16672 ;
16673
16674 2385 }
16675         tICCR0STR
16676 ;
16677
16678 2387 
16679 IO_WORD
16680  
16681         mwÜd
16682 ;
16683
16684 2389 
16685 IO_WORD
16686  
16687         m_TSEL33
16688  :1;
16689
16690 2390 
16691 IO_WORD
16692  
16693         m_TSEL32
16694  :1;
16695
16696 2391 
16697 IO_WORD
16698  
16699         m_TSEL31
16700  :1;
16701
16702 2392 
16703 IO_WORD
16704  
16705         m_TSEL30
16706  :1;
16707
16708 2393 
16709 IO_WORD
16710  
16711         m_TSEL23
16712  :1;
16713
16714 2394 
16715 IO_WORD
16716  
16717         m_TSEL22
16718  :1;
16719
16720 2395 
16721 IO_WORD
16722  
16723         m_TSEL21
16724  :1;
16725
16726 2396 
16727 IO_WORD
16728  
16729         m_TSEL20
16730  :1;
16731
16732 2397 
16733 IO_WORD
16734  
16735         m_TSEL13
16736  :1;
16737
16738 2398 
16739 IO_WORD
16740  
16741         m_TSEL12
16742  :1;
16743
16744 2399 
16745 IO_WORD
16746  
16747         m_TSEL11
16748  :1;
16749
16750 2400 
16751 IO_WORD
16752  
16753         m_TSEL10
16754  :1;
16755
16756 2401 
16757 IO_WORD
16758  
16759         m_TSEL03
16760  :1;
16761
16762 2402 
16763 IO_WORD
16764  
16765         m_TSEL02
16766  :1;
16767
16768 2403 
16769 IO_WORD
16770  
16771         m_TSEL01
16772  :1;
16773
16774 2404 
16775 IO_WORD
16776  
16777         m_TSEL00
16778  :1;
16779
16780 2405 }
16781         mb\99
16782 ;
16783
16784 2406 }
16785         tGCN10STR
16786 ;
16787
16788 2408 
16789 IO_BYTE
16790  
16791         mby\8b
16792 ;
16793
16794 2410 
16795         mIO_BYTE
16796  :1;
16797
16798 2411 
16799         mIO_BYTE
16800  :1;
16801
16802 2412 
16803         mIO_BYTE
16804  :1;
16805
16806 2413 
16807         mIO_BYTE
16808  :1;
16809
16810 2414 
16811 IO_BYTE
16812  
16813         m_EN3
16814  :1;
16815
16816 2415 
16817 IO_BYTE
16818  
16819         m_EN2
16820  :1;
16821
16822 2416 
16823 IO_BYTE
16824  
16825         m_EN1
16826  :1;
16827
16828 2417 
16829 IO_BYTE
16830  
16831         m_EN0
16832  :1;
16833
16834 2418 }
16835         mb\99
16836 ;
16837
16838 2419 }
16839         tGCN20STR
16840 ;
16841
16842 2421 
16843 IO_WORD
16844  
16845         mwÜd
16846 ;
16847
16848 2423 
16849 IO_WORD
16850  
16851         m_TSEL33
16852  :1;
16853
16854 2424 
16855 IO_WORD
16856  
16857         m_TSEL32
16858  :1;
16859
16860 2425 
16861 IO_WORD
16862  
16863         m_TSEL31
16864  :1;
16865
16866 2426 
16867 IO_WORD
16868  
16869         m_TSEL30
16870  :1;
16871
16872 2427 
16873 IO_WORD
16874  
16875         m_TSEL23
16876  :1;
16877
16878 2428 
16879 IO_WORD
16880  
16881         m_TSEL22
16882  :1;
16883
16884 2429 
16885 IO_WORD
16886  
16887         m_TSEL21
16888  :1;
16889
16890 2430 
16891 IO_WORD
16892  
16893         m_TSEL20
16894  :1;
16895
16896 2431 
16897 IO_WORD
16898  
16899         m_TSEL13
16900  :1;
16901
16902 2432 
16903 IO_WORD
16904  
16905         m_TSEL12
16906  :1;
16907
16908 2433 
16909 IO_WORD
16910  
16911         m_TSEL11
16912  :1;
16913
16914 2434 
16915 IO_WORD
16916  
16917         m_TSEL10
16918  :1;
16919
16920 2435 
16921 IO_WORD
16922  
16923         m_TSEL03
16924  :1;
16925
16926 2436 
16927 IO_WORD
16928  
16929         m_TSEL02
16930  :1;
16931
16932 2437 
16933 IO_WORD
16934  
16935         m_TSEL01
16936  :1;
16937
16938 2438 
16939 IO_WORD
16940  
16941         m_TSEL00
16942  :1;
16943
16944 2439 }
16945         mb\99
16946 ;
16947
16948 2440 }
16949         tGCN11STR
16950 ;
16951
16952 2442 
16953 IO_BYTE
16954  
16955         mby\8b
16956 ;
16957
16958 2444 
16959         mIO_BYTE
16960  :1;
16961
16962 2445 
16963         mIO_BYTE
16964  :1;
16965
16966 2446 
16967         mIO_BYTE
16968  :1;
16969
16970 2447 
16971         mIO_BYTE
16972  :1;
16973
16974 2448 
16975 IO_BYTE
16976  
16977         m_EN3
16978  :1;
16979
16980 2449 
16981 IO_BYTE
16982  
16983         m_EN2
16984  :1;
16985
16986 2450 
16987 IO_BYTE
16988  
16989         m_EN1
16990  :1;
16991
16992 2451 
16993 IO_BYTE
16994  
16995         m_EN0
16996  :1;
16997
16998 2452 }
16999         mb\99
17000 ;
17001
17002 2453 }
17003         tGCN21STR
17004 ;
17005
17006 2455 
17007 IO_WORD
17008  
17009         mwÜd
17010 ;
17011
17012 2457 
17013 IO_WORD
17014  
17015         m_TSEL33
17016  :1;
17017
17018 2458 
17019 IO_WORD
17020  
17021         m_TSEL32
17022  :1;
17023
17024 2459 
17025 IO_WORD
17026  
17027         m_TSEL31
17028  :1;
17029
17030 2460 
17031 IO_WORD
17032  
17033         m_TSEL30
17034  :1;
17035
17036 2461 
17037 IO_WORD
17038  
17039         m_TSEL23
17040  :1;
17041
17042 2462 
17043 IO_WORD
17044  
17045         m_TSEL22
17046  :1;
17047
17048 2463 
17049 IO_WORD
17050  
17051         m_TSEL21
17052  :1;
17053
17054 2464 
17055 IO_WORD
17056  
17057         m_TSEL20
17058  :1;
17059
17060 2465 
17061 IO_WORD
17062  
17063         m_TSEL13
17064  :1;
17065
17066 2466 
17067 IO_WORD
17068  
17069         m_TSEL12
17070  :1;
17071
17072 2467 
17073 IO_WORD
17074  
17075         m_TSEL11
17076  :1;
17077
17078 2468 
17079 IO_WORD
17080  
17081         m_TSEL10
17082  :1;
17083
17084 2469 
17085 IO_WORD
17086  
17087         m_TSEL03
17088  :1;
17089
17090 2470 
17091 IO_WORD
17092  
17093         m_TSEL02
17094  :1;
17095
17096 2471 
17097 IO_WORD
17098  
17099         m_TSEL01
17100  :1;
17101
17102 2472 
17103 IO_WORD
17104  
17105         m_TSEL00
17106  :1;
17107
17108 2473 }
17109         mb\99
17110 ;
17111
17112 2474 }
17113         tGCN12STR
17114 ;
17115
17116 2476 
17117 IO_BYTE
17118  
17119         mby\8b
17120 ;
17121
17122 2478 
17123         mIO_BYTE
17124  :1;
17125
17126 2479 
17127         mIO_BYTE
17128  :1;
17129
17130 2480 
17131         mIO_BYTE
17132  :1;
17133
17134 2481 
17135         mIO_BYTE
17136  :1;
17137
17138 2482 
17139 IO_BYTE
17140  
17141         m_EN3
17142  :1;
17143
17144 2483 
17145 IO_BYTE
17146  
17147         m_EN2
17148  :1;
17149
17150 2484 
17151 IO_BYTE
17152  
17153         m_EN1
17154  :1;
17155
17156 2485 
17157 IO_BYTE
17158  
17159         m_EN0
17160  :1;
17161
17162 2486 }
17163         mb\99
17164 ;
17165
17166 2487 }
17167         tGCN22STR
17168 ;
17169
17170 2489 
17171 IO_WORD
17172  
17173         mwÜd
17174 ;
17175
17176 2491 
17177 IO_WORD
17178  
17179         m_CNTE
17180  :1;
17181
17182 2492 
17183 IO_WORD
17184  
17185         m_STGR
17186  :1;
17187
17188 2493 
17189 IO_WORD
17190  
17191         m_MDSE
17192  :1;
17193
17194 2494 
17195 IO_WORD
17196  
17197         m_RTRG
17198  :1;
17199
17200 2495 
17201 IO_WORD
17202  
17203         m_CKS1
17204  :1;
17205
17206 2496 
17207 IO_WORD
17208  
17209         m_CKS0
17210  :1;
17211
17212 2497 
17213 IO_WORD
17214  
17215         m_PGMS
17216  :1;
17217
17218 2498 
17219         mIO_WORD
17220  :1;
17221
17222 2499 
17223 IO_WORD
17224  
17225         m_EGS1
17226  :1;
17227
17228 2500 
17229 IO_WORD
17230  
17231         m_EGS0
17232  :1;
17233
17234 2501 
17235 IO_WORD
17236  
17237         m_IREN
17238  :1;
17239
17240 2502 
17241 IO_WORD
17242  
17243         m_IRQF
17244  :1;
17245
17246 2503 
17247 IO_WORD
17248  
17249         m_IRS1
17250  :1;
17251
17252 2504 
17253 IO_WORD
17254  
17255         m_IRS0
17256  :1;
17257
17258 2505 
17259         mIO_WORD
17260  :1;
17261
17262 2506 
17263 IO_WORD
17264  
17265         m_OSEL
17266  :1;
17267
17268 2507 }
17269         mb\99
17270 ;
17271
17272 2509 
17273         mIO_WORD
17274  :1;
17275
17276 2510 
17277         mIO_WORD
17278  :1;
17279
17280 2511 
17281         mIO_WORD
17282  :1;
17283
17284 2512 
17285         mIO_WORD
17286  :1;
17287
17288 2513 
17289 IO_WORD
17290  
17291         m_CKS
17292  :2;
17293
17294 2514 
17295         mIO_WORD
17296  :1;
17297
17298 2515 
17299         mIO_WORD
17300  :1;
17301
17302 2516 
17303 IO_WORD
17304  
17305         m_EGS
17306  :2;
17307
17308 2517 
17309         mIO_WORD
17310  :1;
17311
17312 2518 
17313         mIO_WORD
17314  :1;
17315
17316 2519 
17317 IO_WORD
17318  
17319         m_IRS
17320  :2;
17321
17322 2520 }
17323         mb\99c
17324 ;
17325
17326 2521 }
17327         tPCN00STR
17328 ;
17329
17330 2523 
17331 IO_BYTE
17332  
17333         mby\8b
17334 ;
17335
17336 2525 
17337 IO_BYTE
17338  
17339         m_CNTE
17340  :1;
17341
17342 2526 
17343 IO_BYTE
17344  
17345         m_STGR
17346  :1;
17347
17348 2527 
17349 IO_BYTE
17350  
17351         m_MDSE
17352  :1;
17353
17354 2528 
17355 IO_BYTE
17356  
17357         m_RTRG
17358  :1;
17359
17360 2529 
17361 IO_BYTE
17362  
17363         m_CKS1
17364  :1;
17365
17366 2530 
17367 IO_BYTE
17368  
17369         m_CKS0
17370  :1;
17371
17372 2531 
17373 IO_BYTE
17374  
17375         m_PGMS
17376  :1;
17377
17378 2532 
17379         mIO_BYTE
17380  :1;
17381
17382 2533 }
17383         mb\99
17384 ;
17385
17386 2535 
17387         mIO_BYTE
17388  :1;
17389
17390 2536 
17391         mIO_BYTE
17392  :1;
17393
17394 2537 
17395         mIO_BYTE
17396  :1;
17397
17398 2538 
17399         mIO_BYTE
17400  :1;
17401
17402 2539 
17403 IO_BYTE
17404  
17405         m_CKS
17406  :2;
17407
17408 2540 }
17409         mb\99c
17410 ;
17411
17412 2541 }
17413         tPCNH00STR
17414 ;
17415
17416 2543 
17417 IO_BYTE
17418  
17419         mby\8b
17420 ;
17421
17422 2545 
17423 IO_BYTE
17424  
17425         m_EGS1
17426  :1;
17427
17428 2546 
17429 IO_BYTE
17430  
17431         m_EGS0
17432  :1;
17433
17434 2547 
17435 IO_BYTE
17436  
17437         m_IREN
17438  :1;
17439
17440 2548 
17441 IO_BYTE
17442  
17443         m_IRQF
17444  :1;
17445
17446 2549 
17447 IO_BYTE
17448  
17449         m_IRS1
17450  :1;
17451
17452 2550 
17453 IO_BYTE
17454  
17455         m_IRS0
17456  :1;
17457
17458 2551 
17459         mIO_BYTE
17460  :1;
17461
17462 2552 
17463 IO_BYTE
17464  
17465         m_OSEL
17466  :1;
17467
17468 2553 }
17469         mb\99
17470 ;
17471
17472 2555 
17473 IO_BYTE
17474  
17475         m_EGS
17476  :2;
17477
17478 2556 
17479         mIO_BYTE
17480  :1;
17481
17482 2557 
17483         mIO_BYTE
17484  :1;
17485
17486 2558 
17487 IO_BYTE
17488  
17489         m_IRS
17490  :2;
17491
17492 2559 }
17493         mb\99c
17494 ;
17495
17496 2560 }
17497         tPCNL00STR
17498 ;
17499
17500 2562 
17501 IO_WORD
17502  
17503         mwÜd
17504 ;
17505
17506 2564 
17507 IO_WORD
17508  
17509         m_CNTE
17510  :1;
17511
17512 2565 
17513 IO_WORD
17514  
17515         m_STGR
17516  :1;
17517
17518 2566 
17519 IO_WORD
17520  
17521         m_MDSE
17522  :1;
17523
17524 2567 
17525 IO_WORD
17526  
17527         m_RTRG
17528  :1;
17529
17530 2568 
17531 IO_WORD
17532  
17533         m_CKS1
17534  :1;
17535
17536 2569 
17537 IO_WORD
17538  
17539         m_CKS0
17540  :1;
17541
17542 2570 
17543 IO_WORD
17544  
17545         m_PGMS
17546  :1;
17547
17548 2571 
17549         mIO_WORD
17550  :1;
17551
17552 2572 
17553 IO_WORD
17554  
17555         m_EGS1
17556  :1;
17557
17558 2573 
17559 IO_WORD
17560  
17561         m_EGS0
17562  :1;
17563
17564 2574 
17565 IO_WORD
17566  
17567         m_IREN
17568  :1;
17569
17570 2575 
17571 IO_WORD
17572  
17573         m_IRQF
17574  :1;
17575
17576 2576 
17577 IO_WORD
17578  
17579         m_IRS1
17580  :1;
17581
17582 2577 
17583 IO_WORD
17584  
17585         m_IRS0
17586  :1;
17587
17588 2578 
17589         mIO_WORD
17590  :1;
17591
17592 2579 
17593 IO_WORD
17594  
17595         m_OSEL
17596  :1;
17597
17598 2580 }
17599         mb\99
17600 ;
17601
17602 2582 
17603         mIO_WORD
17604  :1;
17605
17606 2583 
17607         mIO_WORD
17608  :1;
17609
17610 2584 
17611         mIO_WORD
17612  :1;
17613
17614 2585 
17615         mIO_WORD
17616  :1;
17617
17618 2586 
17619 IO_WORD
17620  
17621         m_CKS
17622  :2;
17623
17624 2587 
17625         mIO_WORD
17626  :1;
17627
17628 2588 
17629         mIO_WORD
17630  :1;
17631
17632 2589 
17633 IO_WORD
17634  
17635         m_EGS
17636  :2;
17637
17638 2590 
17639         mIO_WORD
17640  :1;
17641
17642 2591 
17643         mIO_WORD
17644  :1;
17645
17646 2592 
17647 IO_WORD
17648  
17649         m_IRS
17650  :2;
17651
17652 2593 }
17653         mb\99c
17654 ;
17655
17656 2594 }
17657         tPCN01STR
17658 ;
17659
17660 2596 
17661 IO_BYTE
17662  
17663         mby\8b
17664 ;
17665
17666 2598 
17667 IO_BYTE
17668  
17669         m_CNTE
17670  :1;
17671
17672 2599 
17673 IO_BYTE
17674  
17675         m_STGR
17676  :1;
17677
17678 2600 
17679 IO_BYTE
17680  
17681         m_MDSE
17682  :1;
17683
17684 2601 
17685 IO_BYTE
17686  
17687         m_RTRG
17688  :1;
17689
17690 2602 
17691 IO_BYTE
17692  
17693         m_CKS1
17694  :1;
17695
17696 2603 
17697 IO_BYTE
17698  
17699         m_CKS0
17700  :1;
17701
17702 2604 
17703 IO_BYTE
17704  
17705         m_PGMS
17706  :1;
17707
17708 2605 
17709         mIO_BYTE
17710  :1;
17711
17712 2606 }
17713         mb\99
17714 ;
17715
17716 2608 
17717         mIO_BYTE
17718  :1;
17719
17720 2609 
17721         mIO_BYTE
17722  :1;
17723
17724 2610 
17725         mIO_BYTE
17726  :1;
17727
17728 2611 
17729         mIO_BYTE
17730  :1;
17731
17732 2612 
17733 IO_BYTE
17734  
17735         m_CKS
17736  :2;
17737
17738 2613 }
17739         mb\99c
17740 ;
17741
17742 2614 }
17743         tPCNH01STR
17744 ;
17745
17746 2616 
17747 IO_BYTE
17748  
17749         mby\8b
17750 ;
17751
17752 2618 
17753 IO_BYTE
17754  
17755         m_EGS1
17756  :1;
17757
17758 2619 
17759 IO_BYTE
17760  
17761         m_EGS0
17762  :1;
17763
17764 2620 
17765 IO_BYTE
17766  
17767         m_IREN
17768  :1;
17769
17770 2621 
17771 IO_BYTE
17772  
17773         m_IRQF
17774  :1;
17775
17776 2622 
17777 IO_BYTE
17778  
17779         m_IRS1
17780  :1;
17781
17782 2623 
17783 IO_BYTE
17784  
17785         m_IRS0
17786  :1;
17787
17788 2624 
17789         mIO_BYTE
17790  :1;
17791
17792 2625 
17793 IO_BYTE
17794  
17795         m_OSEL
17796  :1;
17797
17798 2626 }
17799         mb\99
17800 ;
17801
17802 2628 
17803 IO_BYTE
17804  
17805         m_EGS
17806  :2;
17807
17808 2629 
17809         mIO_BYTE
17810  :1;
17811
17812 2630 
17813         mIO_BYTE
17814  :1;
17815
17816 2631 
17817 IO_BYTE
17818  
17819         m_IRS
17820  :2;
17821
17822 2632 }
17823         mb\99c
17824 ;
17825
17826 2633 }
17827         tPCNL01STR
17828 ;
17829
17830 2635 
17831 IO_WORD
17832  
17833         mwÜd
17834 ;
17835
17836 2637 
17837 IO_WORD
17838  
17839         m_CNTE
17840  :1;
17841
17842 2638 
17843 IO_WORD
17844  
17845         m_STGR
17846  :1;
17847
17848 2639 
17849 IO_WORD
17850  
17851         m_MDSE
17852  :1;
17853
17854 2640 
17855 IO_WORD
17856  
17857         m_RTRG
17858  :1;
17859
17860 2641 
17861 IO_WORD
17862  
17863         m_CKS1
17864  :1;
17865
17866 2642 
17867 IO_WORD
17868  
17869         m_CKS0
17870  :1;
17871
17872 2643 
17873 IO_WORD
17874  
17875         m_PGMS
17876  :1;
17877
17878 2644 
17879         mIO_WORD
17880  :1;
17881
17882 2645 
17883 IO_WORD
17884  
17885         m_EGS1
17886  :1;
17887
17888 2646 
17889 IO_WORD
17890  
17891         m_EGS0
17892  :1;
17893
17894 2647 
17895 IO_WORD
17896  
17897         m_IREN
17898  :1;
17899
17900 2648 
17901 IO_WORD
17902  
17903         m_IRQF
17904  :1;
17905
17906 2649 
17907 IO_WORD
17908  
17909         m_IRS1
17910  :1;
17911
17912 2650 
17913 IO_WORD
17914  
17915         m_IRS0
17916  :1;
17917
17918 2651 
17919         mIO_WORD
17920  :1;
17921
17922 2652 
17923 IO_WORD
17924  
17925         m_OSEL
17926  :1;
17927
17928 2653 }
17929         mb\99
17930 ;
17931
17932 2655 
17933         mIO_WORD
17934  :1;
17935
17936 2656 
17937         mIO_WORD
17938  :1;
17939
17940 2657 
17941         mIO_WORD
17942  :1;
17943
17944 2658 
17945         mIO_WORD
17946  :1;
17947
17948 2659 
17949 IO_WORD
17950  
17951         m_CKS
17952  :2;
17953
17954 2660 
17955         mIO_WORD
17956  :1;
17957
17958 2661 
17959         mIO_WORD
17960  :1;
17961
17962 2662 
17963 IO_WORD
17964  
17965         m_EGS
17966  :2;
17967
17968 2663 
17969         mIO_WORD
17970  :1;
17971
17972 2664 
17973         mIO_WORD
17974  :1;
17975
17976 2665 
17977 IO_WORD
17978  
17979         m_IRS
17980  :2;
17981
17982 2666 }
17983         mb\99c
17984 ;
17985
17986 2667 }
17987         tPCN02STR
17988 ;
17989
17990 2669 
17991 IO_BYTE
17992  
17993         mby\8b
17994 ;
17995
17996 2671 
17997 IO_BYTE
17998  
17999         m_CNTE
18000  :1;
18001
18002 2672 
18003 IO_BYTE
18004  
18005         m_STGR
18006  :1;
18007
18008 2673 
18009 IO_BYTE
18010  
18011         m_MDSE
18012  :1;
18013
18014 2674 
18015 IO_BYTE
18016  
18017         m_RTRG
18018  :1;
18019
18020 2675 
18021 IO_BYTE
18022  
18023         m_CKS1
18024  :1;
18025
18026 2676 
18027 IO_BYTE
18028  
18029         m_CKS0
18030  :1;
18031
18032 2677 
18033 IO_BYTE
18034  
18035         m_PGMS
18036  :1;
18037
18038 2678 
18039         mIO_BYTE
18040  :1;
18041
18042 2679 }
18043         mb\99
18044 ;
18045
18046 2681 
18047         mIO_BYTE
18048  :1;
18049
18050 2682 
18051         mIO_BYTE
18052  :1;
18053
18054 2683 
18055         mIO_BYTE
18056  :1;
18057
18058 2684 
18059         mIO_BYTE
18060  :1;
18061
18062 2685 
18063 IO_BYTE
18064  
18065         m_CKS
18066  :2;
18067
18068 2686 }
18069         mb\99c
18070 ;
18071
18072 2687 }
18073         tPCNH02STR
18074 ;
18075
18076 2689 
18077 IO_BYTE
18078  
18079         mby\8b
18080 ;
18081
18082 2691 
18083 IO_BYTE
18084  
18085         m_EGS1
18086  :1;
18087
18088 2692 
18089 IO_BYTE
18090  
18091         m_EGS0
18092  :1;
18093
18094 2693 
18095 IO_BYTE
18096  
18097         m_IREN
18098  :1;
18099
18100 2694 
18101 IO_BYTE
18102  
18103         m_IRQF
18104  :1;
18105
18106 2695 
18107 IO_BYTE
18108  
18109         m_IRS1
18110  :1;
18111
18112 2696 
18113 IO_BYTE
18114  
18115         m_IRS0
18116  :1;
18117
18118 2697 
18119         mIO_BYTE
18120  :1;
18121
18122 2698 
18123 IO_BYTE
18124  
18125         m_OSEL
18126  :1;
18127
18128 2699 }
18129         mb\99
18130 ;
18131
18132 2701 
18133 IO_BYTE
18134  
18135         m_EGS
18136  :2;
18137
18138 2702 
18139         mIO_BYTE
18140  :1;
18141
18142 2703 
18143         mIO_BYTE
18144  :1;
18145
18146 2704 
18147 IO_BYTE
18148  
18149         m_IRS
18150  :2;
18151
18152 2705 }
18153         mb\99c
18154 ;
18155
18156 2706 }
18157         tPCNL02STR
18158 ;
18159
18160 2708 
18161 IO_WORD
18162  
18163         mwÜd
18164 ;
18165
18166 2710 
18167 IO_WORD
18168  
18169         m_CNTE
18170  :1;
18171
18172 2711 
18173 IO_WORD
18174  
18175         m_STGR
18176  :1;
18177
18178 2712 
18179 IO_WORD
18180  
18181         m_MDSE
18182  :1;
18183
18184 2713 
18185 IO_WORD
18186  
18187         m_RTRG
18188  :1;
18189
18190 2714 
18191 IO_WORD
18192  
18193         m_CKS1
18194  :1;
18195
18196 2715 
18197 IO_WORD
18198  
18199         m_CKS0
18200  :1;
18201
18202 2716 
18203 IO_WORD
18204  
18205         m_PGMS
18206  :1;
18207
18208 2717 
18209         mIO_WORD
18210  :1;
18211
18212 2718 
18213 IO_WORD
18214  
18215         m_EGS1
18216  :1;
18217
18218 2719 
18219 IO_WORD
18220  
18221         m_EGS0
18222  :1;
18223
18224 2720 
18225 IO_WORD
18226  
18227         m_IREN
18228  :1;
18229
18230 2721 
18231 IO_WORD
18232  
18233         m_IRQF
18234  :1;
18235
18236 2722 
18237 IO_WORD
18238  
18239         m_IRS1
18240  :1;
18241
18242 2723 
18243 IO_WORD
18244  
18245         m_IRS0
18246  :1;
18247
18248 2724 
18249         mIO_WORD
18250  :1;
18251
18252 2725 
18253 IO_WORD
18254  
18255         m_OSEL
18256  :1;
18257
18258 2726 }
18259         mb\99
18260 ;
18261
18262 2728 
18263         mIO_WORD
18264  :1;
18265
18266 2729 
18267         mIO_WORD
18268  :1;
18269
18270 2730 
18271         mIO_WORD
18272  :1;
18273
18274 2731 
18275         mIO_WORD
18276  :1;
18277
18278 2732 
18279 IO_WORD
18280  
18281         m_CKS
18282  :2;
18283
18284 2733 
18285         mIO_WORD
18286  :1;
18287
18288 2734 
18289         mIO_WORD
18290  :1;
18291
18292 2735 
18293 IO_WORD
18294  
18295         m_EGS
18296  :2;
18297
18298 2736 
18299         mIO_WORD
18300  :1;
18301
18302 2737 
18303         mIO_WORD
18304  :1;
18305
18306 2738 
18307 IO_WORD
18308  
18309         m_IRS
18310  :2;
18311
18312 2739 }
18313         mb\99c
18314 ;
18315
18316 2740 }
18317         tPCN03STR
18318 ;
18319
18320 2742 
18321 IO_BYTE
18322  
18323         mby\8b
18324 ;
18325
18326 2744 
18327 IO_BYTE
18328  
18329         m_CNTE
18330  :1;
18331
18332 2745 
18333 IO_BYTE
18334  
18335         m_STGR
18336  :1;
18337
18338 2746 
18339 IO_BYTE
18340  
18341         m_MDSE
18342  :1;
18343
18344 2747 
18345 IO_BYTE
18346  
18347         m_RTRG
18348  :1;
18349
18350 2748 
18351 IO_BYTE
18352  
18353         m_CKS1
18354  :1;
18355
18356 2749 
18357 IO_BYTE
18358  
18359         m_CKS0
18360  :1;
18361
18362 2750 
18363 IO_BYTE
18364  
18365         m_PGMS
18366  :1;
18367
18368 2751 
18369         mIO_BYTE
18370  :1;
18371
18372 2752 }
18373         mb\99
18374 ;
18375
18376 2754 
18377         mIO_BYTE
18378  :1;
18379
18380 2755 
18381         mIO_BYTE
18382  :1;
18383
18384 2756 
18385         mIO_BYTE
18386  :1;
18387
18388 2757 
18389         mIO_BYTE
18390  :1;
18391
18392 2758 
18393 IO_BYTE
18394  
18395         m_CKS
18396  :2;
18397
18398 2759 }
18399         mb\99c
18400 ;
18401
18402 2760 }
18403         tPCNH03STR
18404 ;
18405
18406 2762 
18407 IO_BYTE
18408  
18409         mby\8b
18410 ;
18411
18412 2764 
18413 IO_BYTE
18414  
18415         m_EGS1
18416  :1;
18417
18418 2765 
18419 IO_BYTE
18420  
18421         m_EGS0
18422  :1;
18423
18424 2766 
18425 IO_BYTE
18426  
18427         m_IREN
18428  :1;
18429
18430 2767 
18431 IO_BYTE
18432  
18433         m_IRQF
18434  :1;
18435
18436 2768 
18437 IO_BYTE
18438  
18439         m_IRS1
18440  :1;
18441
18442 2769 
18443 IO_BYTE
18444  
18445         m_IRS0
18446  :1;
18447
18448 2770 
18449         mIO_BYTE
18450  :1;
18451
18452 2771 
18453 IO_BYTE
18454  
18455         m_OSEL
18456  :1;
18457
18458 2772 }
18459         mb\99
18460 ;
18461
18462 2774 
18463 IO_BYTE
18464  
18465         m_EGS
18466  :2;
18467
18468 2775 
18469         mIO_BYTE
18470  :1;
18471
18472 2776 
18473         mIO_BYTE
18474  :1;
18475
18476 2777 
18477 IO_BYTE
18478  
18479         m_IRS
18480  :2;
18481
18482 2778 }
18483         mb\99c
18484 ;
18485
18486 2779 }
18487         tPCNL03STR
18488 ;
18489
18490 2781 
18491 IO_WORD
18492  
18493         mwÜd
18494 ;
18495
18496 2783 
18497 IO_WORD
18498  
18499         m_CNTE
18500  :1;
18501
18502 2784 
18503 IO_WORD
18504  
18505         m_STGR
18506  :1;
18507
18508 2785 
18509 IO_WORD
18510  
18511         m_MDSE
18512  :1;
18513
18514 2786 
18515 IO_WORD
18516  
18517         m_RTRG
18518  :1;
18519
18520 2787 
18521 IO_WORD
18522  
18523         m_CKS1
18524  :1;
18525
18526 2788 
18527 IO_WORD
18528  
18529         m_CKS0
18530  :1;
18531
18532 2789 
18533 IO_WORD
18534  
18535         m_PGMS
18536  :1;
18537
18538 2790 
18539         mIO_WORD
18540  :1;
18541
18542 2791 
18543 IO_WORD
18544  
18545         m_EGS1
18546  :1;
18547
18548 2792 
18549 IO_WORD
18550  
18551         m_EGS0
18552  :1;
18553
18554 2793 
18555 IO_WORD
18556  
18557         m_IREN
18558  :1;
18559
18560 2794 
18561 IO_WORD
18562  
18563         m_IRQF
18564  :1;
18565
18566 2795 
18567 IO_WORD
18568  
18569         m_IRS1
18570  :1;
18571
18572 2796 
18573 IO_WORD
18574  
18575         m_IRS0
18576  :1;
18577
18578 2797 
18579         mIO_WORD
18580  :1;
18581
18582 2798 
18583 IO_WORD
18584  
18585         m_OSEL
18586  :1;
18587
18588 2799 }
18589         mb\99
18590 ;
18591
18592 2801 
18593         mIO_WORD
18594  :1;
18595
18596 2802 
18597         mIO_WORD
18598  :1;
18599
18600 2803 
18601         mIO_WORD
18602  :1;
18603
18604 2804 
18605         mIO_WORD
18606  :1;
18607
18608 2805 
18609 IO_WORD
18610  
18611         m_CKS
18612  :2;
18613
18614 2806 
18615         mIO_WORD
18616  :1;
18617
18618 2807 
18619         mIO_WORD
18620  :1;
18621
18622 2808 
18623 IO_WORD
18624  
18625         m_EGS
18626  :2;
18627
18628 2809 
18629         mIO_WORD
18630  :1;
18631
18632 2810 
18633         mIO_WORD
18634  :1;
18635
18636 2811 
18637 IO_WORD
18638  
18639         m_IRS
18640  :2;
18641
18642 2812 }
18643         mb\99c
18644 ;
18645
18646 2813 }
18647         tPCN04STR
18648 ;
18649
18650 2815 
18651 IO_BYTE
18652  
18653         mby\8b
18654 ;
18655
18656 2817 
18657 IO_BYTE
18658  
18659         m_CNTE
18660  :1;
18661
18662 2818 
18663 IO_BYTE
18664  
18665         m_STGR
18666  :1;
18667
18668 2819 
18669 IO_BYTE
18670  
18671         m_MDSE
18672  :1;
18673
18674 2820 
18675 IO_BYTE
18676  
18677         m_RTRG
18678  :1;
18679
18680 2821 
18681 IO_BYTE
18682  
18683         m_CKS1
18684  :1;
18685
18686 2822 
18687 IO_BYTE
18688  
18689         m_CKS0
18690  :1;
18691
18692 2823 
18693 IO_BYTE
18694  
18695         m_PGMS
18696  :1;
18697
18698 2824 
18699         mIO_BYTE
18700  :1;
18701
18702 2825 }
18703         mb\99
18704 ;
18705
18706 2827 
18707         mIO_BYTE
18708  :1;
18709
18710 2828 
18711         mIO_BYTE
18712  :1;
18713
18714 2829 
18715         mIO_BYTE
18716  :1;
18717
18718 2830 
18719         mIO_BYTE
18720  :1;
18721
18722 2831 
18723 IO_BYTE
18724  
18725         m_CKS
18726  :2;
18727
18728 2832 }
18729         mb\99c
18730 ;
18731
18732 2833 }
18733         tPCNH04STR
18734 ;
18735
18736 2835 
18737 IO_BYTE
18738  
18739         mby\8b
18740 ;
18741
18742 2837 
18743 IO_BYTE
18744  
18745         m_EGS1
18746  :1;
18747
18748 2838 
18749 IO_BYTE
18750  
18751         m_EGS0
18752  :1;
18753
18754 2839 
18755 IO_BYTE
18756  
18757         m_IREN
18758  :1;
18759
18760 2840 
18761 IO_BYTE
18762  
18763         m_IRQF
18764  :1;
18765
18766 2841 
18767 IO_BYTE
18768  
18769         m_IRS1
18770  :1;
18771
18772 2842 
18773 IO_BYTE
18774  
18775         m_IRS0
18776  :1;
18777
18778 2843 
18779         mIO_BYTE
18780  :1;
18781
18782 2844 
18783 IO_BYTE
18784  
18785         m_OSEL
18786  :1;
18787
18788 2845 }
18789         mb\99
18790 ;
18791
18792 2847 
18793 IO_BYTE
18794  
18795         m_EGS
18796  :2;
18797
18798 2848 
18799         mIO_BYTE
18800  :1;
18801
18802 2849 
18803         mIO_BYTE
18804  :1;
18805
18806 2850 
18807 IO_BYTE
18808  
18809         m_IRS
18810  :2;
18811
18812 2851 }
18813         mb\99c
18814 ;
18815
18816 2852 }
18817         tPCNL04STR
18818 ;
18819
18820 2854 
18821 IO_WORD
18822  
18823         mwÜd
18824 ;
18825
18826 2856 
18827 IO_WORD
18828  
18829         m_CNTE
18830  :1;
18831
18832 2857 
18833 IO_WORD
18834  
18835         m_STGR
18836  :1;
18837
18838 2858 
18839 IO_WORD
18840  
18841         m_MDSE
18842  :1;
18843
18844 2859 
18845 IO_WORD
18846  
18847         m_RTRG
18848  :1;
18849
18850 2860 
18851 IO_WORD
18852  
18853         m_CKS1
18854  :1;
18855
18856 2861 
18857 IO_WORD
18858  
18859         m_CKS0
18860  :1;
18861
18862 2862 
18863 IO_WORD
18864  
18865         m_PGMS
18866  :1;
18867
18868 2863 
18869         mIO_WORD
18870  :1;
18871
18872 2864 
18873 IO_WORD
18874  
18875         m_EGS1
18876  :1;
18877
18878 2865 
18879 IO_WORD
18880  
18881         m_EGS0
18882  :1;
18883
18884 2866 
18885 IO_WORD
18886  
18887         m_IREN
18888  :1;
18889
18890 2867 
18891 IO_WORD
18892  
18893         m_IRQF
18894  :1;
18895
18896 2868 
18897 IO_WORD
18898  
18899         m_IRS1
18900  :1;
18901
18902 2869 
18903 IO_WORD
18904  
18905         m_IRS0
18906  :1;
18907
18908 2870 
18909         mIO_WORD
18910  :1;
18911
18912 2871 
18913 IO_WORD
18914  
18915         m_OSEL
18916  :1;
18917
18918 2872 }
18919         mb\99
18920 ;
18921
18922 2874 
18923         mIO_WORD
18924  :1;
18925
18926 2875 
18927         mIO_WORD
18928  :1;
18929
18930 2876 
18931         mIO_WORD
18932  :1;
18933
18934 2877 
18935         mIO_WORD
18936  :1;
18937
18938 2878 
18939 IO_WORD
18940  
18941         m_CKS
18942  :2;
18943
18944 2879 
18945         mIO_WORD
18946  :1;
18947
18948 2880 
18949         mIO_WORD
18950  :1;
18951
18952 2881 
18953 IO_WORD
18954  
18955         m_EGS
18956  :2;
18957
18958 2882 
18959         mIO_WORD
18960  :1;
18961
18962 2883 
18963         mIO_WORD
18964  :1;
18965
18966 2884 
18967 IO_WORD
18968  
18969         m_IRS
18970  :2;
18971
18972 2885 }
18973         mb\99c
18974 ;
18975
18976 2886 }
18977         tPCN05STR
18978 ;
18979
18980 2888 
18981 IO_BYTE
18982  
18983         mby\8b
18984 ;
18985
18986 2890 
18987 IO_BYTE
18988  
18989         m_CNTE
18990  :1;
18991
18992 2891 
18993 IO_BYTE
18994  
18995         m_STGR
18996  :1;
18997
18998 2892 
18999 IO_BYTE
19000  
19001         m_MDSE
19002  :1;
19003
19004 2893 
19005 IO_BYTE
19006  
19007         m_RTRG
19008  :1;
19009
19010 2894 
19011 IO_BYTE
19012  
19013         m_CKS1
19014  :1;
19015
19016 2895 
19017 IO_BYTE
19018  
19019         m_CKS0
19020  :1;
19021
19022 2896 
19023 IO_BYTE
19024  
19025         m_PGMS
19026  :1;
19027
19028 2897 
19029         mIO_BYTE
19030  :1;
19031
19032 2898 }
19033         mb\99
19034 ;
19035
19036 2900 
19037         mIO_BYTE
19038  :1;
19039
19040 2901 
19041         mIO_BYTE
19042  :1;
19043
19044 2902 
19045         mIO_BYTE
19046  :1;
19047
19048 2903 
19049         mIO_BYTE
19050  :1;
19051
19052 2904 
19053 IO_BYTE
19054  
19055         m_CKS
19056  :2;
19057
19058 2905 }
19059         mb\99c
19060 ;
19061
19062 2906 }
19063         tPCNH05STR
19064 ;
19065
19066 2908 
19067 IO_BYTE
19068  
19069         mby\8b
19070 ;
19071
19072 2910 
19073 IO_BYTE
19074  
19075         m_EGS1
19076  :1;
19077
19078 2911 
19079 IO_BYTE
19080  
19081         m_EGS0
19082  :1;
19083
19084 2912 
19085 IO_BYTE
19086  
19087         m_IREN
19088  :1;
19089
19090 2913 
19091 IO_BYTE
19092  
19093         m_IRQF
19094  :1;
19095
19096 2914 
19097 IO_BYTE
19098  
19099         m_IRS1
19100  :1;
19101
19102 2915 
19103 IO_BYTE
19104  
19105         m_IRS0
19106  :1;
19107
19108 2916 
19109         mIO_BYTE
19110  :1;
19111
19112 2917 
19113 IO_BYTE
19114  
19115         m_OSEL
19116  :1;
19117
19118 2918 }
19119         mb\99
19120 ;
19121
19122 2920 
19123 IO_BYTE
19124  
19125         m_EGS
19126  :2;
19127
19128 2921 
19129         mIO_BYTE
19130  :1;
19131
19132 2922 
19133         mIO_BYTE
19134  :1;
19135
19136 2923 
19137 IO_BYTE
19138  
19139         m_IRS
19140  :2;
19141
19142 2924 }
19143         mb\99c
19144 ;
19145
19146 2925 }
19147         tPCNL05STR
19148 ;
19149
19150 2927 
19151 IO_WORD
19152  
19153         mwÜd
19154 ;
19155
19156 2929 
19157 IO_WORD
19158  
19159         m_CNTE
19160  :1;
19161
19162 2930 
19163 IO_WORD
19164  
19165         m_STGR
19166  :1;
19167
19168 2931 
19169 IO_WORD
19170  
19171         m_MDSE
19172  :1;
19173
19174 2932 
19175 IO_WORD
19176  
19177         m_RTRG
19178  :1;
19179
19180 2933 
19181 IO_WORD
19182  
19183         m_CKS1
19184  :1;
19185
19186 2934 
19187 IO_WORD
19188  
19189         m_CKS0
19190  :1;
19191
19192 2935 
19193 IO_WORD
19194  
19195         m_PGMS
19196  :1;
19197
19198 2936 
19199         mIO_WORD
19200  :1;
19201
19202 2937 
19203 IO_WORD
19204  
19205         m_EGS1
19206  :1;
19207
19208 2938 
19209 IO_WORD
19210  
19211         m_EGS0
19212  :1;
19213
19214 2939 
19215 IO_WORD
19216  
19217         m_IREN
19218  :1;
19219
19220 2940 
19221 IO_WORD
19222  
19223         m_IRQF
19224  :1;
19225
19226 2941 
19227 IO_WORD
19228  
19229         m_IRS1
19230  :1;
19231
19232 2942 
19233 IO_WORD
19234  
19235         m_IRS0
19236  :1;
19237
19238 2943 
19239         mIO_WORD
19240  :1;
19241
19242 2944 
19243 IO_WORD
19244  
19245         m_OSEL
19246  :1;
19247
19248 2945 }
19249         mb\99
19250 ;
19251
19252 2947 
19253         mIO_WORD
19254  :1;
19255
19256 2948 
19257         mIO_WORD
19258  :1;
19259
19260 2949 
19261         mIO_WORD
19262  :1;
19263
19264 2950 
19265         mIO_WORD
19266  :1;
19267
19268 2951 
19269 IO_WORD
19270  
19271         m_CKS
19272  :2;
19273
19274 2952 
19275         mIO_WORD
19276  :1;
19277
19278 2953 
19279         mIO_WORD
19280  :1;
19281
19282 2954 
19283 IO_WORD
19284  
19285         m_EGS
19286  :2;
19287
19288 2955 
19289         mIO_WORD
19290  :1;
19291
19292 2956 
19293         mIO_WORD
19294  :1;
19295
19296 2957 
19297 IO_WORD
19298  
19299         m_IRS
19300  :2;
19301
19302 2958 }
19303         mb\99c
19304 ;
19305
19306 2959 }
19307         tPCN06STR
19308 ;
19309
19310 2961 
19311 IO_BYTE
19312  
19313         mby\8b
19314 ;
19315
19316 2963 
19317 IO_BYTE
19318  
19319         m_CNTE
19320  :1;
19321
19322 2964 
19323 IO_BYTE
19324  
19325         m_STGR
19326  :1;
19327
19328 2965 
19329 IO_BYTE
19330  
19331         m_MDSE
19332  :1;
19333
19334 2966 
19335 IO_BYTE
19336  
19337         m_RTRG
19338  :1;
19339
19340 2967 
19341 IO_BYTE
19342  
19343         m_CKS1
19344  :1;
19345
19346 2968 
19347 IO_BYTE
19348  
19349         m_CKS0
19350  :1;
19351
19352 2969 
19353 IO_BYTE
19354  
19355         m_PGMS
19356  :1;
19357
19358 2970 
19359         mIO_BYTE
19360  :1;
19361
19362 2971 }
19363         mb\99
19364 ;
19365
19366 2973 
19367         mIO_BYTE
19368  :1;
19369
19370 2974 
19371         mIO_BYTE
19372  :1;
19373
19374 2975 
19375         mIO_BYTE
19376  :1;
19377
19378 2976 
19379         mIO_BYTE
19380  :1;
19381
19382 2977 
19383 IO_BYTE
19384  
19385         m_CKS
19386  :2;
19387
19388 2978 }
19389         mb\99c
19390 ;
19391
19392 2979 }
19393         tPCNH06STR
19394 ;
19395
19396 2981 
19397 IO_BYTE
19398  
19399         mby\8b
19400 ;
19401
19402 2983 
19403 IO_BYTE
19404  
19405         m_EGS1
19406  :1;
19407
19408 2984 
19409 IO_BYTE
19410  
19411         m_EGS0
19412  :1;
19413
19414 2985 
19415 IO_BYTE
19416  
19417         m_IREN
19418  :1;
19419
19420 2986 
19421 IO_BYTE
19422  
19423         m_IRQF
19424  :1;
19425
19426 2987 
19427 IO_BYTE
19428  
19429         m_IRS1
19430  :1;
19431
19432 2988 
19433 IO_BYTE
19434  
19435         m_IRS0
19436  :1;
19437
19438 2989 
19439         mIO_BYTE
19440  :1;
19441
19442 2990 
19443 IO_BYTE
19444  
19445         m_OSEL
19446  :1;
19447
19448 2991 }
19449         mb\99
19450 ;
19451
19452 2993 
19453 IO_BYTE
19454  
19455         m_EGS
19456  :2;
19457
19458 2994 
19459         mIO_BYTE
19460  :1;
19461
19462 2995 
19463         mIO_BYTE
19464  :1;
19465
19466 2996 
19467 IO_BYTE
19468  
19469         m_IRS
19470  :2;
19471
19472 2997 }
19473         mb\99c
19474 ;
19475
19476 2998 }
19477         tPCNL06STR
19478 ;
19479
19480 3000 
19481 IO_WORD
19482  
19483         mwÜd
19484 ;
19485
19486 3002 
19487 IO_WORD
19488  
19489         m_CNTE
19490  :1;
19491
19492 3003 
19493 IO_WORD
19494  
19495         m_STGR
19496  :1;
19497
19498 3004 
19499 IO_WORD
19500  
19501         m_MDSE
19502  :1;
19503
19504 3005 
19505 IO_WORD
19506  
19507         m_RTRG
19508  :1;
19509
19510 3006 
19511 IO_WORD
19512  
19513         m_CKS1
19514  :1;
19515
19516 3007 
19517 IO_WORD
19518  
19519         m_CKS0
19520  :1;
19521
19522 3008 
19523 IO_WORD
19524  
19525         m_PGMS
19526  :1;
19527
19528 3009 
19529         mIO_WORD
19530  :1;
19531
19532 3010 
19533 IO_WORD
19534  
19535         m_EGS1
19536  :1;
19537
19538 3011 
19539 IO_WORD
19540  
19541         m_EGS0
19542  :1;
19543
19544 3012 
19545 IO_WORD
19546  
19547         m_IREN
19548  :1;
19549
19550 3013 
19551 IO_WORD
19552  
19553         m_IRQF
19554  :1;
19555
19556 3014 
19557 IO_WORD
19558  
19559         m_IRS1
19560  :1;
19561
19562 3015 
19563 IO_WORD
19564  
19565         m_IRS0
19566  :1;
19567
19568 3016 
19569         mIO_WORD
19570  :1;
19571
19572 3017 
19573 IO_WORD
19574  
19575         m_OSEL
19576  :1;
19577
19578 3018 }
19579         mb\99
19580 ;
19581
19582 3020 
19583         mIO_WORD
19584  :1;
19585
19586 3021 
19587         mIO_WORD
19588  :1;
19589
19590 3022 
19591         mIO_WORD
19592  :1;
19593
19594 3023 
19595         mIO_WORD
19596  :1;
19597
19598 3024 
19599 IO_WORD
19600  
19601         m_CKS
19602  :2;
19603
19604 3025 
19605         mIO_WORD
19606  :1;
19607
19608 3026 
19609         mIO_WORD
19610  :1;
19611
19612 3027 
19613 IO_WORD
19614  
19615         m_EGS
19616  :2;
19617
19618 3028 
19619         mIO_WORD
19620  :1;
19621
19622 3029 
19623         mIO_WORD
19624  :1;
19625
19626 3030 
19627 IO_WORD
19628  
19629         m_IRS
19630  :2;
19631
19632 3031 }
19633         mb\99c
19634 ;
19635
19636 3032 }
19637         tPCN07STR
19638 ;
19639
19640 3034 
19641 IO_BYTE
19642  
19643         mby\8b
19644 ;
19645
19646 3036 
19647 IO_BYTE
19648  
19649         m_CNTE
19650  :1;
19651
19652 3037 
19653 IO_BYTE
19654  
19655         m_STGR
19656  :1;
19657
19658 3038 
19659 IO_BYTE
19660  
19661         m_MDSE
19662  :1;
19663
19664 3039 
19665 IO_BYTE
19666  
19667         m_RTRG
19668  :1;
19669
19670 3040 
19671 IO_BYTE
19672  
19673         m_CKS1
19674  :1;
19675
19676 3041 
19677 IO_BYTE
19678  
19679         m_CKS0
19680  :1;
19681
19682 3042 
19683 IO_BYTE
19684  
19685         m_PGMS
19686  :1;
19687
19688 3043 
19689         mIO_BYTE
19690  :1;
19691
19692 3044 }
19693         mb\99
19694 ;
19695
19696 3046 
19697         mIO_BYTE
19698  :1;
19699
19700 3047 
19701         mIO_BYTE
19702  :1;
19703
19704 3048 
19705         mIO_BYTE
19706  :1;
19707
19708 3049 
19709         mIO_BYTE
19710  :1;
19711
19712 3050 
19713 IO_BYTE
19714  
19715         m_CKS
19716  :2;
19717
19718 3051 }
19719         mb\99c
19720 ;
19721
19722 3052 }
19723         tPCNH07STR
19724 ;
19725
19726 3054 
19727 IO_BYTE
19728  
19729         mby\8b
19730 ;
19731
19732 3056 
19733 IO_BYTE
19734  
19735         m_EGS1
19736  :1;
19737
19738 3057 
19739 IO_BYTE
19740  
19741         m_EGS0
19742  :1;
19743
19744 3058 
19745 IO_BYTE
19746  
19747         m_IREN
19748  :1;
19749
19750 3059 
19751 IO_BYTE
19752  
19753         m_IRQF
19754  :1;
19755
19756 3060 
19757 IO_BYTE
19758  
19759         m_IRS1
19760  :1;
19761
19762 3061 
19763 IO_BYTE
19764  
19765         m_IRS0
19766  :1;
19767
19768 3062 
19769         mIO_BYTE
19770  :1;
19771
19772 3063 
19773 IO_BYTE
19774  
19775         m_OSEL
19776  :1;
19777
19778 3064 }
19779         mb\99
19780 ;
19781
19782 3066 
19783 IO_BYTE
19784  
19785         m_EGS
19786  :2;
19787
19788 3067 
19789         mIO_BYTE
19790  :1;
19791
19792 3068 
19793         mIO_BYTE
19794  :1;
19795
19796 3069 
19797 IO_BYTE
19798  
19799         m_IRS
19800  :2;
19801
19802 3070 }
19803         mb\99c
19804 ;
19805
19806 3071 }
19807         tPCNL07STR
19808 ;
19809
19810 3073 
19811 IO_WORD
19812  
19813         mwÜd
19814 ;
19815
19816 3075 
19817 IO_WORD
19818  
19819         m_CNTE
19820  :1;
19821
19822 3076 
19823 IO_WORD
19824  
19825         m_STGR
19826  :1;
19827
19828 3077 
19829 IO_WORD
19830  
19831         m_MDSE
19832  :1;
19833
19834 3078 
19835 IO_WORD
19836  
19837         m_RTRG
19838  :1;
19839
19840 3079 
19841 IO_WORD
19842  
19843         m_CKS1
19844  :1;
19845
19846 3080 
19847 IO_WORD
19848  
19849         m_CKS0
19850  :1;
19851
19852 3081 
19853 IO_WORD
19854  
19855         m_PGMS
19856  :1;
19857
19858 3082 
19859         mIO_WORD
19860  :1;
19861
19862 3083 
19863 IO_WORD
19864  
19865         m_EGS1
19866  :1;
19867
19868 3084 
19869 IO_WORD
19870  
19871         m_EGS0
19872  :1;
19873
19874 3085 
19875 IO_WORD
19876  
19877         m_IREN
19878  :1;
19879
19880 3086 
19881 IO_WORD
19882  
19883         m_IRQF
19884  :1;
19885
19886 3087 
19887 IO_WORD
19888  
19889         m_IRS1
19890  :1;
19891
19892 3088 
19893 IO_WORD
19894  
19895         m_IRS0
19896  :1;
19897
19898 3089 
19899         mIO_WORD
19900  :1;
19901
19902 3090 
19903 IO_WORD
19904  
19905         m_OSEL
19906  :1;
19907
19908 3091 }
19909         mb\99
19910 ;
19911
19912 3093 
19913         mIO_WORD
19914  :1;
19915
19916 3094 
19917         mIO_WORD
19918  :1;
19919
19920 3095 
19921         mIO_WORD
19922  :1;
19923
19924 3096 
19925         mIO_WORD
19926  :1;
19927
19928 3097 
19929 IO_WORD
19930  
19931         m_CKS
19932  :2;
19933
19934 3098 
19935         mIO_WORD
19936  :1;
19937
19938 3099 
19939         mIO_WORD
19940  :1;
19941
19942 3100 
19943 IO_WORD
19944  
19945         m_EGS
19946  :2;
19947
19948 3101 
19949         mIO_WORD
19950  :1;
19951
19952 3102 
19953         mIO_WORD
19954  :1;
19955
19956 3103 
19957 IO_WORD
19958  
19959         m_IRS
19960  :2;
19961
19962 3104 }
19963         mb\99c
19964 ;
19965
19966 3105 }
19967         tPCN08STR
19968 ;
19969
19970 3107 
19971 IO_BYTE
19972  
19973         mby\8b
19974 ;
19975
19976 3109 
19977 IO_BYTE
19978  
19979         m_CNTE
19980  :1;
19981
19982 3110 
19983 IO_BYTE
19984  
19985         m_STGR
19986  :1;
19987
19988 3111 
19989 IO_BYTE
19990  
19991         m_MDSE
19992  :1;
19993
19994 3112 
19995 IO_BYTE
19996  
19997         m_RTRG
19998  :1;
19999
20000 3113 
20001 IO_BYTE
20002  
20003         m_CKS1
20004  :1;
20005
20006 3114 
20007 IO_BYTE
20008  
20009         m_CKS0
20010  :1;
20011
20012 3115 
20013 IO_BYTE
20014  
20015         m_PGMS
20016  :1;
20017
20018 3116 
20019         mIO_BYTE
20020  :1;
20021
20022 3117 }
20023         mb\99
20024 ;
20025
20026 3119 
20027         mIO_BYTE
20028  :1;
20029
20030 3120 
20031         mIO_BYTE
20032  :1;
20033
20034 3121 
20035         mIO_BYTE
20036  :1;
20037
20038 3122 
20039         mIO_BYTE
20040  :1;
20041
20042 3123 
20043 IO_BYTE
20044  
20045         m_CKS
20046  :2;
20047
20048 3124 }
20049         mb\99c
20050 ;
20051
20052 3125 }
20053         tPCNH08STR
20054 ;
20055
20056 3127 
20057 IO_BYTE
20058  
20059         mby\8b
20060 ;
20061
20062 3129 
20063 IO_BYTE
20064  
20065         m_EGS1
20066  :1;
20067
20068 3130 
20069 IO_BYTE
20070  
20071         m_EGS0
20072  :1;
20073
20074 3131 
20075 IO_BYTE
20076  
20077         m_IREN
20078  :1;
20079
20080 3132 
20081 IO_BYTE
20082  
20083         m_IRQF
20084  :1;
20085
20086 3133 
20087 IO_BYTE
20088  
20089         m_IRS1
20090  :1;
20091
20092 3134 
20093 IO_BYTE
20094  
20095         m_IRS0
20096  :1;
20097
20098 3135 
20099         mIO_BYTE
20100  :1;
20101
20102 3136 
20103 IO_BYTE
20104  
20105         m_OSEL
20106  :1;
20107
20108 3137 }
20109         mb\99
20110 ;
20111
20112 3139 
20113 IO_BYTE
20114  
20115         m_EGS
20116  :2;
20117
20118 3140 
20119         mIO_BYTE
20120  :1;
20121
20122 3141 
20123         mIO_BYTE
20124  :1;
20125
20126 3142 
20127 IO_BYTE
20128  
20129         m_IRS
20130  :2;
20131
20132 3143 }
20133         mb\99c
20134 ;
20135
20136 3144 }
20137         tPCNL08STR
20138 ;
20139
20140 3146 
20141 IO_WORD
20142  
20143         mwÜd
20144 ;
20145
20146 3148 
20147 IO_WORD
20148  
20149         m_CNTE
20150  :1;
20151
20152 3149 
20153 IO_WORD
20154  
20155         m_STGR
20156  :1;
20157
20158 3150 
20159 IO_WORD
20160  
20161         m_MDSE
20162  :1;
20163
20164 3151 
20165 IO_WORD
20166  
20167         m_RTRG
20168  :1;
20169
20170 3152 
20171 IO_WORD
20172  
20173         m_CKS1
20174  :1;
20175
20176 3153 
20177 IO_WORD
20178  
20179         m_CKS0
20180  :1;
20181
20182 3154 
20183 IO_WORD
20184  
20185         m_PGMS
20186  :1;
20187
20188 3155 
20189         mIO_WORD
20190  :1;
20191
20192 3156 
20193 IO_WORD
20194  
20195         m_EGS1
20196  :1;
20197
20198 3157 
20199 IO_WORD
20200  
20201         m_EGS0
20202  :1;
20203
20204 3158 
20205 IO_WORD
20206  
20207         m_IREN
20208  :1;
20209
20210 3159 
20211 IO_WORD
20212  
20213         m_IRQF
20214  :1;
20215
20216 3160 
20217 IO_WORD
20218  
20219         m_IRS1
20220  :1;
20221
20222 3161 
20223 IO_WORD
20224  
20225         m_IRS0
20226  :1;
20227
20228 3162 
20229         mIO_WORD
20230  :1;
20231
20232 3163 
20233 IO_WORD
20234  
20235         m_OSEL
20236  :1;
20237
20238 3164 }
20239         mb\99
20240 ;
20241
20242 3166 
20243         mIO_WORD
20244  :1;
20245
20246 3167 
20247         mIO_WORD
20248  :1;
20249
20250 3168 
20251         mIO_WORD
20252  :1;
20253
20254 3169 
20255         mIO_WORD
20256  :1;
20257
20258 3170 
20259 IO_WORD
20260  
20261         m_CKS
20262  :2;
20263
20264 3171 
20265         mIO_WORD
20266  :1;
20267
20268 3172 
20269         mIO_WORD
20270  :1;
20271
20272 3173 
20273 IO_WORD
20274  
20275         m_EGS
20276  :2;
20277
20278 3174 
20279         mIO_WORD
20280  :1;
20281
20282 3175 
20283         mIO_WORD
20284  :1;
20285
20286 3176 
20287 IO_WORD
20288  
20289         m_IRS
20290  :2;
20291
20292 3177 }
20293         mb\99c
20294 ;
20295
20296 3178 }
20297         tPCN09STR
20298 ;
20299
20300 3180 
20301 IO_BYTE
20302  
20303         mby\8b
20304 ;
20305
20306 3182 
20307 IO_BYTE
20308  
20309         m_CNTE
20310  :1;
20311
20312 3183 
20313 IO_BYTE
20314  
20315         m_STGR
20316  :1;
20317
20318 3184 
20319 IO_BYTE
20320  
20321         m_MDSE
20322  :1;
20323
20324 3185 
20325 IO_BYTE
20326  
20327         m_RTRG
20328  :1;
20329
20330 3186 
20331 IO_BYTE
20332  
20333         m_CKS1
20334  :1;
20335
20336 3187 
20337 IO_BYTE
20338  
20339         m_CKS0
20340  :1;
20341
20342 3188 
20343 IO_BYTE
20344  
20345         m_PGMS
20346  :1;
20347
20348 3189 
20349         mIO_BYTE
20350  :1;
20351
20352 3190 }
20353         mb\99
20354 ;
20355
20356 3192 
20357         mIO_BYTE
20358  :1;
20359
20360 3193 
20361         mIO_BYTE
20362  :1;
20363
20364 3194 
20365         mIO_BYTE
20366  :1;
20367
20368 3195 
20369         mIO_BYTE
20370  :1;
20371
20372 3196 
20373 IO_BYTE
20374  
20375         m_CKS
20376  :2;
20377
20378 3197 }
20379         mb\99c
20380 ;
20381
20382 3198 }
20383         tPCNH09STR
20384 ;
20385
20386 3200 
20387 IO_BYTE
20388  
20389         mby\8b
20390 ;
20391
20392 3202 
20393 IO_BYTE
20394  
20395         m_EGS1
20396  :1;
20397
20398 3203 
20399 IO_BYTE
20400  
20401         m_EGS0
20402  :1;
20403
20404 3204 
20405 IO_BYTE
20406  
20407         m_IREN
20408  :1;
20409
20410 3205 
20411 IO_BYTE
20412  
20413         m_IRQF
20414  :1;
20415
20416 3206 
20417 IO_BYTE
20418  
20419         m_IRS1
20420  :1;
20421
20422 3207 
20423 IO_BYTE
20424  
20425         m_IRS0
20426  :1;
20427
20428 3208 
20429         mIO_BYTE
20430  :1;
20431
20432 3209 
20433 IO_BYTE
20434  
20435         m_OSEL
20436  :1;
20437
20438 3210 }
20439         mb\99
20440 ;
20441
20442 3212 
20443 IO_BYTE
20444  
20445         m_EGS
20446  :2;
20447
20448 3213 
20449         mIO_BYTE
20450  :1;
20451
20452 3214 
20453         mIO_BYTE
20454  :1;
20455
20456 3215 
20457 IO_BYTE
20458  
20459         m_IRS
20460  :2;
20461
20462 3216 }
20463         mb\99c
20464 ;
20465
20466 3217 }
20467         tPCNL09STR
20468 ;
20469
20470 3219 
20471 IO_WORD
20472  
20473         mwÜd
20474 ;
20475
20476 3221 
20477 IO_WORD
20478  
20479         m_CNTE
20480  :1;
20481
20482 3222 
20483 IO_WORD
20484  
20485         m_STGR
20486  :1;
20487
20488 3223 
20489 IO_WORD
20490  
20491         m_MDSE
20492  :1;
20493
20494 3224 
20495 IO_WORD
20496  
20497         m_RTRG
20498  :1;
20499
20500 3225 
20501 IO_WORD
20502  
20503         m_CKS1
20504  :1;
20505
20506 3226 
20507 IO_WORD
20508  
20509         m_CKS0
20510  :1;
20511
20512 3227 
20513 IO_WORD
20514  
20515         m_PGMS
20516  :1;
20517
20518 3228 
20519         mIO_WORD
20520  :1;
20521
20522 3229 
20523 IO_WORD
20524  
20525         m_EGS1
20526  :1;
20527
20528 3230 
20529 IO_WORD
20530  
20531         m_EGS0
20532  :1;
20533
20534 3231 
20535 IO_WORD
20536  
20537         m_IREN
20538  :1;
20539
20540 3232 
20541 IO_WORD
20542  
20543         m_IRQF
20544  :1;
20545
20546 3233 
20547 IO_WORD
20548  
20549         m_IRS1
20550  :1;
20551
20552 3234 
20553 IO_WORD
20554  
20555         m_IRS0
20556  :1;
20557
20558 3235 
20559         mIO_WORD
20560  :1;
20561
20562 3236 
20563 IO_WORD
20564  
20565         m_OSEL
20566  :1;
20567
20568 3237 }
20569         mb\99
20570 ;
20571
20572 3239 
20573         mIO_WORD
20574  :1;
20575
20576 3240 
20577         mIO_WORD
20578  :1;
20579
20580 3241 
20581         mIO_WORD
20582  :1;
20583
20584 3242 
20585         mIO_WORD
20586  :1;
20587
20588 3243 
20589 IO_WORD
20590  
20591         m_CKS
20592  :2;
20593
20594 3244 
20595         mIO_WORD
20596  :1;
20597
20598 3245 
20599         mIO_WORD
20600  :1;
20601
20602 3246 
20603 IO_WORD
20604  
20605         m_EGS
20606  :2;
20607
20608 3247 
20609         mIO_WORD
20610  :1;
20611
20612 3248 
20613         mIO_WORD
20614  :1;
20615
20616 3249 
20617 IO_WORD
20618  
20619         m_IRS
20620  :2;
20621
20622 3250 }
20623         mb\99c
20624 ;
20625
20626 3251 }
20627         tPCN10STR
20628 ;
20629
20630 3253 
20631 IO_BYTE
20632  
20633         mby\8b
20634 ;
20635
20636 3255 
20637 IO_BYTE
20638  
20639         m_CNTE
20640  :1;
20641
20642 3256 
20643 IO_BYTE
20644  
20645         m_STGR
20646  :1;
20647
20648 3257 
20649 IO_BYTE
20650  
20651         m_MDSE
20652  :1;
20653
20654 3258 
20655 IO_BYTE
20656  
20657         m_RTRG
20658  :1;
20659
20660 3259 
20661 IO_BYTE
20662  
20663         m_CKS1
20664  :1;
20665
20666 3260 
20667 IO_BYTE
20668  
20669         m_CKS0
20670  :1;
20671
20672 3261 
20673 IO_BYTE
20674  
20675         m_PGMS
20676  :1;
20677
20678 3262 
20679         mIO_BYTE
20680  :1;
20681
20682 3263 }
20683         mb\99
20684 ;
20685
20686 3265 
20687         mIO_BYTE
20688  :1;
20689
20690 3266 
20691         mIO_BYTE
20692  :1;
20693
20694 3267 
20695         mIO_BYTE
20696  :1;
20697
20698 3268 
20699         mIO_BYTE
20700  :1;
20701
20702 3269 
20703 IO_BYTE
20704  
20705         m_CKS
20706  :2;
20707
20708 3270 }
20709         mb\99c
20710 ;
20711
20712 3271 }
20713         tPCNH10STR
20714 ;
20715
20716 3273 
20717 IO_BYTE
20718  
20719         mby\8b
20720 ;
20721
20722 3275 
20723 IO_BYTE
20724  
20725         m_EGS1
20726  :1;
20727
20728 3276 
20729 IO_BYTE
20730  
20731         m_EGS0
20732  :1;
20733
20734 3277 
20735 IO_BYTE
20736  
20737         m_IREN
20738  :1;
20739
20740 3278 
20741 IO_BYTE
20742  
20743         m_IRQF
20744  :1;
20745
20746 3279 
20747 IO_BYTE
20748  
20749         m_IRS1
20750  :1;
20751
20752 3280 
20753 IO_BYTE
20754  
20755         m_IRS0
20756  :1;
20757
20758 3281 
20759         mIO_BYTE
20760  :1;
20761
20762 3282 
20763 IO_BYTE
20764  
20765         m_OSEL
20766  :1;
20767
20768 3283 }
20769         mb\99
20770 ;
20771
20772 3285 
20773 IO_BYTE
20774  
20775         m_EGS
20776  :2;
20777
20778 3286 
20779         mIO_BYTE
20780  :1;
20781
20782 3287 
20783         mIO_BYTE
20784  :1;
20785
20786 3288 
20787 IO_BYTE
20788  
20789         m_IRS
20790  :2;
20791
20792 3289 }
20793         mb\99c
20794 ;
20795
20796 3290 }
20797         tPCNL10STR
20798 ;
20799
20800 3292 
20801 IO_WORD
20802  
20803         mwÜd
20804 ;
20805
20806 3294 
20807 IO_WORD
20808  
20809         m_CNTE
20810  :1;
20811
20812 3295 
20813 IO_WORD
20814  
20815         m_STGR
20816  :1;
20817
20818 3296 
20819 IO_WORD
20820  
20821         m_MDSE
20822  :1;
20823
20824 3297 
20825 IO_WORD
20826  
20827         m_RTRG
20828  :1;
20829
20830 3298 
20831 IO_WORD
20832  
20833         m_CKS1
20834  :1;
20835
20836 3299 
20837 IO_WORD
20838  
20839         m_CKS0
20840  :1;
20841
20842 3300 
20843 IO_WORD
20844  
20845         m_PGMS
20846  :1;
20847
20848 3301 
20849         mIO_WORD
20850  :1;
20851
20852 3302 
20853 IO_WORD
20854  
20855         m_EGS1
20856  :1;
20857
20858 3303 
20859 IO_WORD
20860  
20861         m_EGS0
20862  :1;
20863
20864 3304 
20865 IO_WORD
20866  
20867         m_IREN
20868  :1;
20869
20870 3305 
20871 IO_WORD
20872  
20873         m_IRQF
20874  :1;
20875
20876 3306 
20877 IO_WORD
20878  
20879         m_IRS1
20880  :1;
20881
20882 3307 
20883 IO_WORD
20884  
20885         m_IRS0
20886  :1;
20887
20888 3308 
20889         mIO_WORD
20890  :1;
20891
20892 3309 
20893 IO_WORD
20894  
20895         m_OSEL
20896  :1;
20897
20898 3310 }
20899         mb\99
20900 ;
20901
20902 3312 
20903         mIO_WORD
20904  :1;
20905
20906 3313 
20907         mIO_WORD
20908  :1;
20909
20910 3314 
20911         mIO_WORD
20912  :1;
20913
20914 3315 
20915         mIO_WORD
20916  :1;
20917
20918 3316 
20919 IO_WORD
20920  
20921         m_CKS
20922  :2;
20923
20924 3317 
20925         mIO_WORD
20926  :1;
20927
20928 3318 
20929         mIO_WORD
20930  :1;
20931
20932 3319 
20933 IO_WORD
20934  
20935         m_EGS
20936  :2;
20937
20938 3320 
20939         mIO_WORD
20940  :1;
20941
20942 3321 
20943         mIO_WORD
20944  :1;
20945
20946 3322 
20947 IO_WORD
20948  
20949         m_IRS
20950  :2;
20951
20952 3323 }
20953         mb\99c
20954 ;
20955
20956 3324 }
20957         tPCN11STR
20958 ;
20959
20960 3326 
20961 IO_BYTE
20962  
20963         mby\8b
20964 ;
20965
20966 3328 
20967 IO_BYTE
20968  
20969         m_CNTE
20970  :1;
20971
20972 3329 
20973 IO_BYTE
20974  
20975         m_STGR
20976  :1;
20977
20978 3330 
20979 IO_BYTE
20980  
20981         m_MDSE
20982  :1;
20983
20984 3331 
20985 IO_BYTE
20986  
20987         m_RTRG
20988  :1;
20989
20990 3332 
20991 IO_BYTE
20992  
20993         m_CKS1
20994  :1;
20995
20996 3333 
20997 IO_BYTE
20998  
20999         m_CKS0
21000  :1;
21001
21002 3334 
21003 IO_BYTE
21004  
21005         m_PGMS
21006  :1;
21007
21008 3335 
21009         mIO_BYTE
21010  :1;
21011
21012 3336 }
21013         mb\99
21014 ;
21015
21016 3338 
21017         mIO_BYTE
21018  :1;
21019
21020 3339 
21021         mIO_BYTE
21022  :1;
21023
21024 3340 
21025         mIO_BYTE
21026  :1;
21027
21028 3341 
21029         mIO_BYTE
21030  :1;
21031
21032 3342 
21033 IO_BYTE
21034  
21035         m_CKS
21036  :2;
21037
21038 3343 }
21039         mb\99c
21040 ;
21041
21042 3344 }
21043         tPCNH11STR
21044 ;
21045
21046 3346 
21047 IO_BYTE
21048  
21049         mby\8b
21050 ;
21051
21052 3348 
21053 IO_BYTE
21054  
21055         m_EGS1
21056  :1;
21057
21058 3349 
21059 IO_BYTE
21060  
21061         m_EGS0
21062  :1;
21063
21064 3350 
21065 IO_BYTE
21066  
21067         m_IREN
21068  :1;
21069
21070 3351 
21071 IO_BYTE
21072  
21073         m_IRQF
21074  :1;
21075
21076 3352 
21077 IO_BYTE
21078  
21079         m_IRS1
21080  :1;
21081
21082 3353 
21083 IO_BYTE
21084  
21085         m_IRS0
21086  :1;
21087
21088 3354 
21089         mIO_BYTE
21090  :1;
21091
21092 3355 
21093 IO_BYTE
21094  
21095         m_OSEL
21096  :1;
21097
21098 3356 }
21099         mb\99
21100 ;
21101
21102 3358 
21103 IO_BYTE
21104  
21105         m_EGS
21106  :2;
21107
21108 3359 
21109         mIO_BYTE
21110  :1;
21111
21112 3360 
21113         mIO_BYTE
21114  :1;
21115
21116 3361 
21117 IO_BYTE
21118  
21119         m_IRS
21120  :2;
21121
21122 3362 }
21123         mb\99c
21124 ;
21125
21126 3363 }
21127         tPCNL11STR
21128 ;
21129
21130 3365 
21131 IO_BYTE
21132  
21133         mby\8b
21134 ;
21135
21136 3367 
21137 IO_BYTE
21138  
21139         m_ICP1
21140  :1;
21141
21142 3368 
21143 IO_BYTE
21144  
21145         m_ICP0
21146  :1;
21147
21148 3369 
21149 IO_BYTE
21150  
21151         m_ICE1
21152  :1;
21153
21154 3370 
21155 IO_BYTE
21156  
21157         m_ICE0
21158  :1;
21159
21160 3371 
21161 IO_BYTE
21162  
21163         m_EG11
21164  :1;
21165
21166 3372 
21167 IO_BYTE
21168  
21169         m_EG10
21170  :1;
21171
21172 3373 
21173 IO_BYTE
21174  
21175         m_EG01
21176  :1;
21177
21178 3374 
21179 IO_BYTE
21180  
21181         m_EG00
21182  :1;
21183
21184 3375 }
21185         mb\99
21186 ;
21187
21188 3377 
21189         mIO_BYTE
21190  :1;
21191
21192 3378 
21193         mIO_BYTE
21194  :1;
21195
21196 3379 
21197         mIO_BYTE
21198  :1;
21199
21200 3380 
21201         mIO_BYTE
21202  :1;
21203
21204 3381 
21205 IO_BYTE
21206  
21207         m_EG1
21208  :2;
21209
21210 3382 
21211 IO_BYTE
21212  
21213         m_EG0
21214  :2;
21215
21216 3383 }
21217         mb\99c
21218 ;
21219
21220 3384 }
21221         tICS01STR
21222 ;
21223
21224 3386 
21225 IO_BYTE
21226  
21227         mby\8b
21228 ;
21229
21230 3388 
21231 IO_BYTE
21232  
21233         m_ICP3
21234  :1;
21235
21236 3389 
21237 IO_BYTE
21238  
21239         m_ICP2
21240  :1;
21241
21242 3390 
21243 IO_BYTE
21244  
21245         m_ICE3
21246  :1;
21247
21248 3391 
21249 IO_BYTE
21250  
21251         m_ICE2
21252  :1;
21253
21254 3392 
21255 IO_BYTE
21256  
21257         m_EG31
21258  :1;
21259
21260 3393 
21261 IO_BYTE
21262  
21263         m_EG30
21264  :1;
21265
21266 3394 
21267 IO_BYTE
21268  
21269         m_EG21
21270  :1;
21271
21272 3395 
21273 IO_BYTE
21274  
21275         m_EG20
21276  :1;
21277
21278 3396 }
21279         mb\99
21280 ;
21281
21282 3398 
21283         mIO_BYTE
21284  :1;
21285
21286 3399 
21287         mIO_BYTE
21288  :1;
21289
21290 3400 
21291         mIO_BYTE
21292  :1;
21293
21294 3401 
21295         mIO_BYTE
21296  :1;
21297
21298 3402 
21299 IO_BYTE
21300  
21301         m_EG3
21302  :2;
21303
21304 3403 
21305 IO_BYTE
21306  
21307         m_EG2
21308  :2;
21309
21310 3404 }
21311         mb\99c
21312 ;
21313
21314 3405 }
21315         tICS23STR
21316 ;
21317
21318 3407 
21319 IO_WORD
21320  
21321         mwÜd
21322 ;
21323
21324 3409 
21325 IO_WORD
21326  
21327         m_CP15
21328  :1;
21329
21330 3410 
21331 IO_WORD
21332  
21333         m_CP14
21334  :1;
21335
21336 3411 
21337 IO_WORD
21338  
21339         m_CP13
21340  :1;
21341
21342 3412 
21343 IO_WORD
21344  
21345         m_CP12
21346  :1;
21347
21348 3413 
21349 IO_WORD
21350  
21351         m_CP11
21352  :1;
21353
21354 3414 
21355 IO_WORD
21356  
21357         m_CP10
21358  :1;
21359
21360 3415 
21361 IO_WORD
21362  
21363         m_CP9
21364  :1;
21365
21366 3416 
21367 IO_WORD
21368  
21369         m_CP8
21370  :1;
21371
21372 3417 
21373 IO_WORD
21374  
21375         m_CP7
21376  :1;
21377
21378 3418 
21379 IO_WORD
21380  
21381         m_CP6
21382  :1;
21383
21384 3419 
21385 IO_WORD
21386  
21387         m_CP5
21388  :1;
21389
21390 3420 
21391 IO_WORD
21392  
21393         m_CP4
21394  :1;
21395
21396 3421 
21397 IO_WORD
21398  
21399         m_CP3
21400  :1;
21401
21402 3422 
21403 IO_WORD
21404  
21405         m_CP2
21406  :1;
21407
21408 3423 
21409 IO_WORD
21410  
21411         m_CP1
21412  :1;
21413
21414 3424 
21415 IO_WORD
21416  
21417         m_CP0
21418  :1;
21419
21420 3425 }
21421         mb\99
21422 ;
21423
21424 3426 }
21425         tIPCP0STR
21426 ;
21427
21428 3428 
21429 IO_WORD
21430  
21431         mwÜd
21432 ;
21433
21434 3430 
21435 IO_WORD
21436  
21437         m_CP15
21438  :1;
21439
21440 3431 
21441 IO_WORD
21442  
21443         m_CP14
21444  :1;
21445
21446 3432 
21447 IO_WORD
21448  
21449         m_CP13
21450  :1;
21451
21452 3433 
21453 IO_WORD
21454  
21455         m_CP12
21456  :1;
21457
21458 3434 
21459 IO_WORD
21460  
21461         m_CP11
21462  :1;
21463
21464 3435 
21465 IO_WORD
21466  
21467         m_CP10
21468  :1;
21469
21470 3436 
21471 IO_WORD
21472  
21473         m_CP9
21474  :1;
21475
21476 3437 
21477 IO_WORD
21478  
21479         m_CP8
21480  :1;
21481
21482 3438 
21483 IO_WORD
21484  
21485         m_CP7
21486  :1;
21487
21488 3439 
21489 IO_WORD
21490  
21491         m_CP6
21492  :1;
21493
21494 3440 
21495 IO_WORD
21496  
21497         m_CP5
21498  :1;
21499
21500 3441 
21501 IO_WORD
21502  
21503         m_CP4
21504  :1;
21505
21506 3442 
21507 IO_WORD
21508  
21509         m_CP3
21510  :1;
21511
21512 3443 
21513 IO_WORD
21514  
21515         m_CP2
21516  :1;
21517
21518 3444 
21519 IO_WORD
21520  
21521         m_CP1
21522  :1;
21523
21524 3445 
21525 IO_WORD
21526  
21527         m_CP0
21528  :1;
21529
21530 3446 }
21531         mb\99
21532 ;
21533
21534 3447 }
21535         tIPCP1STR
21536 ;
21537
21538 3449 
21539 IO_WORD
21540  
21541         mwÜd
21542 ;
21543
21544 3451 
21545 IO_WORD
21546  
21547         m_CP15
21548  :1;
21549
21550 3452 
21551 IO_WORD
21552  
21553         m_CP14
21554  :1;
21555
21556 3453 
21557 IO_WORD
21558  
21559         m_CP13
21560  :1;
21561
21562 3454 
21563 IO_WORD
21564  
21565         m_CP12
21566  :1;
21567
21568 3455 
21569 IO_WORD
21570  
21571         m_CP11
21572  :1;
21573
21574 3456 
21575 IO_WORD
21576  
21577         m_CP10
21578  :1;
21579
21580 3457 
21581 IO_WORD
21582  
21583         m_CP9
21584  :1;
21585
21586 3458 
21587 IO_WORD
21588  
21589         m_CP8
21590  :1;
21591
21592 3459 
21593 IO_WORD
21594  
21595         m_CP7
21596  :1;
21597
21598 3460 
21599 IO_WORD
21600  
21601         m_CP6
21602  :1;
21603
21604 3461 
21605 IO_WORD
21606  
21607         m_CP5
21608  :1;
21609
21610 3462 
21611 IO_WORD
21612  
21613         m_CP4
21614  :1;
21615
21616 3463 
21617 IO_WORD
21618  
21619         m_CP3
21620  :1;
21621
21622 3464 
21623 IO_WORD
21624  
21625         m_CP2
21626  :1;
21627
21628 3465 
21629 IO_WORD
21630  
21631         m_CP1
21632  :1;
21633
21634 3466 
21635 IO_WORD
21636  
21637         m_CP0
21638  :1;
21639
21640 3467 }
21641         mb\99
21642 ;
21643
21644 3468 }
21645         tIPCP2STR
21646 ;
21647
21648 3470 
21649 IO_WORD
21650  
21651         mwÜd
21652 ;
21653
21654 3472 
21655 IO_WORD
21656  
21657         m_CP15
21658  :1;
21659
21660 3473 
21661 IO_WORD
21662  
21663         m_CP14
21664  :1;
21665
21666 3474 
21667 IO_WORD
21668  
21669         m_CP13
21670  :1;
21671
21672 3475 
21673 IO_WORD
21674  
21675         m_CP12
21676  :1;
21677
21678 3476 
21679 IO_WORD
21680  
21681         m_CP11
21682  :1;
21683
21684 3477 
21685 IO_WORD
21686  
21687         m_CP10
21688  :1;
21689
21690 3478 
21691 IO_WORD
21692  
21693         m_CP9
21694  :1;
21695
21696 3479 
21697 IO_WORD
21698  
21699         m_CP8
21700  :1;
21701
21702 3480 
21703 IO_WORD
21704  
21705         m_CP7
21706  :1;
21707
21708 3481 
21709 IO_WORD
21710  
21711         m_CP6
21712  :1;
21713
21714 3482 
21715 IO_WORD
21716  
21717         m_CP5
21718  :1;
21719
21720 3483 
21721 IO_WORD
21722  
21723         m_CP4
21724  :1;
21725
21726 3484 
21727 IO_WORD
21728  
21729         m_CP3
21730  :1;
21731
21732 3485 
21733 IO_WORD
21734  
21735         m_CP2
21736  :1;
21737
21738 3486 
21739 IO_WORD
21740  
21741         m_CP1
21742  :1;
21743
21744 3487 
21745 IO_WORD
21746  
21747         m_CP0
21748  :1;
21749
21750 3488 }
21751         mb\99
21752 ;
21753
21754 3489 }
21755         tIPCP3STR
21756 ;
21757
21758 3491 
21759 IO_WORD
21760  
21761         mwÜd
21762 ;
21763
21764 3493 
21765         mIO_WORD
21766  :1;
21767
21768 3494 
21769         mIO_WORD
21770  :1;
21771
21772 3495 
21773         mIO_WORD
21774  :1;
21775
21776 3496 
21777 IO_WORD
21778  
21779         m_CMOD
21780  :1;
21781
21782 3497 
21783         mIO_WORD
21784  :1;
21785
21786 3498 
21787         mIO_WORD
21788  :1;
21789
21790 3499 
21791 IO_WORD
21792  
21793         m_OTD1
21794  :1;
21795
21796 3500 
21797 IO_WORD
21798  
21799         m_OTD0
21800  :1;
21801
21802 3501 
21803 IO_WORD
21804  
21805         m_ICP1
21806  :1;
21807
21808 3502 
21809 IO_WORD
21810  
21811         m_ICP0
21812  :1;
21813
21814 3503 
21815 IO_WORD
21816  
21817         m_ICE1
21818  :1;
21819
21820 3504 
21821 IO_WORD
21822  
21823         m_ICE0
21824  :1;
21825
21826 3505 
21827         mIO_WORD
21828  :1;
21829
21830 3506 
21831         mIO_WORD
21832  :1;
21833
21834 3507 
21835 IO_WORD
21836  
21837         m_CST1
21838  :1;
21839
21840 3508 
21841 IO_WORD
21842  
21843         m_CST0
21844  :1;
21845
21846 3509 }
21847         mb\99
21848 ;
21849
21850 3510 }
21851         tOCS01STR
21852 ;
21853
21854 3512 
21855 IO_WORD
21856  
21857         mwÜd
21858 ;
21859
21860 3514 
21861         mIO_WORD
21862  :1;
21863
21864 3515 
21865         mIO_WORD
21866  :1;
21867
21868 3516 
21869         mIO_WORD
21870  :1;
21871
21872 3517 
21873 IO_WORD
21874  
21875         m_CMOD
21876  :1;
21877
21878 3518 
21879         mIO_WORD
21880  :1;
21881
21882 3519 
21883         mIO_WORD
21884  :1;
21885
21886 3520 
21887 IO_WORD
21888  
21889         m_OTD3
21890  :1;
21891
21892 3521 
21893 IO_WORD
21894  
21895         m_OTD2
21896  :1;
21897
21898 3522 
21899 IO_WORD
21900  
21901         m_ICP3
21902  :1;
21903
21904 3523 
21905 IO_WORD
21906  
21907         m_ICP2
21908  :1;
21909
21910 3524 
21911 IO_WORD
21912  
21913         m_ICE3
21914  :1;
21915
21916 3525 
21917 IO_WORD
21918  
21919         m_ICE2
21920  :1;
21921
21922 3526 
21923         mIO_WORD
21924  :1;
21925
21926 3527 
21927         mIO_WORD
21928  :1;
21929
21930 3528 
21931 IO_WORD
21932  
21933         m_CST3
21934  :1;
21935
21936 3529 
21937 IO_WORD
21938  
21939         m_CST2
21940  :1;
21941
21942 3530 }
21943         mb\99
21944 ;
21945
21946 3531 }
21947         tOCS23STR
21948 ;
21949
21950 3533 
21951 IO_WORD
21952  
21953         mwÜd
21954 ;
21955
21956 3535 
21957 IO_WORD
21958  
21959         m_C15
21960  :1;
21961
21962 3536 
21963 IO_WORD
21964  
21965         m_C14
21966  :1;
21967
21968 3537 
21969 IO_WORD
21970  
21971         m_C13
21972  :1;
21973
21974 3538 
21975 IO_WORD
21976  
21977         m_C12
21978  :1;
21979
21980 3539 
21981 IO_WORD
21982  
21983         m_C11
21984  :1;
21985
21986 3540 
21987 IO_WORD
21988  
21989         m_C10
21990  :1;
21991
21992 3541 
21993 IO_WORD
21994  
21995         m_C9
21996  :1;
21997
21998 3542 
21999 IO_WORD
22000  
22001         m_C8
22002  :1;
22003
22004 3543 
22005 IO_WORD
22006  
22007         m_C7
22008  :1;
22009
22010 3544 
22011 IO_WORD
22012  
22013         m_C6
22014  :1;
22015
22016 3545 
22017 IO_WORD
22018  
22019         m_C5
22020  :1;
22021
22022 3546 
22023 IO_WORD
22024  
22025         m_C4
22026  :1;
22027
22028 3547 
22029 IO_WORD
22030  
22031         m_C3
22032  :1;
22033
22034 3548 
22035 IO_WORD
22036  
22037         m_C2
22038  :1;
22039
22040 3549 
22041 IO_WORD
22042  
22043         m_C1
22044  :1;
22045
22046 3550 
22047 IO_WORD
22048  
22049         m_C0
22050  :1;
22051
22052 3551 }
22053         mb\99
22054 ;
22055
22056 3552 }
22057         tOCCP0STR
22058 ;
22059
22060 3554 
22061 IO_WORD
22062  
22063         mwÜd
22064 ;
22065
22066 3556 
22067 IO_WORD
22068  
22069         m_C15
22070  :1;
22071
22072 3557 
22073 IO_WORD
22074  
22075         m_C14
22076  :1;
22077
22078 3558 
22079 IO_WORD
22080  
22081         m_C13
22082  :1;
22083
22084 3559 
22085 IO_WORD
22086  
22087         m_C12
22088  :1;
22089
22090 3560 
22091 IO_WORD
22092  
22093         m_C11
22094  :1;
22095
22096 3561 
22097 IO_WORD
22098  
22099         m_C10
22100  :1;
22101
22102 3562 
22103 IO_WORD
22104  
22105         m_C9
22106  :1;
22107
22108 3563 
22109 IO_WORD
22110  
22111         m_C8
22112  :1;
22113
22114 3564 
22115 IO_WORD
22116  
22117         m_C7
22118  :1;
22119
22120 3565 
22121 IO_WORD
22122  
22123         m_C6
22124  :1;
22125
22126 3566 
22127 IO_WORD
22128  
22129         m_C5
22130  :1;
22131
22132 3567 
22133 IO_WORD
22134  
22135         m_C4
22136  :1;
22137
22138 3568 
22139 IO_WORD
22140  
22141         m_C3
22142  :1;
22143
22144 3569 
22145 IO_WORD
22146  
22147         m_C2
22148  :1;
22149
22150 3570 
22151 IO_WORD
22152  
22153         m_C1
22154  :1;
22155
22156 3571 
22157 IO_WORD
22158  
22159         m_C0
22160  :1;
22161
22162 3572 }
22163         mb\99
22164 ;
22165
22166 3573 }
22167         tOCCP1STR
22168 ;
22169
22170 3575 
22171 IO_WORD
22172  
22173         mwÜd
22174 ;
22175
22176 3577 
22177 IO_WORD
22178  
22179         m_C15
22180  :1;
22181
22182 3578 
22183 IO_WORD
22184  
22185         m_C14
22186  :1;
22187
22188 3579 
22189 IO_WORD
22190  
22191         m_C13
22192  :1;
22193
22194 3580 
22195 IO_WORD
22196  
22197         m_C12
22198  :1;
22199
22200 3581 
22201 IO_WORD
22202  
22203         m_C11
22204  :1;
22205
22206 3582 
22207 IO_WORD
22208  
22209         m_C10
22210  :1;
22211
22212 3583 
22213 IO_WORD
22214  
22215         m_C9
22216  :1;
22217
22218 3584 
22219 IO_WORD
22220  
22221         m_C8
22222  :1;
22223
22224 3585 
22225 IO_WORD
22226  
22227         m_C7
22228  :1;
22229
22230 3586 
22231 IO_WORD
22232  
22233         m_C6
22234  :1;
22235
22236 3587 
22237 IO_WORD
22238  
22239         m_C5
22240  :1;
22241
22242 3588 
22243 IO_WORD
22244  
22245         m_C4
22246  :1;
22247
22248 3589 
22249 IO_WORD
22250  
22251         m_C3
22252  :1;
22253
22254 3590 
22255 IO_WORD
22256  
22257         m_C2
22258  :1;
22259
22260 3591 
22261 IO_WORD
22262  
22263         m_C1
22264  :1;
22265
22266 3592 
22267 IO_WORD
22268  
22269         m_C0
22270  :1;
22271
22272 3593 }
22273         mb\99
22274 ;
22275
22276 3594 }
22277         tOCCP2STR
22278 ;
22279
22280 3596 
22281 IO_WORD
22282  
22283         mwÜd
22284 ;
22285
22286 3598 
22287 IO_WORD
22288  
22289         m_C15
22290  :1;
22291
22292 3599 
22293 IO_WORD
22294  
22295         m_C14
22296  :1;
22297
22298 3600 
22299 IO_WORD
22300  
22301         m_C13
22302  :1;
22303
22304 3601 
22305 IO_WORD
22306  
22307         m_C12
22308  :1;
22309
22310 3602 
22311 IO_WORD
22312  
22313         m_C11
22314  :1;
22315
22316 3603 
22317 IO_WORD
22318  
22319         m_C10
22320  :1;
22321
22322 3604 
22323 IO_WORD
22324  
22325         m_C9
22326  :1;
22327
22328 3605 
22329 IO_WORD
22330  
22331         m_C8
22332  :1;
22333
22334 3606 
22335 IO_WORD
22336  
22337         m_C7
22338  :1;
22339
22340 3607 
22341 IO_WORD
22342  
22343         m_C6
22344  :1;
22345
22346 3608 
22347 IO_WORD
22348  
22349         m_C5
22350  :1;
22351
22352 3609 
22353 IO_WORD
22354  
22355         m_C4
22356  :1;
22357
22358 3610 
22359 IO_WORD
22360  
22361         m_C3
22362  :1;
22363
22364 3611 
22365 IO_WORD
22366  
22367         m_C2
22368  :1;
22369
22370 3612 
22371 IO_WORD
22372  
22373         m_C1
22374  :1;
22375
22376 3613 
22377 IO_WORD
22378  
22379         m_C0
22380  :1;
22381
22382 3614 }
22383         mb\99
22384 ;
22385
22386 3615 }
22387         tOCCP3STR
22388 ;
22389
22390 3617 
22391 IO_WORD
22392  
22393         mwÜd
22394 ;
22395
22396 3619 
22397 IO_WORD
22398  
22399         m_ADE31
22400  :1;
22401
22402 3620 
22403 IO_WORD
22404  
22405         m_ADE30
22406  :1;
22407
22408 3621 
22409 IO_WORD
22410  
22411         m_ADE29
22412  :1;
22413
22414 3622 
22415 IO_WORD
22416  
22417         m_ADE28
22418  :1;
22419
22420 3623 
22421 IO_WORD
22422  
22423         m_ADE27
22424  :1;
22425
22426 3624 
22427 IO_WORD
22428  
22429         m_ADE26
22430  :1;
22431
22432 3625 
22433 IO_WORD
22434  
22435         m_ADE25
22436  :1;
22437
22438 3626 
22439 IO_WORD
22440  
22441         m_ADE24
22442  :1;
22443
22444 3627 
22445 IO_WORD
22446  
22447         m_ADE23
22448  :1;
22449
22450 3628 
22451 IO_WORD
22452  
22453         m_ADE22
22454  :1;
22455
22456 3629 
22457 IO_WORD
22458  
22459         m_ADE21
22460  :1;
22461
22462 3630 
22463 IO_WORD
22464  
22465         m_ADE20
22466  :1;
22467
22468 3631 
22469 IO_WORD
22470  
22471         m_ADE19
22472  :1;
22473
22474 3632 
22475 IO_WORD
22476  
22477         m_ADE18
22478  :1;
22479
22480 3633 
22481 IO_WORD
22482  
22483         m_ADE17
22484  :1;
22485
22486 3634 
22487 IO_WORD
22488  
22489         m_ADE16
22490  :1;
22491
22492 3635 }
22493         mb\99
22494 ;
22495
22496 3636 }
22497         tADERHSTR
22498 ;
22499
22500 3638 
22501 IO_WORD
22502  
22503         mwÜd
22504 ;
22505
22506 3640 
22507 IO_WORD
22508  
22509         m_ADE15
22510  :1;
22511
22512 3641 
22513 IO_WORD
22514  
22515         m_ADE14
22516  :1;
22517
22518 3642 
22519 IO_WORD
22520  
22521         m_ADE13
22522  :1;
22523
22524 3643 
22525 IO_WORD
22526  
22527         m_ADE12
22528  :1;
22529
22530 3644 
22531 IO_WORD
22532  
22533         m_ADE11
22534  :1;
22535
22536 3645 
22537 IO_WORD
22538  
22539         m_ADE10
22540  :1;
22541
22542 3646 
22543 IO_WORD
22544  
22545         m_ADE9
22546  :1;
22547
22548 3647 
22549 IO_WORD
22550  
22551         m_ADE8
22552  :1;
22553
22554 3648 
22555 IO_WORD
22556  
22557         m_ADE7
22558  :1;
22559
22560 3649 
22561 IO_WORD
22562  
22563         m_ADE6
22564  :1;
22565
22566 3650 
22567 IO_WORD
22568  
22569         m_ADE5
22570  :1;
22571
22572 3651 
22573 IO_WORD
22574  
22575         m_ADE4
22576  :1;
22577
22578 3652 
22579 IO_WORD
22580  
22581         m_ADE3
22582  :1;
22583
22584 3653 
22585 IO_WORD
22586  
22587         m_ADE2
22588  :1;
22589
22590 3654 
22591 IO_WORD
22592  
22593         m_ADE1
22594  :1;
22595
22596 3655 
22597 IO_WORD
22598  
22599         m_ADE0
22600  :1;
22601
22602 3656 }
22603         mb\99
22604 ;
22605
22606 3657 }
22607         tADERLSTR
22608 ;
22609
22610 3659 
22611 IO_BYTE
22612  
22613         mby\8b
22614 ;
22615
22616 3661 
22617 IO_BYTE
22618  
22619         m_BUSY
22620  :1;
22621
22622 3662 
22623 IO_BYTE
22624  
22625         m_INT
22626  :1;
22627
22628 3663 
22629 IO_BYTE
22630  
22631         m_INTE
22632  :1;
22633
22634 3664 
22635 IO_BYTE
22636  
22637         m_PAUS
22638  :1;
22639
22640 3665 
22641 IO_BYTE
22642  
22643         m_STS1
22644  :1;
22645
22646 3666 
22647 IO_BYTE
22648  
22649         m_STS0
22650  :1;
22651
22652 3667 
22653 IO_BYTE
22654  
22655         m_STRT
22656  :1;
22657
22658 3668 
22659         mIO_BYTE
22660  :1;
22661
22662 3669 }
22663         mb\99
22664 ;
22665
22666 3671 
22667         mIO_BYTE
22668  :1;
22669
22670 3672 
22671         mIO_BYTE
22672  :1;
22673
22674 3673 
22675         mIO_BYTE
22676  :1;
22677
22678 3674 
22679         mIO_BYTE
22680  :1;
22681
22682 3675 
22683 IO_BYTE
22684  
22685         m_STS
22686  :2;
22687
22688 3676 }
22689         mb\99c
22690 ;
22691
22692 3677 }
22693         tADCS1STR
22694 ;
22695
22696 3679 
22697 IO_BYTE
22698  
22699         mby\8b
22700 ;
22701
22702 3681 
22703 IO_BYTE
22704  
22705         m_MD1
22706  :1;
22707
22708 3682 
22709 IO_BYTE
22710  
22711         m_MD0
22712  :1;
22713
22714 3683 
22715 IO_BYTE
22716  
22717         m_S10
22718  :1;
22719
22720 3684 
22721 IO_BYTE
22722  
22723         m_ACH4
22724  :1;
22725
22726 3685 
22727 IO_BYTE
22728  
22729         m_ACH3
22730  :1;
22731
22732 3686 
22733 IO_BYTE
22734  
22735         m_ACH2
22736  :1;
22737
22738 3687 
22739 IO_BYTE
22740  
22741         m_ACH1
22742  :1;
22743
22744 3688 
22745 IO_BYTE
22746  
22747         m_ACH0
22748  :1;
22749
22750 3689 }
22751         mb\99
22752 ;
22753
22754 3691 
22755 IO_BYTE
22756  
22757         m_MD
22758  :2;
22759
22760 3692 
22761         mIO_BYTE
22762  :1;
22763
22764 3693 
22765 IO_BYTE
22766  
22767         m_ACH
22768  :5;
22769
22770 3694 }
22771         mb\99c
22772 ;
22773
22774 3695 }
22775         tADCS0STR
22776 ;
22777
22778 3697 
22779 IO_BYTE
22780  
22781         mby\8b
22782 ;
22783
22784 3699 
22785         mIO_BYTE
22786  :1;
22787
22788 3700 
22789         mIO_BYTE
22790  :1;
22791
22792 3701 
22793         mIO_BYTE
22794  :1;
22795
22796 3702 
22797         mIO_BYTE
22798  :1;
22799
22800 3703 
22801         mIO_BYTE
22802  :1;
22803
22804 3704 
22805         mIO_BYTE
22806  :1;
22807
22808 3705 
22809 IO_BYTE
22810  
22811         m_D9
22812  :1;
22813
22814 3706 
22815 IO_BYTE
22816  
22817         m_D8
22818  :1;
22819
22820 3707 }
22821         mb\99
22822 ;
22823
22824 3708 }
22825         tADCR1STR
22826 ;
22827
22828 3710 
22829 IO_BYTE
22830  
22831         mby\8b
22832 ;
22833
22834 3712 
22835 IO_BYTE
22836  
22837         m_D7
22838  :1;
22839
22840 3713 
22841 IO_BYTE
22842  
22843         m_D6
22844  :1;
22845
22846 3714 
22847 IO_BYTE
22848  
22849         m_D5
22850  :1;
22851
22852 3715 
22853 IO_BYTE
22854  
22855         m_D4
22856  :1;
22857
22858 3716 
22859 IO_BYTE
22860  
22861         m_D3
22862  :1;
22863
22864 3717 
22865 IO_BYTE
22866  
22867         m_D2
22868  :1;
22869
22870 3718 
22871 IO_BYTE
22872  
22873         m_D1
22874  :1;
22875
22876 3719 
22877 IO_BYTE
22878  
22879         m_D0
22880  :1;
22881
22882 3720 }
22883         mb\99
22884 ;
22885
22886 3721 }
22887         tADCR0STR
22888 ;
22889
22890 3723 
22891 IO_BYTE
22892  
22893         mby\8b
22894 ;
22895
22896 3725 
22897 IO_BYTE
22898  
22899         m_CT5
22900  :1;
22901
22902 3726 
22903 IO_BYTE
22904  
22905         m_CT4
22906  :1;
22907
22908 3727 
22909 IO_BYTE
22910  
22911         m_CT3
22912  :1;
22913
22914 3728 
22915 IO_BYTE
22916  
22917         m_CT2
22918  :1;
22919
22920 3729 
22921 IO_BYTE
22922  
22923         m_CT1
22924  :1;
22925
22926 3730 
22927 IO_BYTE
22928  
22929         m_CT0
22930  :1;
22931
22932 3731 
22933 IO_BYTE
22934  
22935         m_ST9
22936  :1;
22937
22938 3732 
22939 IO_BYTE
22940  
22941         m_ST8
22942  :1;
22943
22944 3733 }
22945         mb\99
22946 ;
22947
22948 3734 }
22949         tADCT1STR
22950 ;
22951
22952 3736 
22953 IO_BYTE
22954  
22955         mby\8b
22956 ;
22957
22958 3738 
22959 IO_BYTE
22960  
22961         m_ST7
22962  :1;
22963
22964 3739 
22965 IO_BYTE
22966  
22967         m_ST6
22968  :1;
22969
22970 3740 
22971 IO_BYTE
22972  
22973         m_ST5
22974  :1;
22975
22976 3741 
22977 IO_BYTE
22978  
22979         m_ST4
22980  :1;
22981
22982 3742 
22983 IO_BYTE
22984  
22985         m_ST3
22986  :1;
22987
22988 3743 
22989 IO_BYTE
22990  
22991         m_ST2
22992  :1;
22993
22994 3744 
22995 IO_BYTE
22996  
22997         m_ST1
22998  :1;
22999
23000 3745 
23001 IO_BYTE
23002  
23003         m_ST0
23004  :1;
23005
23006 3746 }
23007         mb\99
23008 ;
23009
23010 3747 }
23011         tADCT0STR
23012 ;
23013
23014 3749 
23015 IO_BYTE
23016  
23017         mby\8b
23018 ;
23019
23020 3751 
23021         mIO_BYTE
23022  :1;
23023
23024 3752 
23025         mIO_BYTE
23026  :1;
23027
23028 3753 
23029         mIO_BYTE
23030  :1;
23031
23032 3754 
23033 IO_BYTE
23034  
23035         m_ANS4
23036  :1;
23037
23038 3755 
23039 IO_BYTE
23040  
23041         m_ANS3
23042  :1;
23043
23044 3756 
23045 IO_BYTE
23046  
23047         m_ANS2
23048  :1;
23049
23050 3757 
23051 IO_BYTE
23052  
23053         m_ANS1
23054  :1;
23055
23056 3758 
23057 IO_BYTE
23058  
23059         m_ASN0
23060  :1;
23061
23062 3759 }
23063         mb\99
23064 ;
23065
23066 3761 
23067         mIO_BYTE
23068  :1;
23069
23070 3762 
23071         mIO_BYTE
23072  :1;
23073
23074 3763 
23075         mIO_BYTE
23076  :1;
23077
23078 3764 
23079 IO_BYTE
23080  
23081         m_ANS
23082  :5;
23083
23084 3765 }
23085         mb\99c
23086 ;
23087
23088 3766 }
23089         tADSCHSTR
23090 ;
23091
23092 3768 
23093 IO_BYTE
23094  
23095         mby\8b
23096 ;
23097
23098 3770 
23099         mIO_BYTE
23100  :1;
23101
23102 3771 
23103         mIO_BYTE
23104  :1;
23105
23106 3772 
23107         mIO_BYTE
23108  :1;
23109
23110 3773 
23111 IO_BYTE
23112  
23113         m_ANE4
23114  :1;
23115
23116 3774 
23117 IO_BYTE
23118  
23119         m_ANE3
23120  :1;
23121
23122 3775 
23123 IO_BYTE
23124  
23125         m_ANE2
23126  :1;
23127
23128 3776 
23129 IO_BYTE
23130  
23131         m_ANE1
23132  :1;
23133
23134 3777 
23135 IO_BYTE
23136  
23137         m_ANE0
23138  :1;
23139
23140 3778 }
23141         mb\99
23142 ;
23143
23144 3780 
23145         mIO_BYTE
23146  :1;
23147
23148 3781 
23149         mIO_BYTE
23150  :1;
23151
23152 3782 
23153         mIO_BYTE
23154  :1;
23155
23156 3783 
23157 IO_BYTE
23158  
23159         m_ANE
23160  :5;
23161
23162 3784 }
23163         mb\99c
23164 ;
23165
23166 3785 }
23167         tADECHSTR
23168 ;
23169
23170 3787 
23171 IO_WORD
23172  
23173         mwÜd
23174 ;
23175
23176 3789 
23177 IO_WORD
23178  
23179         m_D15
23180  :1;
23181
23182 3790 
23183 IO_WORD
23184  
23185         m_D14
23186  :1;
23187
23188 3791 
23189 IO_WORD
23190  
23191         m_D13
23192  :1;
23193
23194 3792 
23195 IO_WORD
23196  
23197         m_D12
23198  :1;
23199
23200 3793 
23201 IO_WORD
23202  
23203         m_D11
23204  :1;
23205
23206 3794 
23207 IO_WORD
23208  
23209         m_D10
23210  :1;
23211
23212 3795 
23213 IO_WORD
23214  
23215         m_D9
23216  :1;
23217
23218 3796 
23219 IO_WORD
23220  
23221         m_D8
23222  :1;
23223
23224 3797 
23225 IO_WORD
23226  
23227         m_D7
23228  :1;
23229
23230 3798 
23231 IO_WORD
23232  
23233         m_D6
23234  :1;
23235
23236 3799 
23237 IO_WORD
23238  
23239         m_D5
23240  :1;
23241
23242 3800 
23243 IO_WORD
23244  
23245         m_D4
23246  :1;
23247
23248 3801 
23249 IO_WORD
23250  
23251         m_D3
23252  :1;
23253
23254 3802 
23255 IO_WORD
23256  
23257         m_D2
23258  :1;
23259
23260 3803 
23261 IO_WORD
23262  
23263         m_D1
23264  :1;
23265
23266 3804 
23267 IO_WORD
23268  
23269         m_D0
23270  :1;
23271
23272 3805 }
23273         mb\99
23274 ;
23275
23276 3806 }
23277         tTMRLR0STR
23278 ;
23279
23280 3808 
23281 IO_WORD
23282  
23283         mwÜd
23284 ;
23285
23286 3810 
23287 IO_WORD
23288  
23289         m_D15
23290  :1;
23291
23292 3811 
23293 IO_WORD
23294  
23295         m_D14
23296  :1;
23297
23298 3812 
23299 IO_WORD
23300  
23301         m_D13
23302  :1;
23303
23304 3813 
23305 IO_WORD
23306  
23307         m_D12
23308  :1;
23309
23310 3814 
23311 IO_WORD
23312  
23313         m_D11
23314  :1;
23315
23316 3815 
23317 IO_WORD
23318  
23319         m_D10
23320  :1;
23321
23322 3816 
23323 IO_WORD
23324  
23325         m_D9
23326  :1;
23327
23328 3817 
23329 IO_WORD
23330  
23331         m_D8
23332  :1;
23333
23334 3818 
23335 IO_WORD
23336  
23337         m_D7
23338  :1;
23339
23340 3819 
23341 IO_WORD
23342  
23343         m_D6
23344  :1;
23345
23346 3820 
23347 IO_WORD
23348  
23349         m_D5
23350  :1;
23351
23352 3821 
23353 IO_WORD
23354  
23355         m_D4
23356  :1;
23357
23358 3822 
23359 IO_WORD
23360  
23361         m_D3
23362  :1;
23363
23364 3823 
23365 IO_WORD
23366  
23367         m_D2
23368  :1;
23369
23370 3824 
23371 IO_WORD
23372  
23373         m_D1
23374  :1;
23375
23376 3825 
23377 IO_WORD
23378  
23379         m_D0
23380  :1;
23381
23382 3826 }
23383         mb\99
23384 ;
23385
23386 3827 }
23387         tTMR0STR
23388 ;
23389
23390 3829 
23391 IO_WORD
23392  
23393         mwÜd
23394 ;
23395
23396 3831 
23397         mIO_WORD
23398  :1;
23399
23400 3832 
23401         mIO_WORD
23402  :1;
23403
23404 3833 
23405         mIO_WORD
23406  :1;
23407
23408 3834 
23409 IO_WORD
23410  
23411         m_CSL2
23412  :1;
23413
23414 3835 
23415 IO_WORD
23416  
23417         m_CSL1
23418  :1;
23419
23420 3836 
23421 IO_WORD
23422  
23423         m_CSL0
23424  :1;
23425
23426 3837 
23427 IO_WORD
23428  
23429         m_MOD2
23430  :1;
23431
23432 3838 
23433 IO_WORD
23434  
23435         m_MOD1
23436  :1;
23437
23438 3839 
23439 IO_WORD
23440  
23441         m_MOD0
23442  :1;
23443
23444 3840 
23445         mIO_WORD
23446  :1;
23447
23448 3841 
23449 IO_WORD
23450  
23451         m_OULT
23452  :1;
23453
23454 3842 
23455 IO_WORD
23456  
23457         m_RELD
23458  :1;
23459
23460 3843 
23461 IO_WORD
23462  
23463         m_INTE
23464  :1;
23465
23466 3844 
23467 IO_WORD
23468  
23469         m_UF
23470  :1;
23471
23472 3845 
23473 IO_WORD
23474  
23475         m_CNTE
23476  :1;
23477
23478 3846 
23479 IO_WORD
23480  
23481         m_TRG
23482  :1;
23483
23484 3847 }
23485         mb\99
23486 ;
23487
23488 3849 
23489         mIO_WORD
23490  :1;
23491
23492 3850 
23493         mIO_WORD
23494  :1;
23495
23496 3851 
23497         mIO_WORD
23498  :1;
23499
23500 3852 
23501 IO_WORD
23502  
23503         m_CSL
23504  :3;
23505
23506 3853 
23507 IO_WORD
23508  
23509         m_MOD
23510  :3;
23511
23512 3854 }
23513         mb\99c
23514 ;
23515
23516 3855 }
23517         tTMCSR0STR
23518 ;
23519
23520 3857 
23521 IO_BYTE
23522  
23523         mby\8b
23524 ;
23525
23526 3859 
23527         mIO_BYTE
23528  :1;
23529
23530 3860 
23531         mIO_BYTE
23532  :1;
23533
23534 3861 
23535         mIO_BYTE
23536  :1;
23537
23538 3862 
23539 IO_BYTE
23540  
23541         m_CSL2
23542  :1;
23543
23544 3863 
23545 IO_BYTE
23546  
23547         m_CSL1
23548  :1;
23549
23550 3864 
23551 IO_BYTE
23552  
23553         m_CSL0
23554  :1;
23555
23556 3865 
23557 IO_BYTE
23558  
23559         m_MOD2
23560  :1;
23561
23562 3866 
23563 IO_BYTE
23564  
23565         m_MOD1
23566  :1;
23567
23568 3867 }
23569         mb\99
23570 ;
23571
23572 3869 
23573         mIO_BYTE
23574  :3;
23575
23576 3870 
23577 IO_BYTE
23578  
23579         m_CSL
23580  :3;
23581
23582 3871 }
23583         mb\99c
23584 ;
23585
23586 3872 }
23587         tTMCSRH0STR
23588 ;
23589
23590 3874 
23591 IO_BYTE
23592  
23593         mby\8b
23594 ;
23595
23596 3876 
23597 IO_BYTE
23598  
23599         m_MOD0
23600  :1;
23601
23602 3877 
23603         mIO_BYTE
23604  :1;
23605
23606 3878 
23607 IO_BYTE
23608  
23609         m_OULT
23610  :1;
23611
23612 3879 
23613 IO_BYTE
23614  
23615         m_RELD
23616  :1;
23617
23618 3880 
23619 IO_BYTE
23620  
23621         m_INTE
23622  :1;
23623
23624 3881 
23625 IO_BYTE
23626  
23627         m_UF
23628  :1;
23629
23630 3882 
23631 IO_BYTE
23632  
23633         m_CNTE
23634  :1;
23635
23636 3883 
23637 IO_BYTE
23638  
23639         m_TRG
23640  :1;
23641
23642 3884 }
23643         mb\99
23644 ;
23645
23646 3885 }
23647         tTMCSRL0STR
23648 ;
23649
23650 3887 
23651 IO_WORD
23652  
23653         mwÜd
23654 ;
23655
23656 3889 
23657 IO_WORD
23658  
23659         m_D15
23660  :1;
23661
23662 3890 
23663 IO_WORD
23664  
23665         m_D14
23666  :1;
23667
23668 3891 
23669 IO_WORD
23670  
23671         m_D13
23672  :1;
23673
23674 3892 
23675 IO_WORD
23676  
23677         m_D12
23678  :1;
23679
23680 3893 
23681 IO_WORD
23682  
23683         m_D11
23684  :1;
23685
23686 3894 
23687 IO_WORD
23688  
23689         m_D10
23690  :1;
23691
23692 3895 
23693 IO_WORD
23694  
23695         m_D9
23696  :1;
23697
23698 3896 
23699 IO_WORD
23700  
23701         m_D8
23702  :1;
23703
23704 3897 
23705 IO_WORD
23706  
23707         m_D7
23708  :1;
23709
23710 3898 
23711 IO_WORD
23712  
23713         m_D6
23714  :1;
23715
23716 3899 
23717 IO_WORD
23718  
23719         m_D5
23720  :1;
23721
23722 3900 
23723 IO_WORD
23724  
23725         m_D4
23726  :1;
23727
23728 3901 
23729 IO_WORD
23730  
23731         m_D3
23732  :1;
23733
23734 3902 
23735 IO_WORD
23736  
23737         m_D2
23738  :1;
23739
23740 3903 
23741 IO_WORD
23742  
23743         m_D1
23744  :1;
23745
23746 3904 
23747 IO_WORD
23748  
23749         m_D0
23750  :1;
23751
23752 3905 }
23753         mb\99
23754 ;
23755
23756 3906 }
23757         tTMRLR1STR
23758 ;
23759
23760 3908 
23761 IO_WORD
23762  
23763         mwÜd
23764 ;
23765
23766 3910 
23767 IO_WORD
23768  
23769         m_D15
23770  :1;
23771
23772 3911 
23773 IO_WORD
23774  
23775         m_D14
23776  :1;
23777
23778 3912 
23779 IO_WORD
23780  
23781         m_D13
23782  :1;
23783
23784 3913 
23785 IO_WORD
23786  
23787         m_D12
23788  :1;
23789
23790 3914 
23791 IO_WORD
23792  
23793         m_D11
23794  :1;
23795
23796 3915 
23797 IO_WORD
23798  
23799         m_D10
23800  :1;
23801
23802 3916 
23803 IO_WORD
23804  
23805         m_D9
23806  :1;
23807
23808 3917 
23809 IO_WORD
23810  
23811         m_D8
23812  :1;
23813
23814 3918 
23815 IO_WORD
23816  
23817         m_D7
23818  :1;
23819
23820 3919 
23821 IO_WORD
23822  
23823         m_D6
23824  :1;
23825
23826 3920 
23827 IO_WORD
23828  
23829         m_D5
23830  :1;
23831
23832 3921 
23833 IO_WORD
23834  
23835         m_D4
23836  :1;
23837
23838 3922 
23839 IO_WORD
23840  
23841         m_D3
23842  :1;
23843
23844 3923 
23845 IO_WORD
23846  
23847         m_D2
23848  :1;
23849
23850 3924 
23851 IO_WORD
23852  
23853         m_D1
23854  :1;
23855
23856 3925 
23857 IO_WORD
23858  
23859         m_D0
23860  :1;
23861
23862 3926 }
23863         mb\99
23864 ;
23865
23866 3927 }
23867         tTMR1STR
23868 ;
23869
23870 3929 
23871 IO_WORD
23872  
23873         mwÜd
23874 ;
23875
23876 3931 
23877         mIO_WORD
23878  :1;
23879
23880 3932 
23881         mIO_WORD
23882  :1;
23883
23884 3933 
23885         mIO_WORD
23886  :1;
23887
23888 3934 
23889 IO_WORD
23890  
23891         m_CSL2
23892  :1;
23893
23894 3935 
23895 IO_WORD
23896  
23897         m_CSL1
23898  :1;
23899
23900 3936 
23901 IO_WORD
23902  
23903         m_CSL0
23904  :1;
23905
23906 3937 
23907 IO_WORD
23908  
23909         m_MOD2
23910  :1;
23911
23912 3938 
23913 IO_WORD
23914  
23915         m_MOD1
23916  :1;
23917
23918 3939 
23919 IO_WORD
23920  
23921         m_MOD0
23922  :1;
23923
23924 3940 
23925         mIO_WORD
23926  :1;
23927
23928 3941 
23929 IO_WORD
23930  
23931         m_OULT
23932  :1;
23933
23934 3942 
23935 IO_WORD
23936  
23937         m_RELD
23938  :1;
23939
23940 3943 
23941 IO_WORD
23942  
23943         m_INTE
23944  :1;
23945
23946 3944 
23947 IO_WORD
23948  
23949         m_UF
23950  :1;
23951
23952 3945 
23953 IO_WORD
23954  
23955         m_CNTE
23956  :1;
23957
23958 3946 
23959 IO_WORD
23960  
23961         m_TRG
23962  :1;
23963
23964 3947 }
23965         mb\99
23966 ;
23967
23968 3949 
23969         mIO_WORD
23970  :1;
23971
23972 3950 
23973         mIO_WORD
23974  :1;
23975
23976 3951 
23977         mIO_WORD
23978  :1;
23979
23980 3952 
23981 IO_WORD
23982  
23983         m_CSL
23984  :3;
23985
23986 3953 
23987 IO_WORD
23988  
23989         m_MOD
23990  :3;
23991
23992 3954 }
23993         mb\99c
23994 ;
23995
23996 3955 }
23997         tTMCSR1STR
23998 ;
23999
24000 3957 
24001 IO_BYTE
24002  
24003         mby\8b
24004 ;
24005
24006 3959 
24007         mIO_BYTE
24008  :1;
24009
24010 3960 
24011         mIO_BYTE
24012  :1;
24013
24014 3961 
24015         mIO_BYTE
24016  :1;
24017
24018 3962 
24019 IO_BYTE
24020  
24021         m_CSL2
24022  :1;
24023
24024 3963 
24025 IO_BYTE
24026  
24027         m_CSL1
24028  :1;
24029
24030 3964 
24031 IO_BYTE
24032  
24033         m_CSL0
24034  :1;
24035
24036 3965 
24037 IO_BYTE
24038  
24039         m_MOD2
24040  :1;
24041
24042 3966 
24043 IO_BYTE
24044  
24045         m_MOD1
24046  :1;
24047
24048 3967 }
24049         mb\99
24050 ;
24051
24052 3969 
24053         mIO_BYTE
24054  :3;
24055
24056 3970 
24057 IO_BYTE
24058  
24059         m_CSL
24060  :3;
24061
24062 3971 }
24063         mb\99c
24064 ;
24065
24066 3972 }
24067         tTMCSRH1STR
24068 ;
24069
24070 3974 
24071 IO_BYTE
24072  
24073         mby\8b
24074 ;
24075
24076 3976 
24077 IO_BYTE
24078  
24079         m_MOD0
24080  :1;
24081
24082 3977 
24083         mIO_BYTE
24084  :1;
24085
24086 3978 
24087 IO_BYTE
24088  
24089         m_OULT
24090  :1;
24091
24092 3979 
24093 IO_BYTE
24094  
24095         m_RELD
24096  :1;
24097
24098 3980 
24099 IO_BYTE
24100  
24101         m_INTE
24102  :1;
24103
24104 3981 
24105 IO_BYTE
24106  
24107         m_UF
24108  :1;
24109
24110 3982 
24111 IO_BYTE
24112  
24113         m_CNTE
24114  :1;
24115
24116 3983 
24117 IO_BYTE
24118  
24119         m_TRG
24120  :1;
24121
24122 3984 }
24123         mb\99
24124 ;
24125
24126 3985 }
24127         tTMCSRL1STR
24128 ;
24129
24130 3987 
24131 IO_WORD
24132  
24133         mwÜd
24134 ;
24135
24136 3989 
24137 IO_WORD
24138  
24139         m_D15
24140  :1;
24141
24142 3990 
24143 IO_WORD
24144  
24145         m_D14
24146  :1;
24147
24148 3991 
24149 IO_WORD
24150  
24151         m_D13
24152  :1;
24153
24154 3992 
24155 IO_WORD
24156  
24157         m_D12
24158  :1;
24159
24160 3993 
24161 IO_WORD
24162  
24163         m_D11
24164  :1;
24165
24166 3994 
24167 IO_WORD
24168  
24169         m_D10
24170  :1;
24171
24172 3995 
24173 IO_WORD
24174  
24175         m_D9
24176  :1;
24177
24178 3996 
24179 IO_WORD
24180  
24181         m_D8
24182  :1;
24183
24184 3997 
24185 IO_WORD
24186  
24187         m_D7
24188  :1;
24189
24190 3998 
24191 IO_WORD
24192  
24193         m_D6
24194  :1;
24195
24196 3999 
24197 IO_WORD
24198  
24199         m_D5
24200  :1;
24201
24202 4000 
24203 IO_WORD
24204  
24205         m_D4
24206  :1;
24207
24208 4001 
24209 IO_WORD
24210  
24211         m_D3
24212  :1;
24213
24214 4002 
24215 IO_WORD
24216  
24217         m_D2
24218  :1;
24219
24220 4003 
24221 IO_WORD
24222  
24223         m_D1
24224  :1;
24225
24226 4004 
24227 IO_WORD
24228  
24229         m_D0
24230  :1;
24231
24232 4005 }
24233         mb\99
24234 ;
24235
24236 4006 }
24237         tTMRLR2STR
24238 ;
24239
24240 4008 
24241 IO_WORD
24242  
24243         mwÜd
24244 ;
24245
24246 4010 
24247 IO_WORD
24248  
24249         m_D15
24250  :1;
24251
24252 4011 
24253 IO_WORD
24254  
24255         m_D14
24256  :1;
24257
24258 4012 
24259 IO_WORD
24260  
24261         m_D13
24262  :1;
24263
24264 4013 
24265 IO_WORD
24266  
24267         m_D12
24268  :1;
24269
24270 4014 
24271 IO_WORD
24272  
24273         m_D11
24274  :1;
24275
24276 4015 
24277 IO_WORD
24278  
24279         m_D10
24280  :1;
24281
24282 4016 
24283 IO_WORD
24284  
24285         m_D9
24286  :1;
24287
24288 4017 
24289 IO_WORD
24290  
24291         m_D8
24292  :1;
24293
24294 4018 
24295 IO_WORD
24296  
24297         m_D7
24298  :1;
24299
24300 4019 
24301 IO_WORD
24302  
24303         m_D6
24304  :1;
24305
24306 4020 
24307 IO_WORD
24308  
24309         m_D5
24310  :1;
24311
24312 4021 
24313 IO_WORD
24314  
24315         m_D4
24316  :1;
24317
24318 4022 
24319 IO_WORD
24320  
24321         m_D3
24322  :1;
24323
24324 4023 
24325 IO_WORD
24326  
24327         m_D2
24328  :1;
24329
24330 4024 
24331 IO_WORD
24332  
24333         m_D1
24334  :1;
24335
24336 4025 
24337 IO_WORD
24338  
24339         m_D0
24340  :1;
24341
24342 4026 }
24343         mb\99
24344 ;
24345
24346 4027 }
24347         tTMR2STR
24348 ;
24349
24350 4029 
24351 IO_WORD
24352  
24353         mwÜd
24354 ;
24355
24356 4031 
24357         mIO_WORD
24358  :1;
24359
24360 4032 
24361         mIO_WORD
24362  :1;
24363
24364 4033 
24365         mIO_WORD
24366  :1;
24367
24368 4034 
24369 IO_WORD
24370  
24371         m_CSL2
24372  :1;
24373
24374 4035 
24375 IO_WORD
24376  
24377         m_CSL1
24378  :1;
24379
24380 4036 
24381 IO_WORD
24382  
24383         m_CSL0
24384  :1;
24385
24386 4037 
24387 IO_WORD
24388  
24389         m_MOD2
24390  :1;
24391
24392 4038 
24393 IO_WORD
24394  
24395         m_MOD1
24396  :1;
24397
24398 4039 
24399 IO_WORD
24400  
24401         m_MOD0
24402  :1;
24403
24404 4040 
24405         mIO_WORD
24406  :1;
24407
24408 4041 
24409 IO_WORD
24410  
24411         m_OULT
24412  :1;
24413
24414 4042 
24415 IO_WORD
24416  
24417         m_RELD
24418  :1;
24419
24420 4043 
24421 IO_WORD
24422  
24423         m_INTE
24424  :1;
24425
24426 4044 
24427 IO_WORD
24428  
24429         m_UF
24430  :1;
24431
24432 4045 
24433 IO_WORD
24434  
24435         m_CNTE
24436  :1;
24437
24438 4046 
24439 IO_WORD
24440  
24441         m_TRG
24442  :1;
24443
24444 4047 }
24445         mb\99
24446 ;
24447
24448 4049 
24449         mIO_WORD
24450  :1;
24451
24452 4050 
24453         mIO_WORD
24454  :1;
24455
24456 4051 
24457         mIO_WORD
24458  :1;
24459
24460 4052 
24461 IO_WORD
24462  
24463         m_CSL
24464  :3;
24465
24466 4053 
24467 IO_WORD
24468  
24469         m_MOD
24470  :3;
24471
24472 4054 }
24473         mb\99c
24474 ;
24475
24476 4055 }
24477         tTMCSR2STR
24478 ;
24479
24480 4057 
24481 IO_BYTE
24482  
24483         mby\8b
24484 ;
24485
24486 4059 
24487         mIO_BYTE
24488  :1;
24489
24490 4060 
24491         mIO_BYTE
24492  :1;
24493
24494 4061 
24495         mIO_BYTE
24496  :1;
24497
24498 4062 
24499 IO_BYTE
24500  
24501         m_CSL2
24502  :1;
24503
24504 4063 
24505 IO_BYTE
24506  
24507         m_CSL1
24508  :1;
24509
24510 4064 
24511 IO_BYTE
24512  
24513         m_CSL0
24514  :1;
24515
24516 4065 
24517 IO_BYTE
24518  
24519         m_MOD2
24520  :1;
24521
24522 4066 
24523 IO_BYTE
24524  
24525         m_MOD1
24526  :1;
24527
24528 4067 }
24529         mb\99
24530 ;
24531
24532 4069 
24533         mIO_BYTE
24534  :3;
24535
24536 4070 
24537 IO_BYTE
24538  
24539         m_CSL
24540  :3;
24541
24542 4071 }
24543         mb\99c
24544 ;
24545
24546 4072 }
24547         tTMCSRH2STR
24548 ;
24549
24550 4074 
24551 IO_BYTE
24552  
24553         mby\8b
24554 ;
24555
24556 4076 
24557 IO_BYTE
24558  
24559         m_MOD0
24560  :1;
24561
24562 4077 
24563         mIO_BYTE
24564  :1;
24565
24566 4078 
24567 IO_BYTE
24568  
24569         m_OULT
24570  :1;
24571
24572 4079 
24573 IO_BYTE
24574  
24575         m_RELD
24576  :1;
24577
24578 4080 
24579 IO_BYTE
24580  
24581         m_INTE
24582  :1;
24583
24584 4081 
24585 IO_BYTE
24586  
24587         m_UF
24588  :1;
24589
24590 4082 
24591 IO_BYTE
24592  
24593         m_CNTE
24594  :1;
24595
24596 4083 
24597 IO_BYTE
24598  
24599         m_TRG
24600  :1;
24601
24602 4084 }
24603         mb\99
24604 ;
24605
24606 4085 }
24607         tTMCSRL2STR
24608 ;
24609
24610 4087 
24611 IO_WORD
24612  
24613         mwÜd
24614 ;
24615
24616 4089 
24617 IO_WORD
24618  
24619         m_D15
24620  :1;
24621
24622 4090 
24623 IO_WORD
24624  
24625         m_D14
24626  :1;
24627
24628 4091 
24629 IO_WORD
24630  
24631         m_D13
24632  :1;
24633
24634 4092 
24635 IO_WORD
24636  
24637         m_D12
24638  :1;
24639
24640 4093 
24641 IO_WORD
24642  
24643         m_D11
24644  :1;
24645
24646 4094 
24647 IO_WORD
24648  
24649         m_D10
24650  :1;
24651
24652 4095 
24653 IO_WORD
24654  
24655         m_D9
24656  :1;
24657
24658 4096 
24659 IO_WORD
24660  
24661         m_D8
24662  :1;
24663
24664 4097 
24665 IO_WORD
24666  
24667         m_D7
24668  :1;
24669
24670 4098 
24671 IO_WORD
24672  
24673         m_D6
24674  :1;
24675
24676 4099 
24677 IO_WORD
24678  
24679         m_D5
24680  :1;
24681
24682 4100 
24683 IO_WORD
24684  
24685         m_D4
24686  :1;
24687
24688 4101 
24689 IO_WORD
24690  
24691         m_D3
24692  :1;
24693
24694 4102 
24695 IO_WORD
24696  
24697         m_D2
24698  :1;
24699
24700 4103 
24701 IO_WORD
24702  
24703         m_D1
24704  :1;
24705
24706 4104 
24707 IO_WORD
24708  
24709         m_D0
24710  :1;
24711
24712 4105 }
24713         mb\99
24714 ;
24715
24716 4106 }
24717         tTMRLR3STR
24718 ;
24719
24720 4108 
24721 IO_WORD
24722  
24723         mwÜd
24724 ;
24725
24726 4110 
24727 IO_WORD
24728  
24729         m_D15
24730  :1;
24731
24732 4111 
24733 IO_WORD
24734  
24735         m_D14
24736  :1;
24737
24738 4112 
24739 IO_WORD
24740  
24741         m_D13
24742  :1;
24743
24744 4113 
24745 IO_WORD
24746  
24747         m_D12
24748  :1;
24749
24750 4114 
24751 IO_WORD
24752  
24753         m_D11
24754  :1;
24755
24756 4115 
24757 IO_WORD
24758  
24759         m_D10
24760  :1;
24761
24762 4116 
24763 IO_WORD
24764  
24765         m_D9
24766  :1;
24767
24768 4117 
24769 IO_WORD
24770  
24771         m_D8
24772  :1;
24773
24774 4118 
24775 IO_WORD
24776  
24777         m_D7
24778  :1;
24779
24780 4119 
24781 IO_WORD
24782  
24783         m_D6
24784  :1;
24785
24786 4120 
24787 IO_WORD
24788  
24789         m_D5
24790  :1;
24791
24792 4121 
24793 IO_WORD
24794  
24795         m_D4
24796  :1;
24797
24798 4122 
24799 IO_WORD
24800  
24801         m_D3
24802  :1;
24803
24804 4123 
24805 IO_WORD
24806  
24807         m_D2
24808  :1;
24809
24810 4124 
24811 IO_WORD
24812  
24813         m_D1
24814  :1;
24815
24816 4125 
24817 IO_WORD
24818  
24819         m_D0
24820  :1;
24821
24822 4126 }
24823         mb\99
24824 ;
24825
24826 4127 }
24827         tTMR3STR
24828 ;
24829
24830 4129 
24831 IO_WORD
24832  
24833         mwÜd
24834 ;
24835
24836 4131 
24837         mIO_WORD
24838  :1;
24839
24840 4132 
24841         mIO_WORD
24842  :1;
24843
24844 4133 
24845         mIO_WORD
24846  :1;
24847
24848 4134 
24849 IO_WORD
24850  
24851         m_CSL2
24852  :1;
24853
24854 4135 
24855 IO_WORD
24856  
24857         m_CSL1
24858  :1;
24859
24860 4136 
24861 IO_WORD
24862  
24863         m_CSL0
24864  :1;
24865
24866 4137 
24867 IO_WORD
24868  
24869         m_MOD2
24870  :1;
24871
24872 4138 
24873 IO_WORD
24874  
24875         m_MOD1
24876  :1;
24877
24878 4139 
24879 IO_WORD
24880  
24881         m_MOD0
24882  :1;
24883
24884 4140 
24885         mIO_WORD
24886  :1;
24887
24888 4141 
24889 IO_WORD
24890  
24891         m_OULT
24892  :1;
24893
24894 4142 
24895 IO_WORD
24896  
24897         m_RELD
24898  :1;
24899
24900 4143 
24901 IO_WORD
24902  
24903         m_INTE
24904  :1;
24905
24906 4144 
24907 IO_WORD
24908  
24909         m_UF
24910  :1;
24911
24912 4145 
24913 IO_WORD
24914  
24915         m_CNTE
24916  :1;
24917
24918 4146 
24919 IO_WORD
24920  
24921         m_TRG
24922  :1;
24923
24924 4147 }
24925         mb\99
24926 ;
24927
24928 4149 
24929         mIO_WORD
24930  :1;
24931
24932 4150 
24933         mIO_WORD
24934  :1;
24935
24936 4151 
24937         mIO_WORD
24938  :1;
24939
24940 4152 
24941 IO_WORD
24942  
24943         m_CSL
24944  :3;
24945
24946 4153 
24947 IO_WORD
24948  
24949         m_MOD
24950  :3;
24951
24952 4154 }
24953         mb\99c
24954 ;
24955
24956 4155 }
24957         tTMCSR3STR
24958 ;
24959
24960 4157 
24961 IO_BYTE
24962  
24963         mby\8b
24964 ;
24965
24966 4159 
24967         mIO_BYTE
24968  :1;
24969
24970 4160 
24971         mIO_BYTE
24972  :1;
24973
24974 4161 
24975         mIO_BYTE
24976  :1;
24977
24978 4162 
24979 IO_BYTE
24980  
24981         m_CSL2
24982  :1;
24983
24984 4163 
24985 IO_BYTE
24986  
24987         m_CSL1
24988  :1;
24989
24990 4164 
24991 IO_BYTE
24992  
24993         m_CSL0
24994  :1;
24995
24996 4165 
24997 IO_BYTE
24998  
24999         m_MOD2
25000  :1;
25001
25002 4166 
25003 IO_BYTE
25004  
25005         m_MOD1
25006  :1;
25007
25008 4167 }
25009         mb\99
25010 ;
25011
25012 4169 
25013         mIO_BYTE
25014  :3;
25015
25016 4170 
25017 IO_BYTE
25018  
25019         m_CSL
25020  :3;
25021
25022 4171 }
25023         mb\99c
25024 ;
25025
25026 4172 }
25027         tTMCSRH3STR
25028 ;
25029
25030 4174 
25031 IO_BYTE
25032  
25033         mby\8b
25034 ;
25035
25036 4176 
25037 IO_BYTE
25038  
25039         m_MOD0
25040  :1;
25041
25042 4177 
25043         mIO_BYTE
25044  :1;
25045
25046 4178 
25047 IO_BYTE
25048  
25049         m_OULT
25050  :1;
25051
25052 4179 
25053 IO_BYTE
25054  
25055         m_RELD
25056  :1;
25057
25058 4180 
25059 IO_BYTE
25060  
25061         m_INTE
25062  :1;
25063
25064 4181 
25065 IO_BYTE
25066  
25067         m_UF
25068  :1;
25069
25070 4182 
25071 IO_BYTE
25072  
25073         m_CNTE
25074  :1;
25075
25076 4183 
25077 IO_BYTE
25078  
25079         m_TRG
25080  :1;
25081
25082 4184 }
25083         mb\99
25084 ;
25085
25086 4185 }
25087         tTMCSRL3STR
25088 ;
25089
25090 4187 
25091 IO_WORD
25092  
25093         mwÜd
25094 ;
25095
25096 4189 
25097 IO_WORD
25098  
25099         m_D15
25100  :1;
25101
25102 4190 
25103 IO_WORD
25104  
25105         m_D14
25106  :1;
25107
25108 4191 
25109 IO_WORD
25110  
25111         m_D13
25112  :1;
25113
25114 4192 
25115 IO_WORD
25116  
25117         m_D12
25118  :1;
25119
25120 4193 
25121 IO_WORD
25122  
25123         m_D11
25124  :1;
25125
25126 4194 
25127 IO_WORD
25128  
25129         m_D10
25130  :1;
25131
25132 4195 
25133 IO_WORD
25134  
25135         m_D9
25136  :1;
25137
25138 4196 
25139 IO_WORD
25140  
25141         m_D8
25142  :1;
25143
25144 4197 
25145 IO_WORD
25146  
25147         m_D7
25148  :1;
25149
25150 4198 
25151 IO_WORD
25152  
25153         m_D6
25154  :1;
25155
25156 4199 
25157 IO_WORD
25158  
25159         m_D5
25160  :1;
25161
25162 4200 
25163 IO_WORD
25164  
25165         m_D4
25166  :1;
25167
25168 4201 
25169 IO_WORD
25170  
25171         m_D3
25172  :1;
25173
25174 4202 
25175 IO_WORD
25176  
25177         m_D2
25178  :1;
25179
25180 4203 
25181 IO_WORD
25182  
25183         m_D1
25184  :1;
25185
25186 4204 
25187 IO_WORD
25188  
25189         m_D0
25190  :1;
25191
25192 4205 }
25193         mb\99
25194 ;
25195
25196 4206 }
25197         tTMRLR4STR
25198 ;
25199
25200 4208 
25201 IO_WORD
25202  
25203         mwÜd
25204 ;
25205
25206 4210 
25207 IO_WORD
25208  
25209         m_D15
25210  :1;
25211
25212 4211 
25213 IO_WORD
25214  
25215         m_D14
25216  :1;
25217
25218 4212 
25219 IO_WORD
25220  
25221         m_D13
25222  :1;
25223
25224 4213 
25225 IO_WORD
25226  
25227         m_D12
25228  :1;
25229
25230 4214 
25231 IO_WORD
25232  
25233         m_D11
25234  :1;
25235
25236 4215 
25237 IO_WORD
25238  
25239         m_D10
25240  :1;
25241
25242 4216 
25243 IO_WORD
25244  
25245         m_D9
25246  :1;
25247
25248 4217 
25249 IO_WORD
25250  
25251         m_D8
25252  :1;
25253
25254 4218 
25255 IO_WORD
25256  
25257         m_D7
25258  :1;
25259
25260 4219 
25261 IO_WORD
25262  
25263         m_D6
25264  :1;
25265
25266 4220 
25267 IO_WORD
25268  
25269         m_D5
25270  :1;
25271
25272 4221 
25273 IO_WORD
25274  
25275         m_D4
25276  :1;
25277
25278 4222 
25279 IO_WORD
25280  
25281         m_D3
25282  :1;
25283
25284 4223 
25285 IO_WORD
25286  
25287         m_D2
25288  :1;
25289
25290 4224 
25291 IO_WORD
25292  
25293         m_D1
25294  :1;
25295
25296 4225 
25297 IO_WORD
25298  
25299         m_D0
25300  :1;
25301
25302 4226 }
25303         mb\99
25304 ;
25305
25306 4227 }
25307         tTMR4STR
25308 ;
25309
25310 4229 
25311 IO_WORD
25312  
25313         mwÜd
25314 ;
25315
25316 4231 
25317         mIO_WORD
25318  :1;
25319
25320 4232 
25321         mIO_WORD
25322  :1;
25323
25324 4233 
25325         mIO_WORD
25326  :1;
25327
25328 4234 
25329 IO_WORD
25330  
25331         m_CSL2
25332  :1;
25333
25334 4235 
25335 IO_WORD
25336  
25337         m_CSL1
25338  :1;
25339
25340 4236 
25341 IO_WORD
25342  
25343         m_CSL0
25344  :1;
25345
25346 4237 
25347 IO_WORD
25348  
25349         m_MOD2
25350  :1;
25351
25352 4238 
25353 IO_WORD
25354  
25355         m_MOD1
25356  :1;
25357
25358 4239 
25359 IO_WORD
25360  
25361         m_MOD0
25362  :1;
25363
25364 4240 
25365         mIO_WORD
25366  :1;
25367
25368 4241 
25369 IO_WORD
25370  
25371         m_OULT
25372  :1;
25373
25374 4242 
25375 IO_WORD
25376  
25377         m_RELD
25378  :1;
25379
25380 4243 
25381 IO_WORD
25382  
25383         m_INTE
25384  :1;
25385
25386 4244 
25387 IO_WORD
25388  
25389         m_UF
25390  :1;
25391
25392 4245 
25393 IO_WORD
25394  
25395         m_CNTE
25396  :1;
25397
25398 4246 
25399 IO_WORD
25400  
25401         m_TRG
25402  :1;
25403
25404 4247 }
25405         mb\99
25406 ;
25407
25408 4249 
25409         mIO_WORD
25410  :1;
25411
25412 4250 
25413         mIO_WORD
25414  :1;
25415
25416 4251 
25417         mIO_WORD
25418  :1;
25419
25420 4252 
25421 IO_WORD
25422  
25423         m_CSL
25424  :3;
25425
25426 4253 
25427 IO_WORD
25428  
25429         m_MOD
25430  :3;
25431
25432 4254 }
25433         mb\99c
25434 ;
25435
25436 4255 }
25437         tTMCSR4STR
25438 ;
25439
25440 4257 
25441 IO_BYTE
25442  
25443         mby\8b
25444 ;
25445
25446 4259 
25447         mIO_BYTE
25448  :1;
25449
25450 4260 
25451         mIO_BYTE
25452  :1;
25453
25454 4261 
25455         mIO_BYTE
25456  :1;
25457
25458 4262 
25459 IO_BYTE
25460  
25461         m_CSL2
25462  :1;
25463
25464 4263 
25465 IO_BYTE
25466  
25467         m_CSL1
25468  :1;
25469
25470 4264 
25471 IO_BYTE
25472  
25473         m_CSL0
25474  :1;
25475
25476 4265 
25477 IO_BYTE
25478  
25479         m_MOD2
25480  :1;
25481
25482 4266 
25483 IO_BYTE
25484  
25485         m_MOD1
25486  :1;
25487
25488 4267 }
25489         mb\99
25490 ;
25491
25492 4269 
25493         mIO_BYTE
25494  :3;
25495
25496 4270 
25497 IO_BYTE
25498  
25499         m_CSL
25500  :3;
25501
25502 4271 }
25503         mb\99c
25504 ;
25505
25506 4272 }
25507         tTMCSRH4STR
25508 ;
25509
25510 4274 
25511 IO_BYTE
25512  
25513         mby\8b
25514 ;
25515
25516 4276 
25517 IO_BYTE
25518  
25519         m_MOD0
25520  :1;
25521
25522 4277 
25523         mIO_BYTE
25524  :1;
25525
25526 4278 
25527 IO_BYTE
25528  
25529         m_OULT
25530  :1;
25531
25532 4279 
25533 IO_BYTE
25534  
25535         m_RELD
25536  :1;
25537
25538 4280 
25539 IO_BYTE
25540  
25541         m_INTE
25542  :1;
25543
25544 4281 
25545 IO_BYTE
25546  
25547         m_UF
25548  :1;
25549
25550 4282 
25551 IO_BYTE
25552  
25553         m_CNTE
25554  :1;
25555
25556 4283 
25557 IO_BYTE
25558  
25559         m_TRG
25560  :1;
25561
25562 4284 }
25563         mb\99
25564 ;
25565
25566 4285 }
25567         tTMCSRL4STR
25568 ;
25569
25570 4287 
25571 IO_WORD
25572  
25573         mwÜd
25574 ;
25575
25576 4289 
25577 IO_WORD
25578  
25579         m_D15
25580  :1;
25581
25582 4290 
25583 IO_WORD
25584  
25585         m_D14
25586  :1;
25587
25588 4291 
25589 IO_WORD
25590  
25591         m_D13
25592  :1;
25593
25594 4292 
25595 IO_WORD
25596  
25597         m_D12
25598  :1;
25599
25600 4293 
25601 IO_WORD
25602  
25603         m_D11
25604  :1;
25605
25606 4294 
25607 IO_WORD
25608  
25609         m_D10
25610  :1;
25611
25612 4295 
25613 IO_WORD
25614  
25615         m_D9
25616  :1;
25617
25618 4296 
25619 IO_WORD
25620  
25621         m_D8
25622  :1;
25623
25624 4297 
25625 IO_WORD
25626  
25627         m_D7
25628  :1;
25629
25630 4298 
25631 IO_WORD
25632  
25633         m_D6
25634  :1;
25635
25636 4299 
25637 IO_WORD
25638  
25639         m_D5
25640  :1;
25641
25642 4300 
25643 IO_WORD
25644  
25645         m_D4
25646  :1;
25647
25648 4301 
25649 IO_WORD
25650  
25651         m_D3
25652  :1;
25653
25654 4302 
25655 IO_WORD
25656  
25657         m_D2
25658  :1;
25659
25660 4303 
25661 IO_WORD
25662  
25663         m_D1
25664  :1;
25665
25666 4304 
25667 IO_WORD
25668  
25669         m_D0
25670  :1;
25671
25672 4305 }
25673         mb\99
25674 ;
25675
25676 4306 }
25677         tTMRLR5STR
25678 ;
25679
25680 4308 
25681 IO_WORD
25682  
25683         mwÜd
25684 ;
25685
25686 4310 
25687 IO_WORD
25688  
25689         m_D15
25690  :1;
25691
25692 4311 
25693 IO_WORD
25694  
25695         m_D14
25696  :1;
25697
25698 4312 
25699 IO_WORD
25700  
25701         m_D13
25702  :1;
25703
25704 4313 
25705 IO_WORD
25706  
25707         m_D12
25708  :1;
25709
25710 4314 
25711 IO_WORD
25712  
25713         m_D11
25714  :1;
25715
25716 4315 
25717 IO_WORD
25718  
25719         m_D10
25720  :1;
25721
25722 4316 
25723 IO_WORD
25724  
25725         m_D9
25726  :1;
25727
25728 4317 
25729 IO_WORD
25730  
25731         m_D8
25732  :1;
25733
25734 4318 
25735 IO_WORD
25736  
25737         m_D7
25738  :1;
25739
25740 4319 
25741 IO_WORD
25742  
25743         m_D6
25744  :1;
25745
25746 4320 
25747 IO_WORD
25748  
25749         m_D5
25750  :1;
25751
25752 4321 
25753 IO_WORD
25754  
25755         m_D4
25756  :1;
25757
25758 4322 
25759 IO_WORD
25760  
25761         m_D3
25762  :1;
25763
25764 4323 
25765 IO_WORD
25766  
25767         m_D2
25768  :1;
25769
25770 4324 
25771 IO_WORD
25772  
25773         m_D1
25774  :1;
25775
25776 4325 
25777 IO_WORD
25778  
25779         m_D0
25780  :1;
25781
25782 4326 }
25783         mb\99
25784 ;
25785
25786 4327 }
25787         tTMR5STR
25788 ;
25789
25790 4329 
25791 IO_WORD
25792  
25793         mwÜd
25794 ;
25795
25796 4331 
25797         mIO_WORD
25798  :1;
25799
25800 4332 
25801         mIO_WORD
25802  :1;
25803
25804 4333 
25805         mIO_WORD
25806  :1;
25807
25808 4334 
25809 IO_WORD
25810  
25811         m_CSL2
25812  :1;
25813
25814 4335 
25815 IO_WORD
25816  
25817         m_CSL1
25818  :1;
25819
25820 4336 
25821 IO_WORD
25822  
25823         m_CSL0
25824  :1;
25825
25826 4337 
25827 IO_WORD
25828  
25829         m_MOD2
25830  :1;
25831
25832 4338 
25833 IO_WORD
25834  
25835         m_MOD1
25836  :1;
25837
25838 4339 
25839 IO_WORD
25840  
25841         m_MOD0
25842  :1;
25843
25844 4340 
25845         mIO_WORD
25846  :1;
25847
25848 4341 
25849 IO_WORD
25850  
25851         m_OULT
25852  :1;
25853
25854 4342 
25855 IO_WORD
25856  
25857         m_RELD
25858  :1;
25859
25860 4343 
25861 IO_WORD
25862  
25863         m_INTE
25864  :1;
25865
25866 4344 
25867 IO_WORD
25868  
25869         m_UF
25870  :1;
25871
25872 4345 
25873 IO_WORD
25874  
25875         m_CNTE
25876  :1;
25877
25878 4346 
25879 IO_WORD
25880  
25881         m_TRG
25882  :1;
25883
25884 4347 }
25885         mb\99
25886 ;
25887
25888 4349 
25889         mIO_WORD
25890  :1;
25891
25892 4350 
25893         mIO_WORD
25894  :1;
25895
25896 4351 
25897         mIO_WORD
25898  :1;
25899
25900 4352 
25901 IO_WORD
25902  
25903         m_CSL
25904  :3;
25905
25906 4353 
25907 IO_WORD
25908  
25909         m_MOD
25910  :3;
25911
25912 4354 }
25913         mb\99c
25914 ;
25915
25916 4355 }
25917         tTMCSR5STR
25918 ;
25919
25920 4357 
25921 IO_BYTE
25922  
25923         mby\8b
25924 ;
25925
25926 4359 
25927         mIO_BYTE
25928  :1;
25929
25930 4360 
25931         mIO_BYTE
25932  :1;
25933
25934 4361 
25935         mIO_BYTE
25936  :1;
25937
25938 4362 
25939 IO_BYTE
25940  
25941         m_CSL2
25942  :1;
25943
25944 4363 
25945 IO_BYTE
25946  
25947         m_CSL1
25948  :1;
25949
25950 4364 
25951 IO_BYTE
25952  
25953         m_CSL0
25954  :1;
25955
25956 4365 
25957 IO_BYTE
25958  
25959         m_MOD2
25960  :1;
25961
25962 4366 
25963 IO_BYTE
25964  
25965         m_MOD1
25966  :1;
25967
25968 4367 }
25969         mb\99
25970 ;
25971
25972 4369 
25973         mIO_BYTE
25974  :3;
25975
25976 4370 
25977 IO_BYTE
25978  
25979         m_CSL
25980  :3;
25981
25982 4371 }
25983         mb\99c
25984 ;
25985
25986 4372 }
25987         tTMCSRH5STR
25988 ;
25989
25990 4374 
25991 IO_BYTE
25992  
25993         mby\8b
25994 ;
25995
25996 4376 
25997 IO_BYTE
25998  
25999         m_MOD0
26000  :1;
26001
26002 4377 
26003         mIO_BYTE
26004  :1;
26005
26006 4378 
26007 IO_BYTE
26008  
26009         m_OULT
26010  :1;
26011
26012 4379 
26013 IO_BYTE
26014  
26015         m_RELD
26016  :1;
26017
26018 4380 
26019 IO_BYTE
26020  
26021         m_INTE
26022  :1;
26023
26024 4381 
26025 IO_BYTE
26026  
26027         m_UF
26028  :1;
26029
26030 4382 
26031 IO_BYTE
26032  
26033         m_CNTE
26034  :1;
26035
26036 4383 
26037 IO_BYTE
26038  
26039         m_TRG
26040  :1;
26041
26042 4384 }
26043         mb\99
26044 ;
26045
26046 4385 }
26047         tTMCSRL5STR
26048 ;
26049
26050 4387 
26051 IO_WORD
26052  
26053         mwÜd
26054 ;
26055
26056 4389 
26057 IO_WORD
26058  
26059         m_D15
26060  :1;
26061
26062 4390 
26063 IO_WORD
26064  
26065         m_D14
26066  :1;
26067
26068 4391 
26069 IO_WORD
26070  
26071         m_D13
26072  :1;
26073
26074 4392 
26075 IO_WORD
26076  
26077         m_D12
26078  :1;
26079
26080 4393 
26081 IO_WORD
26082  
26083         m_D11
26084  :1;
26085
26086 4394 
26087 IO_WORD
26088  
26089         m_D10
26090  :1;
26091
26092 4395 
26093 IO_WORD
26094  
26095         m_D9
26096  :1;
26097
26098 4396 
26099 IO_WORD
26100  
26101         m_D8
26102  :1;
26103
26104 4397 
26105 IO_WORD
26106  
26107         m_D7
26108  :1;
26109
26110 4398 
26111 IO_WORD
26112  
26113         m_D6
26114  :1;
26115
26116 4399 
26117 IO_WORD
26118  
26119         m_D5
26120  :1;
26121
26122 4400 
26123 IO_WORD
26124  
26125         m_D4
26126  :1;
26127
26128 4401 
26129 IO_WORD
26130  
26131         m_D3
26132  :1;
26133
26134 4402 
26135 IO_WORD
26136  
26137         m_D2
26138  :1;
26139
26140 4403 
26141 IO_WORD
26142  
26143         m_D1
26144  :1;
26145
26146 4404 
26147 IO_WORD
26148  
26149         m_D0
26150  :1;
26151
26152 4405 }
26153         mb\99
26154 ;
26155
26156 4406 }
26157         tTMRLR6STR
26158 ;
26159
26160 4408 
26161 IO_WORD
26162  
26163         mwÜd
26164 ;
26165
26166 4410 
26167 IO_WORD
26168  
26169         m_D15
26170  :1;
26171
26172 4411 
26173 IO_WORD
26174  
26175         m_D14
26176  :1;
26177
26178 4412 
26179 IO_WORD
26180  
26181         m_D13
26182  :1;
26183
26184 4413 
26185 IO_WORD
26186  
26187         m_D12
26188  :1;
26189
26190 4414 
26191 IO_WORD
26192  
26193         m_D11
26194  :1;
26195
26196 4415 
26197 IO_WORD
26198  
26199         m_D10
26200  :1;
26201
26202 4416 
26203 IO_WORD
26204  
26205         m_D9
26206  :1;
26207
26208 4417 
26209 IO_WORD
26210  
26211         m_D8
26212  :1;
26213
26214 4418 
26215 IO_WORD
26216  
26217         m_D7
26218  :1;
26219
26220 4419 
26221 IO_WORD
26222  
26223         m_D6
26224  :1;
26225
26226 4420 
26227 IO_WORD
26228  
26229         m_D5
26230  :1;
26231
26232 4421 
26233 IO_WORD
26234  
26235         m_D4
26236  :1;
26237
26238 4422 
26239 IO_WORD
26240  
26241         m_D3
26242  :1;
26243
26244 4423 
26245 IO_WORD
26246  
26247         m_D2
26248  :1;
26249
26250 4424 
26251 IO_WORD
26252  
26253         m_D1
26254  :1;
26255
26256 4425 
26257 IO_WORD
26258  
26259         m_D0
26260  :1;
26261
26262 4426 }
26263         mb\99
26264 ;
26265
26266 4427 }
26267         tTMR6STR
26268 ;
26269
26270 4429 
26271 IO_WORD
26272  
26273         mwÜd
26274 ;
26275
26276 4431 
26277         mIO_WORD
26278  :1;
26279
26280 4432 
26281         mIO_WORD
26282  :1;
26283
26284 4433 
26285         mIO_WORD
26286  :1;
26287
26288 4434 
26289 IO_WORD
26290  
26291         m_CSL2
26292  :1;
26293
26294 4435 
26295 IO_WORD
26296  
26297         m_CSL1
26298  :1;
26299
26300 4436 
26301 IO_WORD
26302  
26303         m_CSL0
26304  :1;
26305
26306 4437 
26307 IO_WORD
26308  
26309         m_MOD2
26310  :1;
26311
26312 4438 
26313 IO_WORD
26314  
26315         m_MOD1
26316  :1;
26317
26318 4439 
26319 IO_WORD
26320  
26321         m_MOD0
26322  :1;
26323
26324 4440 
26325         mIO_WORD
26326  :1;
26327
26328 4441 
26329 IO_WORD
26330  
26331         m_OULT
26332  :1;
26333
26334 4442 
26335 IO_WORD
26336  
26337         m_RELD
26338  :1;
26339
26340 4443 
26341 IO_WORD
26342  
26343         m_INTE
26344  :1;
26345
26346 4444 
26347 IO_WORD
26348  
26349         m_UF
26350  :1;
26351
26352 4445 
26353 IO_WORD
26354  
26355         m_CNTE
26356  :1;
26357
26358 4446 
26359 IO_WORD
26360  
26361         m_TRG
26362  :1;
26363
26364 4447 }
26365         mb\99
26366 ;
26367
26368 4449 
26369         mIO_WORD
26370  :1;
26371
26372 4450 
26373         mIO_WORD
26374  :1;
26375
26376 4451 
26377         mIO_WORD
26378  :1;
26379
26380 4452 
26381 IO_WORD
26382  
26383         m_CSL
26384  :3;
26385
26386 4453 
26387 IO_WORD
26388  
26389         m_MOD
26390  :3;
26391
26392 4454 }
26393         mb\99c
26394 ;
26395
26396 4455 }
26397         tTMCSR6STR
26398 ;
26399
26400 4457 
26401 IO_BYTE
26402  
26403         mby\8b
26404 ;
26405
26406 4459 
26407         mIO_BYTE
26408  :1;
26409
26410 4460 
26411         mIO_BYTE
26412  :1;
26413
26414 4461 
26415         mIO_BYTE
26416  :1;
26417
26418 4462 
26419 IO_BYTE
26420  
26421         m_CSL2
26422  :1;
26423
26424 4463 
26425 IO_BYTE
26426  
26427         m_CSL1
26428  :1;
26429
26430 4464 
26431 IO_BYTE
26432  
26433         m_CSL0
26434  :1;
26435
26436 4465 
26437 IO_BYTE
26438  
26439         m_MOD2
26440  :1;
26441
26442 4466 
26443 IO_BYTE
26444  
26445         m_MOD1
26446  :1;
26447
26448 4467 }
26449         mb\99
26450 ;
26451
26452 4469 
26453         mIO_BYTE
26454  :3;
26455
26456 4470 
26457 IO_BYTE
26458  
26459         m_CSL
26460  :3;
26461
26462 4471 }
26463         mb\99c
26464 ;
26465
26466 4472 }
26467         tTMCSRH6STR
26468 ;
26469
26470 4474 
26471 IO_BYTE
26472  
26473         mby\8b
26474 ;
26475
26476 4476 
26477 IO_BYTE
26478  
26479         m_MOD0
26480  :1;
26481
26482 4477 
26483         mIO_BYTE
26484  :1;
26485
26486 4478 
26487 IO_BYTE
26488  
26489         m_OULT
26490  :1;
26491
26492 4479 
26493 IO_BYTE
26494  
26495         m_RELD
26496  :1;
26497
26498 4480 
26499 IO_BYTE
26500  
26501         m_INTE
26502  :1;
26503
26504 4481 
26505 IO_BYTE
26506  
26507         m_UF
26508  :1;
26509
26510 4482 
26511 IO_BYTE
26512  
26513         m_CNTE
26514  :1;
26515
26516 4483 
26517 IO_BYTE
26518  
26519         m_TRG
26520  :1;
26521
26522 4484 }
26523         mb\99
26524 ;
26525
26526 4485 }
26527         tTMCSRL6STR
26528 ;
26529
26530 4487 
26531 IO_WORD
26532  
26533         mwÜd
26534 ;
26535
26536 4489 
26537 IO_WORD
26538  
26539         m_D15
26540  :1;
26541
26542 4490 
26543 IO_WORD
26544  
26545         m_D14
26546  :1;
26547
26548 4491 
26549 IO_WORD
26550  
26551         m_D13
26552  :1;
26553
26554 4492 
26555 IO_WORD
26556  
26557         m_D12
26558  :1;
26559
26560 4493 
26561 IO_WORD
26562  
26563         m_D11
26564  :1;
26565
26566 4494 
26567 IO_WORD
26568  
26569         m_D10
26570  :1;
26571
26572 4495 
26573 IO_WORD
26574  
26575         m_D9
26576  :1;
26577
26578 4496 
26579 IO_WORD
26580  
26581         m_D8
26582  :1;
26583
26584 4497 
26585 IO_WORD
26586  
26587         m_D7
26588  :1;
26589
26590 4498 
26591 IO_WORD
26592  
26593         m_D6
26594  :1;
26595
26596 4499 
26597 IO_WORD
26598  
26599         m_D5
26600  :1;
26601
26602 4500 
26603 IO_WORD
26604  
26605         m_D4
26606  :1;
26607
26608 4501 
26609 IO_WORD
26610  
26611         m_D3
26612  :1;
26613
26614 4502 
26615 IO_WORD
26616  
26617         m_D2
26618  :1;
26619
26620 4503 
26621 IO_WORD
26622  
26623         m_D1
26624  :1;
26625
26626 4504 
26627 IO_WORD
26628  
26629         m_D0
26630  :1;
26631
26632 4505 }
26633         mb\99
26634 ;
26635
26636 4506 }
26637         tTMRLR7STR
26638 ;
26639
26640 4508 
26641 IO_WORD
26642  
26643         mwÜd
26644 ;
26645
26646 4510 
26647 IO_WORD
26648  
26649         m_D15
26650  :1;
26651
26652 4511 
26653 IO_WORD
26654  
26655         m_D14
26656  :1;
26657
26658 4512 
26659 IO_WORD
26660  
26661         m_D13
26662  :1;
26663
26664 4513 
26665 IO_WORD
26666  
26667         m_D12
26668  :1;
26669
26670 4514 
26671 IO_WORD
26672  
26673         m_D11
26674  :1;
26675
26676 4515 
26677 IO_WORD
26678  
26679         m_D10
26680  :1;
26681
26682 4516 
26683 IO_WORD
26684  
26685         m_D9
26686  :1;
26687
26688 4517 
26689 IO_WORD
26690  
26691         m_D8
26692  :1;
26693
26694 4518 
26695 IO_WORD
26696  
26697         m_D7
26698  :1;
26699
26700 4519 
26701 IO_WORD
26702  
26703         m_D6
26704  :1;
26705
26706 4520 
26707 IO_WORD
26708  
26709         m_D5
26710  :1;
26711
26712 4521 
26713 IO_WORD
26714  
26715         m_D4
26716  :1;
26717
26718 4522 
26719 IO_WORD
26720  
26721         m_D3
26722  :1;
26723
26724 4523 
26725 IO_WORD
26726  
26727         m_D2
26728  :1;
26729
26730 4524 
26731 IO_WORD
26732  
26733         m_D1
26734  :1;
26735
26736 4525 
26737 IO_WORD
26738  
26739         m_D0
26740  :1;
26741
26742 4526 }
26743         mb\99
26744 ;
26745
26746 4527 }
26747         tTMR7STR
26748 ;
26749
26750 4529 
26751 IO_WORD
26752  
26753         mwÜd
26754 ;
26755
26756 4531 
26757         mIO_WORD
26758  :1;
26759
26760 4532 
26761         mIO_WORD
26762  :1;
26763
26764 4533 
26765         mIO_WORD
26766  :1;
26767
26768 4534 
26769 IO_WORD
26770  
26771         m_CSL2
26772  :1;
26773
26774 4535 
26775 IO_WORD
26776  
26777         m_CSL1
26778  :1;
26779
26780 4536 
26781 IO_WORD
26782  
26783         m_CSL0
26784  :1;
26785
26786 4537 
26787 IO_WORD
26788  
26789         m_MOD2
26790  :1;
26791
26792 4538 
26793 IO_WORD
26794  
26795         m_MOD1
26796  :1;
26797
26798 4539 
26799 IO_WORD
26800  
26801         m_MOD0
26802  :1;
26803
26804 4540 
26805         mIO_WORD
26806  :1;
26807
26808 4541 
26809 IO_WORD
26810  
26811         m_OULT
26812  :1;
26813
26814 4542 
26815 IO_WORD
26816  
26817         m_RELD
26818  :1;
26819
26820 4543 
26821 IO_WORD
26822  
26823         m_INTE
26824  :1;
26825
26826 4544 
26827 IO_WORD
26828  
26829         m_UF
26830  :1;
26831
26832 4545 
26833 IO_WORD
26834  
26835         m_CNTE
26836  :1;
26837
26838 4546 
26839 IO_WORD
26840  
26841         m_TRG
26842  :1;
26843
26844 4547 }
26845         mb\99
26846 ;
26847
26848 4549 
26849         mIO_WORD
26850  :1;
26851
26852 4550 
26853         mIO_WORD
26854  :1;
26855
26856 4551 
26857         mIO_WORD
26858  :1;
26859
26860 4552 
26861 IO_WORD
26862  
26863         m_CSL
26864  :3;
26865
26866 4553 
26867 IO_WORD
26868  
26869         m_MOD
26870  :3;
26871
26872 4554 }
26873         mb\99c
26874 ;
26875
26876 4555 }
26877         tTMCSR7STR
26878 ;
26879
26880 4557 
26881 IO_BYTE
26882  
26883         mby\8b
26884 ;
26885
26886 4559 
26887         mIO_BYTE
26888  :1;
26889
26890 4560 
26891         mIO_BYTE
26892  :1;
26893
26894 4561 
26895         mIO_BYTE
26896  :1;
26897
26898 4562 
26899 IO_BYTE
26900  
26901         m_CSL2
26902  :1;
26903
26904 4563 
26905 IO_BYTE
26906  
26907         m_CSL1
26908  :1;
26909
26910 4564 
26911 IO_BYTE
26912  
26913         m_CSL0
26914  :1;
26915
26916 4565 
26917 IO_BYTE
26918  
26919         m_MOD2
26920  :1;
26921
26922 4566 
26923 IO_BYTE
26924  
26925         m_MOD1
26926  :1;
26927
26928 4567 }
26929         mb\99
26930 ;
26931
26932 4569 
26933         mIO_BYTE
26934  :3;
26935
26936 4570 
26937 IO_BYTE
26938  
26939         m_CSL
26940  :3;
26941
26942 4571 }
26943         mb\99c
26944 ;
26945
26946 4572 }
26947         tTMCSRH7STR
26948 ;
26949
26950 4574 
26951 IO_BYTE
26952  
26953         mby\8b
26954 ;
26955
26956 4576 
26957 IO_BYTE
26958  
26959         m_MOD0
26960  :1;
26961
26962 4577 
26963         mIO_BYTE
26964  :1;
26965
26966 4578 
26967 IO_BYTE
26968  
26969         m_OULT
26970  :1;
26971
26972 4579 
26973 IO_BYTE
26974  
26975         m_RELD
26976  :1;
26977
26978 4580 
26979 IO_BYTE
26980  
26981         m_INTE
26982  :1;
26983
26984 4581 
26985 IO_BYTE
26986  
26987         m_UF
26988  :1;
26989
26990 4582 
26991 IO_BYTE
26992  
26993         m_CNTE
26994  :1;
26995
26996 4583 
26997 IO_BYTE
26998  
26999         m_TRG
27000  :1;
27001
27002 4584 }
27003         mb\99
27004 ;
27005
27006 4585 }
27007         tTMCSRL7STR
27008 ;
27009
27010 4587 
27011 IO_WORD
27012  
27013         mwÜd
27014 ;
27015
27016 4589 
27017 IO_WORD
27018  
27019         m_T15
27020  :1;
27021
27022 4590 
27023 IO_WORD
27024  
27025         m_T14
27026  :1;
27027
27028 4591 
27029 IO_WORD
27030  
27031         m_T13
27032  :1;
27033
27034 4592 
27035 IO_WORD
27036  
27037         m_T12
27038  :1;
27039
27040 4593 
27041 IO_WORD
27042  
27043         m_T11
27044  :1;
27045
27046 4594 
27047 IO_WORD
27048  
27049         m_T10
27050  :1;
27051
27052 4595 
27053 IO_WORD
27054  
27055         m_T9
27056  :1;
27057
27058 4596 
27059 IO_WORD
27060  
27061         m_T8
27062  :1;
27063
27064 4597 
27065 IO_WORD
27066  
27067         m_T7
27068  :1;
27069
27070 4598 
27071 IO_WORD
27072  
27073         m_T6
27074  :1;
27075
27076 4599 
27077 IO_WORD
27078  
27079         m_T5
27080  :1;
27081
27082 4600 
27083 IO_WORD
27084  
27085         m_T4
27086  :1;
27087
27088 4601 
27089 IO_WORD
27090  
27091         m_T3
27092  :1;
27093
27094 4602 
27095 IO_WORD
27096  
27097         m_T2
27098  :1;
27099
27100 4603 
27101 IO_WORD
27102  
27103         m_T1
27104  :1;
27105
27106 4604 
27107 IO_WORD
27108  
27109         m_T0
27110  :1;
27111
27112 4605 }
27113         mb\99
27114 ;
27115
27116 4606 }
27117         tTCDT0STR
27118 ;
27119
27120 4608 
27121 IO_BYTE
27122  
27123         mby\8b
27124 ;
27125
27126 4610 
27127 IO_BYTE
27128  
27129         m_ECLK
27130  :1;
27131
27132 4611 
27133 IO_BYTE
27134  
27135         m_IVF
27136  :1;
27137
27138 4612 
27139 IO_BYTE
27140  
27141         m_IVFE
27142  :1;
27143
27144 4613 
27145 IO_BYTE
27146  
27147         m_STOP
27148  :1;
27149
27150 4614 
27151 IO_BYTE
27152  
27153         m_MODE
27154  :1;
27155
27156 4615 
27157 IO_BYTE
27158  
27159         m_CLR
27160  :1;
27161
27162 4616 
27163 IO_BYTE
27164  
27165         m_CLK1
27166  :1;
27167
27168 4617 
27169 IO_BYTE
27170  
27171         m_CLK0
27172  :1;
27173
27174 4618 }
27175         mb\99
27176 ;
27177
27178 4620 
27179         mIO_BYTE
27180  :1;
27181
27182 4621 
27183         mIO_BYTE
27184  :1;
27185
27186 4622 
27187         mIO_BYTE
27188  :1;
27189
27190 4623 
27191         mIO_BYTE
27192  :1;
27193
27194 4624 
27195         mIO_BYTE
27196  :1;
27197
27198 4625 
27199         mIO_BYTE
27200  :1;
27201
27202 4626 
27203 IO_BYTE
27204  
27205         m_CLK
27206  :2;
27207
27208 4627 }
27209         mb\99c
27210 ;
27211
27212 4628 }
27213         tTCCS0STR
27214 ;
27215
27216 4630 
27217 IO_WORD
27218  
27219         mwÜd
27220 ;
27221
27222 4632 
27223 IO_WORD
27224  
27225         m_T15
27226  :1;
27227
27228 4633 
27229 IO_WORD
27230  
27231         m_T14
27232  :1;
27233
27234 4634 
27235 IO_WORD
27236  
27237         m_T13
27238  :1;
27239
27240 4635 
27241 IO_WORD
27242  
27243         m_T12
27244  :1;
27245
27246 4636 
27247 IO_WORD
27248  
27249         m_T11
27250  :1;
27251
27252 4637 
27253 IO_WORD
27254  
27255         m_T10
27256  :1;
27257
27258 4638 
27259 IO_WORD
27260  
27261         m_T9
27262  :1;
27263
27264 4639 
27265 IO_WORD
27266  
27267         m_T8
27268  :1;
27269
27270 4640 
27271 IO_WORD
27272  
27273         m_T7
27274  :1;
27275
27276 4641 
27277 IO_WORD
27278  
27279         m_T6
27280  :1;
27281
27282 4642 
27283 IO_WORD
27284  
27285         m_T5
27286  :1;
27287
27288 4643 
27289 IO_WORD
27290  
27291         m_T4
27292  :1;
27293
27294 4644 
27295 IO_WORD
27296  
27297         m_T3
27298  :1;
27299
27300 4645 
27301 IO_WORD
27302  
27303         m_T2
27304  :1;
27305
27306 4646 
27307 IO_WORD
27308  
27309         m_T1
27310  :1;
27311
27312 4647 
27313 IO_WORD
27314  
27315         m_T0
27316  :1;
27317
27318 4648 }
27319         mb\99
27320 ;
27321
27322 4649 }
27323         tTCDT1STR
27324 ;
27325
27326 4651 
27327 IO_BYTE
27328  
27329         mby\8b
27330 ;
27331
27332 4653 
27333 IO_BYTE
27334  
27335         m_ECLK
27336  :1;
27337
27338 4654 
27339 IO_BYTE
27340  
27341         m_IVF
27342  :1;
27343
27344 4655 
27345 IO_BYTE
27346  
27347         m_IVFE
27348  :1;
27349
27350 4656 
27351 IO_BYTE
27352  
27353         m_STOP
27354  :1;
27355
27356 4657 
27357 IO_BYTE
27358  
27359         m_MODE
27360  :1;
27361
27362 4658 
27363 IO_BYTE
27364  
27365         m_CLR
27366  :1;
27367
27368 4659 
27369 IO_BYTE
27370  
27371         m_CLK1
27372  :1;
27373
27374 4660 
27375 IO_BYTE
27376  
27377         m_CLK0
27378  :1;
27379
27380 4661 }
27381         mb\99
27382 ;
27383
27384 4663 
27385         mIO_BYTE
27386  :1;
27387
27388 4664 
27389         mIO_BYTE
27390  :1;
27391
27392 4665 
27393         mIO_BYTE
27394  :1;
27395
27396 4666 
27397         mIO_BYTE
27398  :1;
27399
27400 4667 
27401         mIO_BYTE
27402  :1;
27403
27404 4668 
27405         mIO_BYTE
27406  :1;
27407
27408 4669 
27409 IO_BYTE
27410  
27411         m_CLK
27412  :2;
27413
27414 4670 }
27415         mb\99c
27416 ;
27417
27418 4671 }
27419         tTCCS1STR
27420 ;
27421
27422 4673 
27423 IO_WORD
27424  
27425         mwÜd
27426 ;
27427
27428 4675 
27429 IO_WORD
27430  
27431         m_T15
27432  :1;
27433
27434 4676 
27435 IO_WORD
27436  
27437         m_T14
27438  :1;
27439
27440 4677 
27441 IO_WORD
27442  
27443         m_T13
27444  :1;
27445
27446 4678 
27447 IO_WORD
27448  
27449         m_T12
27450  :1;
27451
27452 4679 
27453 IO_WORD
27454  
27455         m_T11
27456  :1;
27457
27458 4680 
27459 IO_WORD
27460  
27461         m_T10
27462  :1;
27463
27464 4681 
27465 IO_WORD
27466  
27467         m_T9
27468  :1;
27469
27470 4682 
27471 IO_WORD
27472  
27473         m_T8
27474  :1;
27475
27476 4683 
27477 IO_WORD
27478  
27479         m_T7
27480  :1;
27481
27482 4684 
27483 IO_WORD
27484  
27485         m_T6
27486  :1;
27487
27488 4685 
27489 IO_WORD
27490  
27491         m_T5
27492  :1;
27493
27494 4686 
27495 IO_WORD
27496  
27497         m_T4
27498  :1;
27499
27500 4687 
27501 IO_WORD
27502  
27503         m_T3
27504  :1;
27505
27506 4688 
27507 IO_WORD
27508  
27509         m_T2
27510  :1;
27511
27512 4689 
27513 IO_WORD
27514  
27515         m_T1
27516  :1;
27517
27518 4690 
27519 IO_WORD
27520  
27521         m_T0
27522  :1;
27523
27524 4691 }
27525         mb\99
27526 ;
27527
27528 4692 }
27529         tTCDT2STR
27530 ;
27531
27532 4694 
27533 IO_BYTE
27534  
27535         mby\8b
27536 ;
27537
27538 4696 
27539 IO_BYTE
27540  
27541         m_ECLK
27542  :1;
27543
27544 4697 
27545 IO_BYTE
27546  
27547         m_IVF
27548  :1;
27549
27550 4698 
27551 IO_BYTE
27552  
27553         m_IVFE
27554  :1;
27555
27556 4699 
27557 IO_BYTE
27558  
27559         m_STOP
27560  :1;
27561
27562 4700 
27563 IO_BYTE
27564  
27565         m_MODE
27566  :1;
27567
27568 4701 
27569 IO_BYTE
27570  
27571         m_CLR
27572  :1;
27573
27574 4702 
27575 IO_BYTE
27576  
27577         m_CLK1
27578  :1;
27579
27580 4703 
27581 IO_BYTE
27582  
27583         m_CLK0
27584  :1;
27585
27586 4704 }
27587         mb\99
27588 ;
27589
27590 4706 
27591         mIO_BYTE
27592  :1;
27593
27594 4707 
27595         mIO_BYTE
27596  :1;
27597
27598 4708 
27599         mIO_BYTE
27600  :1;
27601
27602 4709 
27603         mIO_BYTE
27604  :1;
27605
27606 4710 
27607         mIO_BYTE
27608  :1;
27609
27610 4711 
27611         mIO_BYTE
27612  :1;
27613
27614 4712 
27615 IO_BYTE
27616  
27617         m_CLK
27618  :2;
27619
27620 4713 }
27621         mb\99c
27622 ;
27623
27624 4714 }
27625         tTCCS2STR
27626 ;
27627
27628 4716 
27629 IO_WORD
27630  
27631         mwÜd
27632 ;
27633
27634 4718 
27635 IO_WORD
27636  
27637         m_T15
27638  :1;
27639
27640 4719 
27641 IO_WORD
27642  
27643         m_T14
27644  :1;
27645
27646 4720 
27647 IO_WORD
27648  
27649         m_T13
27650  :1;
27651
27652 4721 
27653 IO_WORD
27654  
27655         m_T12
27656  :1;
27657
27658 4722 
27659 IO_WORD
27660  
27661         m_T11
27662  :1;
27663
27664 4723 
27665 IO_WORD
27666  
27667         m_T10
27668  :1;
27669
27670 4724 
27671 IO_WORD
27672  
27673         m_T9
27674  :1;
27675
27676 4725 
27677 IO_WORD
27678  
27679         m_T8
27680  :1;
27681
27682 4726 
27683 IO_WORD
27684  
27685         m_T7
27686  :1;
27687
27688 4727 
27689 IO_WORD
27690  
27691         m_T6
27692  :1;
27693
27694 4728 
27695 IO_WORD
27696  
27697         m_T5
27698  :1;
27699
27700 4729 
27701 IO_WORD
27702  
27703         m_T4
27704  :1;
27705
27706 4730 
27707 IO_WORD
27708  
27709         m_T3
27710  :1;
27711
27712 4731 
27713 IO_WORD
27714  
27715         m_T2
27716  :1;
27717
27718 4732 
27719 IO_WORD
27720  
27721         m_T1
27722  :1;
27723
27724 4733 
27725 IO_WORD
27726  
27727         m_T0
27728  :1;
27729
27730 4734 }
27731         mb\99
27732 ;
27733
27734 4735 }
27735         tTCDT3STR
27736 ;
27737
27738 4737 
27739 IO_BYTE
27740  
27741         mby\8b
27742 ;
27743
27744 4739 
27745 IO_BYTE
27746  
27747         m_ECLK
27748  :1;
27749
27750 4740 
27751 IO_BYTE
27752  
27753         m_IVF
27754  :1;
27755
27756 4741 
27757 IO_BYTE
27758  
27759         m_IVFE
27760  :1;
27761
27762 4742 
27763 IO_BYTE
27764  
27765         m_STOP
27766  :1;
27767
27768 4743 
27769 IO_BYTE
27770  
27771         m_MODE
27772  :1;
27773
27774 4744 
27775 IO_BYTE
27776  
27777         m_CLR
27778  :1;
27779
27780 4745 
27781 IO_BYTE
27782  
27783         m_CLK1
27784  :1;
27785
27786 4746 
27787 IO_BYTE
27788  
27789         m_CLK0
27790  :1;
27791
27792 4747 }
27793         mb\99
27794 ;
27795
27796 4749 
27797         mIO_BYTE
27798  :1;
27799
27800 4750 
27801         mIO_BYTE
27802  :1;
27803
27804 4751 
27805         mIO_BYTE
27806  :1;
27807
27808 4752 
27809         mIO_BYTE
27810  :1;
27811
27812 4753 
27813         mIO_BYTE
27814  :1;
27815
27816 4754 
27817         mIO_BYTE
27818  :1;
27819
27820 4755 
27821 IO_BYTE
27822  
27823         m_CLK
27824  :2;
27825
27826 4756 }
27827         mb\99c
27828 ;
27829
27830 4757 }
27831         tTCCS3STR
27832 ;
27833
27834 4759 
27835 IO_LWORD
27836  
27837         mlwÜd
27838 ;
27839
27840 4761 
27841 IO_LWORD
27842  
27843         m_DENB
27844  :1;
27845
27846 4762 
27847 IO_LWORD
27848  
27849         m_PAUS
27850  :1;
27851
27852 4763 
27853 IO_LWORD
27854  
27855         m_STRG
27856  :1;
27857
27858 4764 
27859 IO_LWORD
27860  
27861         m_IS4
27862  :1;
27863
27864 4765 
27865 IO_LWORD
27866  
27867         m_IS3
27868  :1;
27869
27870 4766 
27871 IO_LWORD
27872  
27873         m_IS2
27874  :1;
27875
27876 4767 
27877 IO_LWORD
27878  
27879         m_IS1
27880  :1;
27881
27882 4768 
27883 IO_LWORD
27884  
27885         m_IS0
27886  :1;
27887
27888 4769 
27889 IO_LWORD
27890  
27891         m_EIS3
27892  :1;
27893
27894 4770 
27895 IO_LWORD
27896  
27897         m_EIS2
27898  :1;
27899
27900 4771 
27901 IO_LWORD
27902  
27903         m_EIS1
27904  :1;
27905
27906 4772 
27907 IO_LWORD
27908  
27909         m_EIS0
27910  :1;
27911
27912 4773 
27913 IO_LWORD
27914  
27915         m_BLK3
27916  :1;
27917
27918 4774 
27919 IO_LWORD
27920  
27921         m_BLK2
27922  :1;
27923
27924 4775 
27925 IO_LWORD
27926  
27927         m_BLK1
27928  :1;
27929
27930 4776 
27931 IO_LWORD
27932  
27933         m_BLK0
27934  :1;
27935
27936 4777 
27937 IO_LWORD
27938  
27939         m_DTCF
27940  :1;
27941
27942 4778 
27943 IO_LWORD
27944  
27945         m_DTCE
27946  :1;
27947
27948 4779 
27949 IO_LWORD
27950  
27951         m_DTCD
27952  :1;
27953
27954 4780 
27955 IO_LWORD
27956  
27957         m_DTCC
27958  :1;
27959
27960 4781 
27961 IO_LWORD
27962  
27963         m_DTCB
27964  :1;
27965
27966 4782 
27967 IO_LWORD
27968  
27969         m_DTCA
27970  :1;
27971
27972 4783 
27973 IO_LWORD
27974  
27975         m_DTC9
27976  :1;
27977
27978 4784 
27979 IO_LWORD
27980  
27981         m_DTC8
27982  :1;
27983
27984 4785 
27985 IO_LWORD
27986  
27987         m_DTC7
27988  :1;
27989
27990 4786 
27991 IO_LWORD
27992  
27993         m_DTC6
27994  :1;
27995
27996 4787 
27997 IO_LWORD
27998  
27999         m_DTC5
28000  :1;
28001
28002 4788 
28003 IO_LWORD
28004  
28005         m_DTC4
28006  :1;
28007
28008 4789 
28009 IO_LWORD
28010  
28011         m_DTC3
28012  :1;
28013
28014 4790 
28015 IO_LWORD
28016  
28017         m_DTC2
28018  :1;
28019
28020 4791 
28021 IO_LWORD
28022  
28023         m_DTC1
28024  :1;
28025
28026 4792 
28027 IO_LWORD
28028  
28029         m_DTC0
28030  :1;
28031
28032 4793 }
28033         mb\99
28034 ;
28035
28036 4795 
28037         mIO_LWORD
28038  :1;
28039
28040 4796 
28041         mIO_LWORD
28042  :1;
28043
28044 4797 
28045         mIO_LWORD
28046  :1;
28047
28048 4798 
28049 IO_LWORD
28050  
28051         m_IS
28052  :5;
28053
28054 4799 
28055 IO_LWORD
28056  
28057         m_EIS
28058  :4;
28059
28060 4800 
28061 IO_LWORD
28062  
28063         m_BLK
28064  :4;
28065
28066 4801 
28067 IO_LWORD
28068  
28069         m_DTC
28070  :16;
28071
28072 4802 }
28073         mb\99c
28074 ;
28075
28076 4803 }
28077         tDMACA0STR
28078 ;
28079
28080 4805 
28081 IO_LWORD
28082  
28083         mlwÜd
28084 ;
28085
28086 4807 
28087 IO_LWORD
28088  
28089         m_TYPE1
28090  :1;
28091
28092 4808 
28093 IO_LWORD
28094  
28095         m_TYPE0
28096  :1;
28097
28098 4809 
28099 IO_LWORD
28100  
28101         m_MOD1
28102  :1;
28103
28104 4810 
28105 IO_LWORD
28106  
28107         m_MOD0
28108  :1;
28109
28110 4811 
28111 IO_LWORD
28112  
28113         m_WS1
28114  :1;
28115
28116 4812 
28117 IO_LWORD
28118  
28119         m_WS0
28120  :1;
28121
28122 4813 
28123 IO_LWORD
28124  
28125         m_SADM
28126  :1;
28127
28128 4814 
28129 IO_LWORD
28130  
28131         m_DADM
28132  :1;
28133
28134 4815 
28135 IO_LWORD
28136  
28137         m_DTCR
28138  :1;
28139
28140 4816 
28141 IO_LWORD
28142  
28143         m_SADR
28144  :1;
28145
28146 4817 
28147 IO_LWORD
28148  
28149         m_DADR
28150  :1;
28151
28152 4818 
28153 IO_LWORD
28154  
28155         m_ERIE
28156  :1;
28157
28158 4819 
28159 IO_LWORD
28160  
28161         m_EDIE
28162  :1;
28163
28164 4820 
28165 IO_LWORD
28166  
28167         m_DSS2
28168  :1;
28169
28170 4821 
28171 IO_LWORD
28172  
28173         m_DSS1
28174  :1;
28175
28176 4822 
28177 IO_LWORD
28178  
28179         m_DSS0
28180  :1;
28181
28182 4823 
28183 IO_LWORD
28184  
28185         m_SASZ7
28186  :1;
28187
28188 4824 
28189 IO_LWORD
28190  
28191         m_SASZ6
28192  :1;
28193
28194 4825 
28195 IO_LWORD
28196  
28197         m_SASZ5
28198  :1;
28199
28200 4826 
28201 IO_LWORD
28202  
28203         m_SASZ4
28204  :1;
28205
28206 4827 
28207 IO_LWORD
28208  
28209         m_SASZ3
28210  :1;
28211
28212 4828 
28213 IO_LWORD
28214  
28215         m_SASZ2
28216  :1;
28217
28218 4829 
28219 IO_LWORD
28220  
28221         m_SASZ1
28222  :1;
28223
28224 4830 
28225 IO_LWORD
28226  
28227         m_SASZ0
28228  :1;
28229
28230 4831 
28231 IO_LWORD
28232  
28233         m_DASZ7
28234  :1;
28235
28236 4832 
28237 IO_LWORD
28238  
28239         m_DASZ6
28240  :1;
28241
28242 4833 
28243 IO_LWORD
28244  
28245         m_DASZ5
28246  :1;
28247
28248 4834 
28249 IO_LWORD
28250  
28251         m_DASZ4
28252  :1;
28253
28254 4835 
28255 IO_LWORD
28256  
28257         m_DASZ3
28258  :1;
28259
28260 4836 
28261 IO_LWORD
28262  
28263         m_DASZ2
28264  :1;
28265
28266 4837 
28267 IO_LWORD
28268  
28269         m_DASZ1
28270  :1;
28271
28272 4838 
28273 IO_LWORD
28274  
28275         m_DASZ0
28276  :1;
28277
28278 4839 }
28279         mb\99
28280 ;
28281
28282 4841 
28283 IO_LWORD
28284  
28285         m_TYPE
28286  :2;
28287
28288 4842 
28289 IO_LWORD
28290  
28291         m_MOD
28292  :2;
28293
28294 4843 
28295 IO_LWORD
28296  
28297         m_WS
28298  :2;
28299
28300 4844 
28301         mIO_LWORD
28302  :1;
28303
28304 4845 
28305         mIO_LWORD
28306  :1;
28307
28308 4846 
28309         mIO_LWORD
28310  :1;
28311
28312 4847 
28313         mIO_LWORD
28314  :1;
28315
28316 4848 
28317         mIO_LWORD
28318  :1;
28319
28320 4849 
28321         mIO_LWORD
28322  :1;
28323
28324 4850 
28325         mIO_LWORD
28326  :1;
28327
28328 4851 
28329 IO_LWORD
28330  
28331         m_DSS
28332  :3;
28333
28334 4852 
28335 IO_LWORD
28336  
28337         m_SASZ
28338  :8;
28339
28340 4853 
28341 IO_LWORD
28342  
28343         m_DASZ
28344  :8;
28345
28346 4854 }
28347         mb\99c
28348 ;
28349
28350 4855 }
28351         tDMACB0STR
28352 ;
28353
28354 4857 
28355 IO_LWORD
28356  
28357         mlwÜd
28358 ;
28359
28360 4859 
28361 IO_LWORD
28362  
28363         m_DENB
28364  :1;
28365
28366 4860 
28367 IO_LWORD
28368  
28369         m_PAUS
28370  :1;
28371
28372 4861 
28373 IO_LWORD
28374  
28375         m_STRG
28376  :1;
28377
28378 4862 
28379 IO_LWORD
28380  
28381         m_IS4
28382  :1;
28383
28384 4863 
28385 IO_LWORD
28386  
28387         m_IS3
28388  :1;
28389
28390 4864 
28391 IO_LWORD
28392  
28393         m_IS2
28394  :1;
28395
28396 4865 
28397 IO_LWORD
28398  
28399         m_IS1
28400  :1;
28401
28402 4866 
28403 IO_LWORD
28404  
28405         m_IS0
28406  :1;
28407
28408 4867 
28409 IO_LWORD
28410  
28411         m_EIS3
28412  :1;
28413
28414 4868 
28415 IO_LWORD
28416  
28417         m_EIS2
28418  :1;
28419
28420 4869 
28421 IO_LWORD
28422  
28423         m_EIS1
28424  :1;
28425
28426 4870 
28427 IO_LWORD
28428  
28429         m_EIS0
28430  :1;
28431
28432 4871 
28433 IO_LWORD
28434  
28435         m_BLK3
28436  :1;
28437
28438 4872 
28439 IO_LWORD
28440  
28441         m_BLK2
28442  :1;
28443
28444 4873 
28445 IO_LWORD
28446  
28447         m_BLK1
28448  :1;
28449
28450 4874 
28451 IO_LWORD
28452  
28453         m_BLK0
28454  :1;
28455
28456 4875 
28457 IO_LWORD
28458  
28459         m_DTCF
28460  :1;
28461
28462 4876 
28463 IO_LWORD
28464  
28465         m_DTCE
28466  :1;
28467
28468 4877 
28469 IO_LWORD
28470  
28471         m_DTCD
28472  :1;
28473
28474 4878 
28475 IO_LWORD
28476  
28477         m_DTCC
28478  :1;
28479
28480 4879 
28481 IO_LWORD
28482  
28483         m_DTCB
28484  :1;
28485
28486 4880 
28487 IO_LWORD
28488  
28489         m_DTCA
28490  :1;
28491
28492 4881 
28493 IO_LWORD
28494  
28495         m_DTC9
28496  :1;
28497
28498 4882 
28499 IO_LWORD
28500  
28501         m_DTC8
28502  :1;
28503
28504 4883 
28505 IO_LWORD
28506  
28507         m_DTC7
28508  :1;
28509
28510 4884 
28511 IO_LWORD
28512  
28513         m_DTC6
28514  :1;
28515
28516 4885 
28517 IO_LWORD
28518  
28519         m_DTC5
28520  :1;
28521
28522 4886 
28523 IO_LWORD
28524  
28525         m_DTC4
28526  :1;
28527
28528 4887 
28529 IO_LWORD
28530  
28531         m_DTC3
28532  :1;
28533
28534 4888 
28535 IO_LWORD
28536  
28537         m_DTC2
28538  :1;
28539
28540 4889 
28541 IO_LWORD
28542  
28543         m_DTC1
28544  :1;
28545
28546 4890 
28547 IO_LWORD
28548  
28549         m_DTC0
28550  :1;
28551
28552 4891 }
28553         mb\99
28554 ;
28555
28556 4893 
28557         mIO_LWORD
28558  :1;
28559
28560 4894 
28561         mIO_LWORD
28562  :1;
28563
28564 4895 
28565         mIO_LWORD
28566  :1;
28567
28568 4896 
28569 IO_LWORD
28570  
28571         m_IS
28572  :5;
28573
28574 4897 
28575 IO_LWORD
28576  
28577         m_EIS
28578  :4;
28579
28580 4898 
28581 IO_LWORD
28582  
28583         m_BLK
28584  :4;
28585
28586 4899 
28587 IO_LWORD
28588  
28589         m_DTC
28590  :16;
28591
28592 4900 }
28593         mb\99c
28594 ;
28595
28596 4901 }
28597         tDMACA1STR
28598 ;
28599
28600 4903 
28601 IO_LWORD
28602  
28603         mlwÜd
28604 ;
28605
28606 4905 
28607 IO_LWORD
28608  
28609         m_TYPE1
28610  :1;
28611
28612 4906 
28613 IO_LWORD
28614  
28615         m_TYPE0
28616  :1;
28617
28618 4907 
28619 IO_LWORD
28620  
28621         m_MOD1
28622  :1;
28623
28624 4908 
28625 IO_LWORD
28626  
28627         m_MOD0
28628  :1;
28629
28630 4909 
28631 IO_LWORD
28632  
28633         m_WS1
28634  :1;
28635
28636 4910 
28637 IO_LWORD
28638  
28639         m_WS0
28640  :1;
28641
28642 4911 
28643 IO_LWORD
28644  
28645         m_SADM
28646  :1;
28647
28648 4912 
28649 IO_LWORD
28650  
28651         m_DADM
28652  :1;
28653
28654 4913 
28655 IO_LWORD
28656  
28657         m_DTCR
28658  :1;
28659
28660 4914 
28661 IO_LWORD
28662  
28663         m_SADR
28664  :1;
28665
28666 4915 
28667 IO_LWORD
28668  
28669         m_DADR
28670  :1;
28671
28672 4916 
28673 IO_LWORD
28674  
28675         m_ERIE
28676  :1;
28677
28678 4917 
28679 IO_LWORD
28680  
28681         m_EDIE
28682  :1;
28683
28684 4918 
28685 IO_LWORD
28686  
28687         m_DSS2
28688  :1;
28689
28690 4919 
28691 IO_LWORD
28692  
28693         m_DSS1
28694  :1;
28695
28696 4920 
28697 IO_LWORD
28698  
28699         m_DSS0
28700  :1;
28701
28702 4921 
28703 IO_LWORD
28704  
28705         m_SASZ7
28706  :1;
28707
28708 4922 
28709 IO_LWORD
28710  
28711         m_SASZ6
28712  :1;
28713
28714 4923 
28715 IO_LWORD
28716  
28717         m_SASZ5
28718  :1;
28719
28720 4924 
28721 IO_LWORD
28722  
28723         m_SASZ4
28724  :1;
28725
28726 4925 
28727 IO_LWORD
28728  
28729         m_SASZ3
28730  :1;
28731
28732 4926 
28733 IO_LWORD
28734  
28735         m_SASZ2
28736  :1;
28737
28738 4927 
28739 IO_LWORD
28740  
28741         m_SASZ1
28742  :1;
28743
28744 4928 
28745 IO_LWORD
28746  
28747         m_SASZ0
28748  :1;
28749
28750 4929 
28751 IO_LWORD
28752  
28753         m_DASZ7
28754  :1;
28755
28756 4930 
28757 IO_LWORD
28758  
28759         m_DASZ6
28760  :1;
28761
28762 4931 
28763 IO_LWORD
28764  
28765         m_DASZ5
28766  :1;
28767
28768 4932 
28769 IO_LWORD
28770  
28771         m_DASZ4
28772  :1;
28773
28774 4933 
28775 IO_LWORD
28776  
28777         m_DASZ3
28778  :1;
28779
28780 4934 
28781 IO_LWORD
28782  
28783         m_DASZ2
28784  :1;
28785
28786 4935 
28787 IO_LWORD
28788  
28789         m_DASZ1
28790  :1;
28791
28792 4936 
28793 IO_LWORD
28794  
28795         m_DASZ0
28796  :1;
28797
28798 4937 }
28799         mb\99
28800 ;
28801
28802 4939 
28803 IO_LWORD
28804  
28805         m_TYPE
28806  :2;
28807
28808 4940 
28809 IO_LWORD
28810  
28811         m_MOD
28812  :2;
28813
28814 4941 
28815 IO_LWORD
28816  
28817         m_WS
28818  :2;
28819
28820 4942 
28821         mIO_LWORD
28822  :1;
28823
28824 4943 
28825         mIO_LWORD
28826  :1;
28827
28828 4944 
28829         mIO_LWORD
28830  :1;
28831
28832 4945 
28833         mIO_LWORD
28834  :1;
28835
28836 4946 
28837         mIO_LWORD
28838  :1;
28839
28840 4947 
28841         mIO_LWORD
28842  :1;
28843
28844 4948 
28845         mIO_LWORD
28846  :1;
28847
28848 4949 
28849 IO_LWORD
28850  
28851         m_DSS
28852  :3;
28853
28854 4950 
28855 IO_LWORD
28856  
28857         m_SASZ
28858  :8;
28859
28860 4951 
28861 IO_LWORD
28862  
28863         m_DASZ
28864  :8;
28865
28866 4952 }
28867         mb\99c
28868 ;
28869
28870 4953 }
28871         tDMACB1STR
28872 ;
28873
28874 4955 
28875 IO_LWORD
28876  
28877         mlwÜd
28878 ;
28879
28880 4957 
28881 IO_LWORD
28882  
28883         m_DENB
28884  :1;
28885
28886 4958 
28887 IO_LWORD
28888  
28889         m_PAUS
28890  :1;
28891
28892 4959 
28893 IO_LWORD
28894  
28895         m_STRG
28896  :1;
28897
28898 4960 
28899 IO_LWORD
28900  
28901         m_IS4
28902  :1;
28903
28904 4961 
28905 IO_LWORD
28906  
28907         m_IS3
28908  :1;
28909
28910 4962 
28911 IO_LWORD
28912  
28913         m_IS2
28914  :1;
28915
28916 4963 
28917 IO_LWORD
28918  
28919         m_IS1
28920  :1;
28921
28922 4964 
28923 IO_LWORD
28924  
28925         m_IS0
28926  :1;
28927
28928 4965 
28929 IO_LWORD
28930  
28931         m_EIS3
28932  :1;
28933
28934 4966 
28935 IO_LWORD
28936  
28937         m_EIS2
28938  :1;
28939
28940 4967 
28941 IO_LWORD
28942  
28943         m_EIS1
28944  :1;
28945
28946 4968 
28947 IO_LWORD
28948  
28949         m_EIS0
28950  :1;
28951
28952 4969 
28953 IO_LWORD
28954  
28955         m_BLK3
28956  :1;
28957
28958 4970 
28959 IO_LWORD
28960  
28961         m_BLK2
28962  :1;
28963
28964 4971 
28965 IO_LWORD
28966  
28967         m_BLK1
28968  :1;
28969
28970 4972 
28971 IO_LWORD
28972  
28973         m_BLK0
28974  :1;
28975
28976 4973 
28977 IO_LWORD
28978  
28979         m_DTCF
28980  :1;
28981
28982 4974 
28983 IO_LWORD
28984  
28985         m_DTCE
28986  :1;
28987
28988 4975 
28989 IO_LWORD
28990  
28991         m_DTCD
28992  :1;
28993
28994 4976 
28995 IO_LWORD
28996  
28997         m_DTCC
28998  :1;
28999
29000 4977 
29001 IO_LWORD
29002  
29003         m_DTCB
29004  :1;
29005
29006 4978 
29007 IO_LWORD
29008  
29009         m_DTCA
29010  :1;
29011
29012 4979 
29013 IO_LWORD
29014  
29015         m_DTC9
29016  :1;
29017
29018 4980 
29019 IO_LWORD
29020  
29021         m_DTC8
29022  :1;
29023
29024 4981 
29025 IO_LWORD
29026  
29027         m_DTC7
29028  :1;
29029
29030 4982 
29031 IO_LWORD
29032  
29033         m_DTC6
29034  :1;
29035
29036 4983 
29037 IO_LWORD
29038  
29039         m_DTC5
29040  :1;
29041
29042 4984 
29043 IO_LWORD
29044  
29045         m_DTC4
29046  :1;
29047
29048 4985 
29049 IO_LWORD
29050  
29051         m_DTC3
29052  :1;
29053
29054 4986 
29055 IO_LWORD
29056  
29057         m_DTC2
29058  :1;
29059
29060 4987 
29061 IO_LWORD
29062  
29063         m_DTC1
29064  :1;
29065
29066 4988 
29067 IO_LWORD
29068  
29069         m_DTC0
29070  :1;
29071
29072 4989 }
29073         mb\99
29074 ;
29075
29076 4991 
29077         mIO_LWORD
29078  :1;
29079
29080 4992 
29081         mIO_LWORD
29082  :1;
29083
29084 4993 
29085         mIO_LWORD
29086  :1;
29087
29088 4994 
29089 IO_LWORD
29090  
29091         m_IS
29092  :5;
29093
29094 4995 
29095 IO_LWORD
29096  
29097         m_EIS
29098  :4;
29099
29100 4996 
29101 IO_LWORD
29102  
29103         m_BLK
29104  :4;
29105
29106 4997 
29107 IO_LWORD
29108  
29109         m_DTC
29110  :16;
29111
29112 4998 }
29113         mb\99c
29114 ;
29115
29116 4999 }
29117         tDMACA2STR
29118 ;
29119
29120 5001 
29121 IO_LWORD
29122  
29123         mlwÜd
29124 ;
29125
29126 5003 
29127 IO_LWORD
29128  
29129         m_TYPE1
29130  :1;
29131
29132 5004 
29133 IO_LWORD
29134  
29135         m_TYPE0
29136  :1;
29137
29138 5005 
29139 IO_LWORD
29140  
29141         m_MOD1
29142  :1;
29143
29144 5006 
29145 IO_LWORD
29146  
29147         m_MOD0
29148  :1;
29149
29150 5007 
29151 IO_LWORD
29152  
29153         m_WS1
29154  :1;
29155
29156 5008 
29157 IO_LWORD
29158  
29159         m_WS0
29160  :1;
29161
29162 5009 
29163 IO_LWORD
29164  
29165         m_SADM
29166  :1;
29167
29168 5010 
29169 IO_LWORD
29170  
29171         m_DADM
29172  :1;
29173
29174 5011 
29175 IO_LWORD
29176  
29177         m_DTCR
29178  :1;
29179
29180 5012 
29181 IO_LWORD
29182  
29183         m_SADR
29184  :1;
29185
29186 5013 
29187 IO_LWORD
29188  
29189         m_DADR
29190  :1;
29191
29192 5014 
29193 IO_LWORD
29194  
29195         m_ERIE
29196  :1;
29197
29198 5015 
29199 IO_LWORD
29200  
29201         m_EDIE
29202  :1;
29203
29204 5016 
29205 IO_LWORD
29206  
29207         m_DSS2
29208  :1;
29209
29210 5017 
29211 IO_LWORD
29212  
29213         m_DSS1
29214  :1;
29215
29216 5018 
29217 IO_LWORD
29218  
29219         m_DSS0
29220  :1;
29221
29222 5019 
29223 IO_LWORD
29224  
29225         m_SASZ7
29226  :1;
29227
29228 5020 
29229 IO_LWORD
29230  
29231         m_SASZ6
29232  :1;
29233
29234 5021 
29235 IO_LWORD
29236  
29237         m_SASZ5
29238  :1;
29239
29240 5022 
29241 IO_LWORD
29242  
29243         m_SASZ4
29244  :1;
29245
29246 5023 
29247 IO_LWORD
29248  
29249         m_SASZ3
29250  :1;
29251
29252 5024 
29253 IO_LWORD
29254  
29255         m_SASZ2
29256  :1;
29257
29258 5025 
29259 IO_LWORD
29260  
29261         m_SASZ1
29262  :1;
29263
29264 5026 
29265 IO_LWORD
29266  
29267         m_SASZ0
29268  :1;
29269
29270 5027 
29271 IO_LWORD
29272  
29273         m_DASZ7
29274  :1;
29275
29276 5028 
29277 IO_LWORD
29278  
29279         m_DASZ6
29280  :1;
29281
29282 5029 
29283 IO_LWORD
29284  
29285         m_DASZ5
29286  :1;
29287
29288 5030 
29289 IO_LWORD
29290  
29291         m_DASZ4
29292  :1;
29293
29294 5031 
29295 IO_LWORD
29296  
29297         m_DASZ3
29298  :1;
29299
29300 5032 
29301 IO_LWORD
29302  
29303         m_DASZ2
29304  :1;
29305
29306 5033 
29307 IO_LWORD
29308  
29309         m_DASZ1
29310  :1;
29311
29312 5034 
29313 IO_LWORD
29314  
29315         m_DASZ0
29316  :1;
29317
29318 5035 }
29319         mb\99
29320 ;
29321
29322 5037 
29323 IO_LWORD
29324  
29325         m_TYPE
29326  :2;
29327
29328 5038 
29329 IO_LWORD
29330  
29331         m_MOD
29332  :2;
29333
29334 5039 
29335 IO_LWORD
29336  
29337         m_WS
29338  :2;
29339
29340 5040 
29341         mIO_LWORD
29342  :1;
29343
29344 5041 
29345         mIO_LWORD
29346  :1;
29347
29348 5042 
29349         mIO_LWORD
29350  :1;
29351
29352 5043 
29353         mIO_LWORD
29354  :1;
29355
29356 5044 
29357         mIO_LWORD
29358  :1;
29359
29360 5045 
29361         mIO_LWORD
29362  :1;
29363
29364 5046 
29365         mIO_LWORD
29366  :1;
29367
29368 5047 
29369 IO_LWORD
29370  
29371         m_DSS
29372  :3;
29373
29374 5048 
29375 IO_LWORD
29376  
29377         m_SASZ
29378  :8;
29379
29380 5049 
29381 IO_LWORD
29382  
29383         m_DASZ
29384  :8;
29385
29386 5050 }
29387         mb\99c
29388 ;
29389
29390 5051 }
29391         tDMACB2STR
29392 ;
29393
29394 5053 
29395 IO_LWORD
29396  
29397         mlwÜd
29398 ;
29399
29400 5055 
29401 IO_LWORD
29402  
29403         m_DENB
29404  :1;
29405
29406 5056 
29407 IO_LWORD
29408  
29409         m_PAUS
29410  :1;
29411
29412 5057 
29413 IO_LWORD
29414  
29415         m_STRG
29416  :1;
29417
29418 5058 
29419 IO_LWORD
29420  
29421         m_IS4
29422  :1;
29423
29424 5059 
29425 IO_LWORD
29426  
29427         m_IS3
29428  :1;
29429
29430 5060 
29431 IO_LWORD
29432  
29433         m_IS2
29434  :1;
29435
29436 5061 
29437 IO_LWORD
29438  
29439         m_IS1
29440  :1;
29441
29442 5062 
29443 IO_LWORD
29444  
29445         m_IS0
29446  :1;
29447
29448 5063 
29449 IO_LWORD
29450  
29451         m_EIS3
29452  :1;
29453
29454 5064 
29455 IO_LWORD
29456  
29457         m_EIS2
29458  :1;
29459
29460 5065 
29461 IO_LWORD
29462  
29463         m_EIS1
29464  :1;
29465
29466 5066 
29467 IO_LWORD
29468  
29469         m_EIS0
29470  :1;
29471
29472 5067 
29473 IO_LWORD
29474  
29475         m_BLK3
29476  :1;
29477
29478 5068 
29479 IO_LWORD
29480  
29481         m_BLK2
29482  :1;
29483
29484 5069 
29485 IO_LWORD
29486  
29487         m_BLK1
29488  :1;
29489
29490 5070 
29491 IO_LWORD
29492  
29493         m_BLK0
29494  :1;
29495
29496 5071 
29497 IO_LWORD
29498  
29499         m_DTCF
29500  :1;
29501
29502 5072 
29503 IO_LWORD
29504  
29505         m_DTCE
29506  :1;
29507
29508 5073 
29509 IO_LWORD
29510  
29511         m_DTCD
29512  :1;
29513
29514 5074 
29515 IO_LWORD
29516  
29517         m_DTCC
29518  :1;
29519
29520 5075 
29521 IO_LWORD
29522  
29523         m_DTCB
29524  :1;
29525
29526 5076 
29527 IO_LWORD
29528  
29529         m_DTCA
29530  :1;
29531
29532 5077 
29533 IO_LWORD
29534  
29535         m_DTC9
29536  :1;
29537
29538 5078 
29539 IO_LWORD
29540  
29541         m_DTC8
29542  :1;
29543
29544 5079 
29545 IO_LWORD
29546  
29547         m_DTC7
29548  :1;
29549
29550 5080 
29551 IO_LWORD
29552  
29553         m_DTC6
29554  :1;
29555
29556 5081 
29557 IO_LWORD
29558  
29559         m_DTC5
29560  :1;
29561
29562 5082 
29563 IO_LWORD
29564  
29565         m_DTC4
29566  :1;
29567
29568 5083 
29569 IO_LWORD
29570  
29571         m_DTC3
29572  :1;
29573
29574 5084 
29575 IO_LWORD
29576  
29577         m_DTC2
29578  :1;
29579
29580 5085 
29581 IO_LWORD
29582  
29583         m_DTC1
29584  :1;
29585
29586 5086 
29587 IO_LWORD
29588  
29589         m_DTC0
29590  :1;
29591
29592 5087 }
29593         mb\99
29594 ;
29595
29596 5089 
29597         mIO_LWORD
29598  :1;
29599
29600 5090 
29601         mIO_LWORD
29602  :1;
29603
29604 5091 
29605         mIO_LWORD
29606  :1;
29607
29608 5092 
29609 IO_LWORD
29610  
29611         m_IS
29612  :5;
29613
29614 5093 
29615 IO_LWORD
29616  
29617         m_EIS
29618  :4;
29619
29620 5094 
29621 IO_LWORD
29622  
29623         m_BLK
29624  :4;
29625
29626 5095 
29627 IO_LWORD
29628  
29629         m_DTC
29630  :16;
29631
29632 5096 }
29633         mb\99c
29634 ;
29635
29636 5097 }
29637         tDMACA3STR
29638 ;
29639
29640 5099 
29641 IO_LWORD
29642  
29643         mlwÜd
29644 ;
29645
29646 5101 
29647 IO_LWORD
29648  
29649         m_TYPE1
29650  :1;
29651
29652 5102 
29653 IO_LWORD
29654  
29655         m_TYPE0
29656  :1;
29657
29658 5103 
29659 IO_LWORD
29660  
29661         m_MOD1
29662  :1;
29663
29664 5104 
29665 IO_LWORD
29666  
29667         m_MOD0
29668  :1;
29669
29670 5105 
29671 IO_LWORD
29672  
29673         m_WS1
29674  :1;
29675
29676 5106 
29677 IO_LWORD
29678  
29679         m_WS0
29680  :1;
29681
29682 5107 
29683 IO_LWORD
29684  
29685         m_SADM
29686  :1;
29687
29688 5108 
29689 IO_LWORD
29690  
29691         m_DADM
29692  :1;
29693
29694 5109 
29695 IO_LWORD
29696  
29697         m_DTCR
29698  :1;
29699
29700 5110 
29701 IO_LWORD
29702  
29703         m_SADR
29704  :1;
29705
29706 5111 
29707 IO_LWORD
29708  
29709         m_DADR
29710  :1;
29711
29712 5112 
29713 IO_LWORD
29714  
29715         m_ERIE
29716  :1;
29717
29718 5113 
29719 IO_LWORD
29720  
29721         m_EDIE
29722  :1;
29723
29724 5114 
29725 IO_LWORD
29726  
29727         m_DSS2
29728  :1;
29729
29730 5115 
29731 IO_LWORD
29732  
29733         m_DSS1
29734  :1;
29735
29736 5116 
29737 IO_LWORD
29738  
29739         m_DSS0
29740  :1;
29741
29742 5117 
29743 IO_LWORD
29744  
29745         m_SASZ7
29746  :1;
29747
29748 5118 
29749 IO_LWORD
29750  
29751         m_SASZ6
29752  :1;
29753
29754 5119 
29755 IO_LWORD
29756  
29757         m_SASZ5
29758  :1;
29759
29760 5120 
29761 IO_LWORD
29762  
29763         m_SASZ4
29764  :1;
29765
29766 5121 
29767 IO_LWORD
29768  
29769         m_SASZ3
29770  :1;
29771
29772 5122 
29773 IO_LWORD
29774  
29775         m_SASZ2
29776  :1;
29777
29778 5123 
29779 IO_LWORD
29780  
29781         m_SASZ1
29782  :1;
29783
29784 5124 
29785 IO_LWORD
29786  
29787         m_SASZ0
29788  :1;
29789
29790 5125 
29791 IO_LWORD
29792  
29793         m_DASZ7
29794  :1;
29795
29796 5126 
29797 IO_LWORD
29798  
29799         m_DASZ6
29800  :1;
29801
29802 5127 
29803 IO_LWORD
29804  
29805         m_DASZ5
29806  :1;
29807
29808 5128 
29809 IO_LWORD
29810  
29811         m_DASZ4
29812  :1;
29813
29814 5129 
29815 IO_LWORD
29816  
29817         m_DASZ3
29818  :1;
29819
29820 5130 
29821 IO_LWORD
29822  
29823         m_DASZ2
29824  :1;
29825
29826 5131 
29827 IO_LWORD
29828  
29829         m_DASZ1
29830  :1;
29831
29832 5132 
29833 IO_LWORD
29834  
29835         m_DASZ0
29836  :1;
29837
29838 5133 }
29839         mb\99
29840 ;
29841
29842 5135 
29843 IO_LWORD
29844  
29845         m_TYPE
29846  :2;
29847
29848 5136 
29849 IO_LWORD
29850  
29851         m_MOD
29852  :2;
29853
29854 5137 
29855 IO_LWORD
29856  
29857         m_WS
29858  :2;
29859
29860 5138 
29861         mIO_LWORD
29862  :1;
29863
29864 5139 
29865         mIO_LWORD
29866  :1;
29867
29868 5140 
29869         mIO_LWORD
29870  :1;
29871
29872 5141 
29873         mIO_LWORD
29874  :1;
29875
29876 5142 
29877         mIO_LWORD
29878  :1;
29879
29880 5143 
29881         mIO_LWORD
29882  :1;
29883
29884 5144 
29885         mIO_LWORD
29886  :1;
29887
29888 5145 
29889 IO_LWORD
29890  
29891         m_DSS
29892  :3;
29893
29894 5146 
29895 IO_LWORD
29896  
29897         m_SASZ
29898  :8;
29899
29900 5147 
29901 IO_LWORD
29902  
29903         m_DASZ
29904  :8;
29905
29906 5148 }
29907         mb\99c
29908 ;
29909
29910 5149 }
29911         tDMACB3STR
29912 ;
29913
29914 5151 
29915 IO_LWORD
29916  
29917         mlwÜd
29918 ;
29919
29920 5153 
29921 IO_LWORD
29922  
29923         m_DENB
29924  :1;
29925
29926 5154 
29927 IO_LWORD
29928  
29929         m_PAUS
29930  :1;
29931
29932 5155 
29933 IO_LWORD
29934  
29935         m_STRG
29936  :1;
29937
29938 5156 
29939 IO_LWORD
29940  
29941         m_IS4
29942  :1;
29943
29944 5157 
29945 IO_LWORD
29946  
29947         m_IS3
29948  :1;
29949
29950 5158 
29951 IO_LWORD
29952  
29953         m_IS2
29954  :1;
29955
29956 5159 
29957 IO_LWORD
29958  
29959         m_IS1
29960  :1;
29961
29962 5160 
29963 IO_LWORD
29964  
29965         m_IS0
29966  :1;
29967
29968 5161 
29969 IO_LWORD
29970  
29971         m_EIS3
29972  :1;
29973
29974 5162 
29975 IO_LWORD
29976  
29977         m_EIS2
29978  :1;
29979
29980 5163 
29981 IO_LWORD
29982  
29983         m_EIS1
29984  :1;
29985
29986 5164 
29987 IO_LWORD
29988  
29989         m_EIS0
29990  :1;
29991
29992 5165 
29993 IO_LWORD
29994  
29995         m_BLK3
29996  :1;
29997
29998 5166 
29999 IO_LWORD
30000  
30001         m_BLK2
30002  :1;
30003
30004 5167 
30005 IO_LWORD
30006  
30007         m_BLK1
30008  :1;
30009
30010 5168 
30011 IO_LWORD
30012  
30013         m_BLK0
30014  :1;
30015
30016 5169 
30017 IO_LWORD
30018  
30019         m_DTCF
30020  :1;
30021
30022 5170 
30023 IO_LWORD
30024  
30025         m_DTCE
30026  :1;
30027
30028 5171 
30029 IO_LWORD
30030  
30031         m_DTCD
30032  :1;
30033
30034 5172 
30035 IO_LWORD
30036  
30037         m_DTCC
30038  :1;
30039
30040 5173 
30041 IO_LWORD
30042  
30043         m_DTCB
30044  :1;
30045
30046 5174 
30047 IO_LWORD
30048  
30049         m_DTCA
30050  :1;
30051
30052 5175 
30053 IO_LWORD
30054  
30055         m_DTC9
30056  :1;
30057
30058 5176 
30059 IO_LWORD
30060  
30061         m_DTC8
30062  :1;
30063
30064 5177 
30065 IO_LWORD
30066  
30067         m_DTC7
30068  :1;
30069
30070 5178 
30071 IO_LWORD
30072  
30073         m_DTC6
30074  :1;
30075
30076 5179 
30077 IO_LWORD
30078  
30079         m_DTC5
30080  :1;
30081
30082 5180 
30083 IO_LWORD
30084  
30085         m_DTC4
30086  :1;
30087
30088 5181 
30089 IO_LWORD
30090  
30091         m_DTC3
30092  :1;
30093
30094 5182 
30095 IO_LWORD
30096  
30097         m_DTC2
30098  :1;
30099
30100 5183 
30101 IO_LWORD
30102  
30103         m_DTC1
30104  :1;
30105
30106 5184 
30107 IO_LWORD
30108  
30109         m_DTC0
30110  :1;
30111
30112 5185 }
30113         mb\99
30114 ;
30115
30116 5187 
30117         mIO_LWORD
30118  :1;
30119
30120 5188 
30121         mIO_LWORD
30122  :1;
30123
30124 5189 
30125         mIO_LWORD
30126  :1;
30127
30128 5190 
30129 IO_LWORD
30130  
30131         m_IS
30132  :5;
30133
30134 5191 
30135 IO_LWORD
30136  
30137         m_EIS
30138  :4;
30139
30140 5192 
30141 IO_LWORD
30142  
30143         m_BLK
30144  :4;
30145
30146 5193 
30147 IO_LWORD
30148  
30149         m_DTC
30150  :16;
30151
30152 5194 }
30153         mb\99c
30154 ;
30155
30156 5195 }
30157         tDMACA4STR
30158 ;
30159
30160 5197 
30161 IO_LWORD
30162  
30163         mlwÜd
30164 ;
30165
30166 5199 
30167 IO_LWORD
30168  
30169         m_TYPE1
30170  :1;
30171
30172 5200 
30173 IO_LWORD
30174  
30175         m_TYPE0
30176  :1;
30177
30178 5201 
30179 IO_LWORD
30180  
30181         m_MOD1
30182  :1;
30183
30184 5202 
30185 IO_LWORD
30186  
30187         m_MOD0
30188  :1;
30189
30190 5203 
30191 IO_LWORD
30192  
30193         m_WS1
30194  :1;
30195
30196 5204 
30197 IO_LWORD
30198  
30199         m_WS0
30200  :1;
30201
30202 5205 
30203 IO_LWORD
30204  
30205         m_SADM
30206  :1;
30207
30208 5206 
30209 IO_LWORD
30210  
30211         m_DADM
30212  :1;
30213
30214 5207 
30215 IO_LWORD
30216  
30217         m_DTCR
30218  :1;
30219
30220 5208 
30221 IO_LWORD
30222  
30223         m_SADR
30224  :1;
30225
30226 5209 
30227 IO_LWORD
30228  
30229         m_DADR
30230  :1;
30231
30232 5210 
30233 IO_LWORD
30234  
30235         m_ERIE
30236  :1;
30237
30238 5211 
30239 IO_LWORD
30240  
30241         m_EDIE
30242  :1;
30243
30244 5212 
30245 IO_LWORD
30246  
30247         m_DSS2
30248  :1;
30249
30250 5213 
30251 IO_LWORD
30252  
30253         m_DSS1
30254  :1;
30255
30256 5214 
30257 IO_LWORD
30258  
30259         m_DSS0
30260  :1;
30261
30262 5215 
30263 IO_LWORD
30264  
30265         m_SASZ7
30266  :1;
30267
30268 5216 
30269 IO_LWORD
30270  
30271         m_SASZ6
30272  :1;
30273
30274 5217 
30275 IO_LWORD
30276  
30277         m_SASZ5
30278  :1;
30279
30280 5218 
30281 IO_LWORD
30282  
30283         m_SASZ4
30284  :1;
30285
30286 5219 
30287 IO_LWORD
30288  
30289         m_SASZ3
30290  :1;
30291
30292 5220 
30293 IO_LWORD
30294  
30295         m_SASZ2
30296  :1;
30297
30298 5221 
30299 IO_LWORD
30300  
30301         m_SASZ1
30302  :1;
30303
30304 5222 
30305 IO_LWORD
30306  
30307         m_SASZ0
30308  :1;
30309
30310 5223 
30311 IO_LWORD
30312  
30313         m_DASZ7
30314  :1;
30315
30316 5224 
30317 IO_LWORD
30318  
30319         m_DASZ6
30320  :1;
30321
30322 5225 
30323 IO_LWORD
30324  
30325         m_DASZ5
30326  :1;
30327
30328 5226 
30329 IO_LWORD
30330  
30331         m_DASZ4
30332  :1;
30333
30334 5227 
30335 IO_LWORD
30336  
30337         m_DASZ3
30338  :1;
30339
30340 5228 
30341 IO_LWORD
30342  
30343         m_DASZ2
30344  :1;
30345
30346 5229 
30347 IO_LWORD
30348  
30349         m_DASZ1
30350  :1;
30351
30352 5230 
30353 IO_LWORD
30354  
30355         m_DASZ0
30356  :1;
30357
30358 5231 }
30359         mb\99
30360 ;
30361
30362 5233 
30363 IO_LWORD
30364  
30365         m_TYPE
30366  :2;
30367
30368 5234 
30369 IO_LWORD
30370  
30371         m_MOD
30372  :2;
30373
30374 5235 
30375 IO_LWORD
30376  
30377         m_WS
30378  :2;
30379
30380 5236 
30381         mIO_LWORD
30382  :1;
30383
30384 5237 
30385         mIO_LWORD
30386  :1;
30387
30388 5238 
30389         mIO_LWORD
30390  :1;
30391
30392 5239 
30393         mIO_LWORD
30394  :1;
30395
30396 5240 
30397         mIO_LWORD
30398  :1;
30399
30400 5241 
30401         mIO_LWORD
30402  :1;
30403
30404 5242 
30405         mIO_LWORD
30406  :1;
30407
30408 5243 
30409 IO_LWORD
30410  
30411         m_DSS
30412  :3;
30413
30414 5244 
30415 IO_LWORD
30416  
30417         m_SASZ
30418  :8;
30419
30420 5245 
30421 IO_LWORD
30422  
30423         m_DASZ
30424  :8;
30425
30426 5246 }
30427         mb\99c
30428 ;
30429
30430 5247 }
30431         tDMACB4STR
30432 ;
30433
30434 5249 
30435 IO_BYTE
30436  
30437         mby\8b
30438 ;
30439
30440 5251 
30441 IO_BYTE
30442  
30443         m_DMAE
30444  :1;
30445
30446 5252 
30447         mIO_BYTE
30448  :1;
30449
30450 5253 
30451         mIO_BYTE
30452  :1;
30453
30454 5254 
30455 IO_BYTE
30456  
30457         m_PM01
30458  :1;
30459
30460 5255 
30461 IO_BYTE
30462  
30463         m_DMAH3
30464  :1;
30465
30466 5256 
30467 IO_BYTE
30468  
30469         m_DMAH2
30470  :1;
30471
30472 5257 
30473 IO_BYTE
30474  
30475         m_DMAH1
30476  :1;
30477
30478 5258 
30479 IO_BYTE
30480  
30481         m_DMAH0
30482  :1;
30483
30484 5259 }
30485         mb\99
30486 ;
30487
30488 5261 
30489         mIO_BYTE
30490  :1;
30491
30492 5262 
30493         mIO_BYTE
30494  :1;
30495
30496 5263 
30497         mIO_BYTE
30498  :1;
30499
30500 5264 
30501         mIO_BYTE
30502  :1;
30503
30504 5265 
30505 IO_BYTE
30506  
30507         m_DMAH
30508  :4;
30509
30510 5266 }
30511         mb\99c
30512 ;
30513
30514 5267 }
30515         tDMACRSTR
30516 ;
30517
30518 5269 
30519 IO_BYTE
30520  
30521         mby\8b
30522 ;
30523
30524 5271 
30525 IO_BYTE
30526  
30527         m_ICP5
30528  :1;
30529
30530 5272 
30531 IO_BYTE
30532  
30533         m_ICP4
30534  :1;
30535
30536 5273 
30537 IO_BYTE
30538  
30539         m_ICE5
30540  :1;
30541
30542 5274 
30543 IO_BYTE
30544  
30545         m_ICE4
30546  :1;
30547
30548 5275 
30549 IO_BYTE
30550  
30551         m_EG51
30552  :1;
30553
30554 5276 
30555 IO_BYTE
30556  
30557         m_EG50
30558  :1;
30559
30560 5277 
30561 IO_BYTE
30562  
30563         m_EG41
30564  :1;
30565
30566 5278 
30567 IO_BYTE
30568  
30569         m_EG40
30570  :1;
30571
30572 5279 }
30573         mb\99
30574 ;
30575
30576 5281 
30577         mIO_BYTE
30578  :1;
30579
30580 5282 
30581         mIO_BYTE
30582  :1;
30583
30584 5283 
30585         mIO_BYTE
30586  :1;
30587
30588 5284 
30589         mIO_BYTE
30590  :1;
30591
30592 5285 
30593 IO_BYTE
30594  
30595         m_EG5
30596  :2;
30597
30598 5286 
30599 IO_BYTE
30600  
30601         m_EG4
30602  :2;
30603
30604 5287 }
30605         mb\99c
30606 ;
30607
30608 5288 }
30609         tICS45STR
30610 ;
30611
30612 5290 
30613 IO_BYTE
30614  
30615         mby\8b
30616 ;
30617
30618 5292 
30619 IO_BYTE
30620  
30621         m_ICP7
30622  :1;
30623
30624 5293 
30625 IO_BYTE
30626  
30627         m_ICP6
30628  :1;
30629
30630 5294 
30631 IO_BYTE
30632  
30633         m_ICE7
30634  :1;
30635
30636 5295 
30637 IO_BYTE
30638  
30639         m_ICE6
30640  :1;
30641
30642 5296 
30643 IO_BYTE
30644  
30645         m_EG71
30646  :1;
30647
30648 5297 
30649 IO_BYTE
30650  
30651         m_EG70
30652  :1;
30653
30654 5298 
30655 IO_BYTE
30656  
30657         m_EG61
30658  :1;
30659
30660 5299 
30661 IO_BYTE
30662  
30663         m_EG60
30664  :1;
30665
30666 5300 }
30667         mb\99
30668 ;
30669
30670 5302 
30671         mIO_BYTE
30672  :1;
30673
30674 5303 
30675         mIO_BYTE
30676  :1;
30677
30678 5304 
30679         mIO_BYTE
30680  :1;
30681
30682 5305 
30683         mIO_BYTE
30684  :1;
30685
30686 5306 
30687 IO_BYTE
30688  
30689         m_EG7
30690  :2;
30691
30692 5307 
30693 IO_BYTE
30694  
30695         m_EG6
30696  :2;
30697
30698 5308 }
30699         mb\99c
30700 ;
30701
30702 5309 }
30703         tICS67STR
30704 ;
30705
30706 5311 
30707 IO_WORD
30708  
30709         mwÜd
30710 ;
30711
30712 5313 
30713 IO_WORD
30714  
30715         m_CP15
30716  :1;
30717
30718 5314 
30719 IO_WORD
30720  
30721         m_CP14
30722  :1;
30723
30724 5315 
30725 IO_WORD
30726  
30727         m_CP13
30728  :1;
30729
30730 5316 
30731 IO_WORD
30732  
30733         m_CP12
30734  :1;
30735
30736 5317 
30737 IO_WORD
30738  
30739         m_CP11
30740  :1;
30741
30742 5318 
30743 IO_WORD
30744  
30745         m_CP10
30746  :1;
30747
30748 5319 
30749 IO_WORD
30750  
30751         m_CP9
30752  :1;
30753
30754 5320 
30755 IO_WORD
30756  
30757         m_CP8
30758  :1;
30759
30760 5321 
30761 IO_WORD
30762  
30763         m_CP7
30764  :1;
30765
30766 5322 
30767 IO_WORD
30768  
30769         m_CP6
30770  :1;
30771
30772 5323 
30773 IO_WORD
30774  
30775         m_CP5
30776  :1;
30777
30778 5324 
30779 IO_WORD
30780  
30781         m_CP4
30782  :1;
30783
30784 5325 
30785 IO_WORD
30786  
30787         m_CP3
30788  :1;
30789
30790 5326 
30791 IO_WORD
30792  
30793         m_CP2
30794  :1;
30795
30796 5327 
30797 IO_WORD
30798  
30799         m_CP1
30800  :1;
30801
30802 5328 
30803 IO_WORD
30804  
30805         m_CP0
30806  :1;
30807
30808 5329 }
30809         mb\99
30810 ;
30811
30812 5330 }
30813         tIPCP4STR
30814 ;
30815
30816 5332 
30817 IO_WORD
30818  
30819         mwÜd
30820 ;
30821
30822 5334 
30823 IO_WORD
30824  
30825         m_CP15
30826  :1;
30827
30828 5335 
30829 IO_WORD
30830  
30831         m_CP14
30832  :1;
30833
30834 5336 
30835 IO_WORD
30836  
30837         m_CP13
30838  :1;
30839
30840 5337 
30841 IO_WORD
30842  
30843         m_CP12
30844  :1;
30845
30846 5338 
30847 IO_WORD
30848  
30849         m_CP11
30850  :1;
30851
30852 5339 
30853 IO_WORD
30854  
30855         m_CP10
30856  :1;
30857
30858 5340 
30859 IO_WORD
30860  
30861         m_CP9
30862  :1;
30863
30864 5341 
30865 IO_WORD
30866  
30867         m_CP8
30868  :1;
30869
30870 5342 
30871 IO_WORD
30872  
30873         m_CP7
30874  :1;
30875
30876 5343 
30877 IO_WORD
30878  
30879         m_CP6
30880  :1;
30881
30882 5344 
30883 IO_WORD
30884  
30885         m_CP5
30886  :1;
30887
30888 5345 
30889 IO_WORD
30890  
30891         m_CP4
30892  :1;
30893
30894 5346 
30895 IO_WORD
30896  
30897         m_CP3
30898  :1;
30899
30900 5347 
30901 IO_WORD
30902  
30903         m_CP2
30904  :1;
30905
30906 5348 
30907 IO_WORD
30908  
30909         m_CP1
30910  :1;
30911
30912 5349 
30913 IO_WORD
30914  
30915         m_CP0
30916  :1;
30917
30918 5350 }
30919         mb\99
30920 ;
30921
30922 5351 }
30923         tIPCP5STR
30924 ;
30925
30926 5353 
30927 IO_WORD
30928  
30929         mwÜd
30930 ;
30931
30932 5355 
30933 IO_WORD
30934  
30935         m_CP15
30936  :1;
30937
30938 5356 
30939 IO_WORD
30940  
30941         m_CP14
30942  :1;
30943
30944 5357 
30945 IO_WORD
30946  
30947         m_CP13
30948  :1;
30949
30950 5358 
30951 IO_WORD
30952  
30953         m_CP12
30954  :1;
30955
30956 5359 
30957 IO_WORD
30958  
30959         m_CP11
30960  :1;
30961
30962 5360 
30963 IO_WORD
30964  
30965         m_CP10
30966  :1;
30967
30968 5361 
30969 IO_WORD
30970  
30971         m_CP9
30972  :1;
30973
30974 5362 
30975 IO_WORD
30976  
30977         m_CP8
30978  :1;
30979
30980 5363 
30981 IO_WORD
30982  
30983         m_CP7
30984  :1;
30985
30986 5364 
30987 IO_WORD
30988  
30989         m_CP6
30990  :1;
30991
30992 5365 
30993 IO_WORD
30994  
30995         m_CP5
30996  :1;
30997
30998 5366 
30999 IO_WORD
31000  
31001         m_CP4
31002  :1;
31003
31004 5367 
31005 IO_WORD
31006  
31007         m_CP3
31008  :1;
31009
31010 5368 
31011 IO_WORD
31012  
31013         m_CP2
31014  :1;
31015
31016 5369 
31017 IO_WORD
31018  
31019         m_CP1
31020  :1;
31021
31022 5370 
31023 IO_WORD
31024  
31025         m_CP0
31026  :1;
31027
31028 5371 }
31029         mb\99
31030 ;
31031
31032 5372 }
31033         tIPCP6STR
31034 ;
31035
31036 5374 
31037 IO_WORD
31038  
31039         mwÜd
31040 ;
31041
31042 5376 
31043 IO_WORD
31044  
31045         m_CP15
31046  :1;
31047
31048 5377 
31049 IO_WORD
31050  
31051         m_CP14
31052  :1;
31053
31054 5378 
31055 IO_WORD
31056  
31057         m_CP13
31058  :1;
31059
31060 5379 
31061 IO_WORD
31062  
31063         m_CP12
31064  :1;
31065
31066 5380 
31067 IO_WORD
31068  
31069         m_CP11
31070  :1;
31071
31072 5381 
31073 IO_WORD
31074  
31075         m_CP10
31076  :1;
31077
31078 5382 
31079 IO_WORD
31080  
31081         m_CP9
31082  :1;
31083
31084 5383 
31085 IO_WORD
31086  
31087         m_CP8
31088  :1;
31089
31090 5384 
31091 IO_WORD
31092  
31093         m_CP7
31094  :1;
31095
31096 5385 
31097 IO_WORD
31098  
31099         m_CP6
31100  :1;
31101
31102 5386 
31103 IO_WORD
31104  
31105         m_CP5
31106  :1;
31107
31108 5387 
31109 IO_WORD
31110  
31111         m_CP4
31112  :1;
31113
31114 5388 
31115 IO_WORD
31116  
31117         m_CP3
31118  :1;
31119
31120 5389 
31121 IO_WORD
31122  
31123         m_CP2
31124  :1;
31125
31126 5390 
31127 IO_WORD
31128  
31129         m_CP1
31130  :1;
31131
31132 5391 
31133 IO_WORD
31134  
31135         m_CP0
31136  :1;
31137
31138 5392 }
31139         mb\99
31140 ;
31141
31142 5393 }
31143         tIPCP7STR
31144 ;
31145
31146 5395 
31147 IO_WORD
31148  
31149         mwÜd
31150 ;
31151
31152 5397 
31153         mIO_WORD
31154  :1;
31155
31156 5398 
31157         mIO_WORD
31158  :1;
31159
31160 5399 
31161         mIO_WORD
31162  :1;
31163
31164 5400 
31165 IO_WORD
31166  
31167         m_CMOD
31168  :1;
31169
31170 5401 
31171         mIO_WORD
31172  :1;
31173
31174 5402 
31175         mIO_WORD
31176  :1;
31177
31178 5403 
31179 IO_WORD
31180  
31181         m_OTD5
31182  :1;
31183
31184 5404 
31185 IO_WORD
31186  
31187         m_OTD4
31188  :1;
31189
31190 5405 
31191 IO_WORD
31192  
31193         m_ICP5
31194  :1;
31195
31196 5406 
31197 IO_WORD
31198  
31199         m_ICP4
31200  :1;
31201
31202 5407 
31203 IO_WORD
31204  
31205         m_ICE5
31206  :1;
31207
31208 5408 
31209 IO_WORD
31210  
31211         m_ICE4
31212  :1;
31213
31214 5409 
31215         mIO_WORD
31216  :1;
31217
31218 5410 
31219         mIO_WORD
31220  :1;
31221
31222 5411 
31223 IO_WORD
31224  
31225         m_CST5
31226  :1;
31227
31228 5412 
31229 IO_WORD
31230  
31231         m_CST4
31232  :1;
31233
31234 5413 }
31235         mb\99
31236 ;
31237
31238 5414 }
31239         tOCS45STR
31240 ;
31241
31242 5416 
31243 IO_WORD
31244  
31245         mwÜd
31246 ;
31247
31248 5418 
31249         mIO_WORD
31250  :1;
31251
31252 5419 
31253         mIO_WORD
31254  :1;
31255
31256 5420 
31257         mIO_WORD
31258  :1;
31259
31260 5421 
31261 IO_WORD
31262  
31263         m_CMOD
31264  :1;
31265
31266 5422 
31267         mIO_WORD
31268  :1;
31269
31270 5423 
31271         mIO_WORD
31272  :1;
31273
31274 5424 
31275 IO_WORD
31276  
31277         m_OTD7
31278  :1;
31279
31280 5425 
31281 IO_WORD
31282  
31283         m_OTD6
31284  :1;
31285
31286 5426 
31287 IO_WORD
31288  
31289         m_ICP7
31290  :1;
31291
31292 5427 
31293 IO_WORD
31294  
31295         m_ICP6
31296  :1;
31297
31298 5428 
31299 IO_WORD
31300  
31301         m_ICE7
31302  :1;
31303
31304 5429 
31305 IO_WORD
31306  
31307         m_ICE6
31308  :1;
31309
31310 5430 
31311         mIO_WORD
31312  :1;
31313
31314 5431 
31315         mIO_WORD
31316  :1;
31317
31318 5432 
31319 IO_WORD
31320  
31321         m_CST7
31322  :1;
31323
31324 5433 
31325 IO_WORD
31326  
31327         m_CST6
31328  :1;
31329
31330 5434 }
31331         mb\99
31332 ;
31333
31334 5435 }
31335         tOCS67STR
31336 ;
31337
31338 5437 
31339 IO_WORD
31340  
31341         mwÜd
31342 ;
31343
31344 5439 
31345 IO_WORD
31346  
31347         m_C15
31348  :1;
31349
31350 5440 
31351 IO_WORD
31352  
31353         m_C14
31354  :1;
31355
31356 5441 
31357 IO_WORD
31358  
31359         m_C13
31360  :1;
31361
31362 5442 
31363 IO_WORD
31364  
31365         m_C12
31366  :1;
31367
31368 5443 
31369 IO_WORD
31370  
31371         m_C11
31372  :1;
31373
31374 5444 
31375 IO_WORD
31376  
31377         m_C10
31378  :1;
31379
31380 5445 
31381 IO_WORD
31382  
31383         m_C9
31384  :1;
31385
31386 5446 
31387 IO_WORD
31388  
31389         m_C8
31390  :1;
31391
31392 5447 
31393 IO_WORD
31394  
31395         m_C7
31396  :1;
31397
31398 5448 
31399 IO_WORD
31400  
31401         m_C6
31402  :1;
31403
31404 5449 
31405 IO_WORD
31406  
31407         m_C5
31408  :1;
31409
31410 5450 
31411 IO_WORD
31412  
31413         m_C4
31414  :1;
31415
31416 5451 
31417 IO_WORD
31418  
31419         m_C3
31420  :1;
31421
31422 5452 
31423 IO_WORD
31424  
31425         m_C2
31426  :1;
31427
31428 5453 
31429 IO_WORD
31430  
31431         m_C1
31432  :1;
31433
31434 5454 
31435 IO_WORD
31436  
31437         m_C0
31438  :1;
31439
31440 5455 }
31441         mb\99
31442 ;
31443
31444 5456 }
31445         tOCCP4STR
31446 ;
31447
31448 5458 
31449 IO_WORD
31450  
31451         mwÜd
31452 ;
31453
31454 5460 
31455 IO_WORD
31456  
31457         m_C15
31458  :1;
31459
31460 5461 
31461 IO_WORD
31462  
31463         m_C14
31464  :1;
31465
31466 5462 
31467 IO_WORD
31468  
31469         m_C13
31470  :1;
31471
31472 5463 
31473 IO_WORD
31474  
31475         m_C12
31476  :1;
31477
31478 5464 
31479 IO_WORD
31480  
31481         m_C11
31482  :1;
31483
31484 5465 
31485 IO_WORD
31486  
31487         m_C10
31488  :1;
31489
31490 5466 
31491 IO_WORD
31492  
31493         m_C9
31494  :1;
31495
31496 5467 
31497 IO_WORD
31498  
31499         m_C8
31500  :1;
31501
31502 5468 
31503 IO_WORD
31504  
31505         m_C7
31506  :1;
31507
31508 5469 
31509 IO_WORD
31510  
31511         m_C6
31512  :1;
31513
31514 5470 
31515 IO_WORD
31516  
31517         m_C5
31518  :1;
31519
31520 5471 
31521 IO_WORD
31522  
31523         m_C4
31524  :1;
31525
31526 5472 
31527 IO_WORD
31528  
31529         m_C3
31530  :1;
31531
31532 5473 
31533 IO_WORD
31534  
31535         m_C2
31536  :1;
31537
31538 5474 
31539 IO_WORD
31540  
31541         m_C1
31542  :1;
31543
31544 5475 
31545 IO_WORD
31546  
31547         m_C0
31548  :1;
31549
31550 5476 }
31551         mb\99
31552 ;
31553
31554 5477 }
31555         tOCCP5STR
31556 ;
31557
31558 5479 
31559 IO_WORD
31560  
31561         mwÜd
31562 ;
31563
31564 5481 
31565 IO_WORD
31566  
31567         m_C15
31568  :1;
31569
31570 5482 
31571 IO_WORD
31572  
31573         m_C14
31574  :1;
31575
31576 5483 
31577 IO_WORD
31578  
31579         m_C13
31580  :1;
31581
31582 5484 
31583 IO_WORD
31584  
31585         m_C12
31586  :1;
31587
31588 5485 
31589 IO_WORD
31590  
31591         m_C11
31592  :1;
31593
31594 5486 
31595 IO_WORD
31596  
31597         m_C10
31598  :1;
31599
31600 5487 
31601 IO_WORD
31602  
31603         m_C9
31604  :1;
31605
31606 5488 
31607 IO_WORD
31608  
31609         m_C8
31610  :1;
31611
31612 5489 
31613 IO_WORD
31614  
31615         m_C7
31616  :1;
31617
31618 5490 
31619 IO_WORD
31620  
31621         m_C6
31622  :1;
31623
31624 5491 
31625 IO_WORD
31626  
31627         m_C5
31628  :1;
31629
31630 5492 
31631 IO_WORD
31632  
31633         m_C4
31634  :1;
31635
31636 5493 
31637 IO_WORD
31638  
31639         m_C3
31640  :1;
31641
31642 5494 
31643 IO_WORD
31644  
31645         m_C2
31646  :1;
31647
31648 5495 
31649 IO_WORD
31650  
31651         m_C1
31652  :1;
31653
31654 5496 
31655 IO_WORD
31656  
31657         m_C0
31658  :1;
31659
31660 5497 }
31661         mb\99
31662 ;
31663
31664 5498 }
31665         tOCCP6STR
31666 ;
31667
31668 5500 
31669 IO_WORD
31670  
31671         mwÜd
31672 ;
31673
31674 5502 
31675 IO_WORD
31676  
31677         m_C15
31678  :1;
31679
31680 5503 
31681 IO_WORD
31682  
31683         m_C14
31684  :1;
31685
31686 5504 
31687 IO_WORD
31688  
31689         m_C13
31690  :1;
31691
31692 5505 
31693 IO_WORD
31694  
31695         m_C12
31696  :1;
31697
31698 5506 
31699 IO_WORD
31700  
31701         m_C11
31702  :1;
31703
31704 5507 
31705 IO_WORD
31706  
31707         m_C10
31708  :1;
31709
31710 5508 
31711 IO_WORD
31712  
31713         m_C9
31714  :1;
31715
31716 5509 
31717 IO_WORD
31718  
31719         m_C8
31720  :1;
31721
31722 5510 
31723 IO_WORD
31724  
31725         m_C7
31726  :1;
31727
31728 5511 
31729 IO_WORD
31730  
31731         m_C6
31732  :1;
31733
31734 5512 
31735 IO_WORD
31736  
31737         m_C5
31738  :1;
31739
31740 5513 
31741 IO_WORD
31742  
31743         m_C4
31744  :1;
31745
31746 5514 
31747 IO_WORD
31748  
31749         m_C3
31750  :1;
31751
31752 5515 
31753 IO_WORD
31754  
31755         m_C2
31756  :1;
31757
31758 5516 
31759 IO_WORD
31760  
31761         m_C1
31762  :1;
31763
31764 5517 
31765 IO_WORD
31766  
31767         m_C0
31768  :1;
31769
31770 5518 }
31771         mb\99
31772 ;
31773
31774 5519 }
31775         tOCCP7STR
31776 ;
31777
31778 5521 
31779 IO_WORD
31780  
31781         mwÜd
31782 ;
31783
31784 5523 
31785 IO_WORD
31786  
31787         m_T15
31788  :1;
31789
31790 5524 
31791 IO_WORD
31792  
31793         m_T14
31794  :1;
31795
31796 5525 
31797 IO_WORD
31798  
31799         m_T13
31800  :1;
31801
31802 5526 
31803 IO_WORD
31804  
31805         m_T12
31806  :1;
31807
31808 5527 
31809 IO_WORD
31810  
31811         m_T11
31812  :1;
31813
31814 5528 
31815 IO_WORD
31816  
31817         m_T10
31818  :1;
31819
31820 5529 
31821 IO_WORD
31822  
31823         m_T9
31824  :1;
31825
31826 5530 
31827 IO_WORD
31828  
31829         m_T8
31830  :1;
31831
31832 5531 
31833 IO_WORD
31834  
31835         m_T7
31836  :1;
31837
31838 5532 
31839 IO_WORD
31840  
31841         m_T6
31842  :1;
31843
31844 5533 
31845 IO_WORD
31846  
31847         m_T5
31848  :1;
31849
31850 5534 
31851 IO_WORD
31852  
31853         m_T4
31854  :1;
31855
31856 5535 
31857 IO_WORD
31858  
31859         m_T3
31860  :1;
31861
31862 5536 
31863 IO_WORD
31864  
31865         m_T2
31866  :1;
31867
31868 5537 
31869 IO_WORD
31870  
31871         m_T1
31872  :1;
31873
31874 5538 
31875 IO_WORD
31876  
31877         m_T0
31878  :1;
31879
31880 5539 }
31881         mb\99
31882 ;
31883
31884 5540 }
31885         tTCDT4STR
31886 ;
31887
31888 5542 
31889 IO_BYTE
31890  
31891         mby\8b
31892 ;
31893
31894 5544 
31895 IO_BYTE
31896  
31897         m_ECLK
31898  :1;
31899
31900 5545 
31901 IO_BYTE
31902  
31903         m_IVF
31904  :1;
31905
31906 5546 
31907 IO_BYTE
31908  
31909         m_IVFE
31910  :1;
31911
31912 5547 
31913 IO_BYTE
31914  
31915         m_STOP
31916  :1;
31917
31918 5548 
31919 IO_BYTE
31920  
31921         m_MODE
31922  :1;
31923
31924 5549 
31925 IO_BYTE
31926  
31927         m_CLR
31928  :1;
31929
31930 5550 
31931 IO_BYTE
31932  
31933         m_CLK1
31934  :1;
31935
31936 5551 
31937 IO_BYTE
31938  
31939         m_CLK0
31940  :1;
31941
31942 5552 }
31943         mb\99
31944 ;
31945
31946 5554 
31947         mIO_BYTE
31948  :1;
31949
31950 5555 
31951         mIO_BYTE
31952  :1;
31953
31954 5556 
31955         mIO_BYTE
31956  :1;
31957
31958 5557 
31959         mIO_BYTE
31960  :1;
31961
31962 5558 
31963         mIO_BYTE
31964  :1;
31965
31966 5559 
31967         mIO_BYTE
31968  :1;
31969
31970 5560 
31971 IO_BYTE
31972  
31973         m_CLK
31974  :2;
31975
31976 5561 }
31977         mb\99c
31978 ;
31979
31980 5562 }
31981         tTCCS4STR
31982 ;
31983
31984 5564 
31985 IO_WORD
31986  
31987         mwÜd
31988 ;
31989
31990 5566 
31991 IO_WORD
31992  
31993         m_T15
31994  :1;
31995
31996 5567 
31997 IO_WORD
31998  
31999         m_T14
32000  :1;
32001
32002 5568 
32003 IO_WORD
32004  
32005         m_T13
32006  :1;
32007
32008 5569 
32009 IO_WORD
32010  
32011         m_T12
32012  :1;
32013
32014 5570 
32015 IO_WORD
32016  
32017         m_T11
32018  :1;
32019
32020 5571 
32021 IO_WORD
32022  
32023         m_T10
32024  :1;
32025
32026 5572 
32027 IO_WORD
32028  
32029         m_T9
32030  :1;
32031
32032 5573 
32033 IO_WORD
32034  
32035         m_T8
32036  :1;
32037
32038 5574 
32039 IO_WORD
32040  
32041         m_T7
32042  :1;
32043
32044 5575 
32045 IO_WORD
32046  
32047         m_T6
32048  :1;
32049
32050 5576 
32051 IO_WORD
32052  
32053         m_T5
32054  :1;
32055
32056 5577 
32057 IO_WORD
32058  
32059         m_T4
32060  :1;
32061
32062 5578 
32063 IO_WORD
32064  
32065         m_T3
32066  :1;
32067
32068 5579 
32069 IO_WORD
32070  
32071         m_T2
32072  :1;
32073
32074 5580 
32075 IO_WORD
32076  
32077         m_T1
32078  :1;
32079
32080 5581 
32081 IO_WORD
32082  
32083         m_T0
32084  :1;
32085
32086 5582 }
32087         mb\99
32088 ;
32089
32090 5583 }
32091         tTCDT5STR
32092 ;
32093
32094 5585 
32095 IO_BYTE
32096  
32097         mby\8b
32098 ;
32099
32100 5587 
32101 IO_BYTE
32102  
32103         m_ECLK
32104  :1;
32105
32106 5588 
32107 IO_BYTE
32108  
32109         m_IVF
32110  :1;
32111
32112 5589 
32113 IO_BYTE
32114  
32115         m_IVFE
32116  :1;
32117
32118 5590 
32119 IO_BYTE
32120  
32121         m_STOP
32122  :1;
32123
32124 5591 
32125 IO_BYTE
32126  
32127         m_MODE
32128  :1;
32129
32130 5592 
32131 IO_BYTE
32132  
32133         m_CLR
32134  :1;
32135
32136 5593 
32137 IO_BYTE
32138  
32139         m_CLK1
32140  :1;
32141
32142 5594 
32143 IO_BYTE
32144  
32145         m_CLK0
32146  :1;
32147
32148 5595 }
32149         mb\99
32150 ;
32151
32152 5597 
32153         mIO_BYTE
32154  :1;
32155
32156 5598 
32157         mIO_BYTE
32158  :1;
32159
32160 5599 
32161         mIO_BYTE
32162  :1;
32163
32164 5600 
32165         mIO_BYTE
32166  :1;
32167
32168 5601 
32169         mIO_BYTE
32170  :1;
32171
32172 5602 
32173         mIO_BYTE
32174  :1;
32175
32176 5603 
32177 IO_BYTE
32178  
32179         m_CLK
32180  :2;
32181
32182 5604 }
32183         mb\99c
32184 ;
32185
32186 5605 }
32187         tTCCS5STR
32188 ;
32189
32190 5607 
32191 IO_WORD
32192  
32193         mwÜd
32194 ;
32195
32196 5609 
32197 IO_WORD
32198  
32199         m_T15
32200  :1;
32201
32202 5610 
32203 IO_WORD
32204  
32205         m_T14
32206  :1;
32207
32208 5611 
32209 IO_WORD
32210  
32211         m_T13
32212  :1;
32213
32214 5612 
32215 IO_WORD
32216  
32217         m_T12
32218  :1;
32219
32220 5613 
32221 IO_WORD
32222  
32223         m_T11
32224  :1;
32225
32226 5614 
32227 IO_WORD
32228  
32229         m_T10
32230  :1;
32231
32232 5615 
32233 IO_WORD
32234  
32235         m_T9
32236  :1;
32237
32238 5616 
32239 IO_WORD
32240  
32241         m_T8
32242  :1;
32243
32244 5617 
32245 IO_WORD
32246  
32247         m_T7
32248  :1;
32249
32250 5618 
32251 IO_WORD
32252  
32253         m_T6
32254  :1;
32255
32256 5619 
32257 IO_WORD
32258  
32259         m_T5
32260  :1;
32261
32262 5620 
32263 IO_WORD
32264  
32265         m_T4
32266  :1;
32267
32268 5621 
32269 IO_WORD
32270  
32271         m_T3
32272  :1;
32273
32274 5622 
32275 IO_WORD
32276  
32277         m_T2
32278  :1;
32279
32280 5623 
32281 IO_WORD
32282  
32283         m_T1
32284  :1;
32285
32286 5624 
32287 IO_WORD
32288  
32289         m_T0
32290  :1;
32291
32292 5625 }
32293         mb\99
32294 ;
32295
32296 5626 }
32297         tTCDT6STR
32298 ;
32299
32300 5628 
32301 IO_BYTE
32302  
32303         mby\8b
32304 ;
32305
32306 5630 
32307 IO_BYTE
32308  
32309         m_ECLK
32310  :1;
32311
32312 5631 
32313 IO_BYTE
32314  
32315         m_IVF
32316  :1;
32317
32318 5632 
32319 IO_BYTE
32320  
32321         m_IVFE
32322  :1;
32323
32324 5633 
32325 IO_BYTE
32326  
32327         m_STOP
32328  :1;
32329
32330 5634 
32331 IO_BYTE
32332  
32333         m_MODE
32334  :1;
32335
32336 5635 
32337 IO_BYTE
32338  
32339         m_CLR
32340  :1;
32341
32342 5636 
32343 IO_BYTE
32344  
32345         m_CLK1
32346  :1;
32347
32348 5637 
32349 IO_BYTE
32350  
32351         m_CLK0
32352  :1;
32353
32354 5638 }
32355         mb\99
32356 ;
32357
32358 5640 
32359         mIO_BYTE
32360  :1;
32361
32362 5641 
32363         mIO_BYTE
32364  :1;
32365
32366 5642 
32367         mIO_BYTE
32368  :1;
32369
32370 5643 
32371         mIO_BYTE
32372  :1;
32373
32374 5644 
32375         mIO_BYTE
32376  :1;
32377
32378 5645 
32379         mIO_BYTE
32380  :1;
32381
32382 5646 
32383 IO_BYTE
32384  
32385         m_CLK
32386  :2;
32387
32388 5647 }
32389         mb\99c
32390 ;
32391
32392 5648 }
32393         tTCCS6STR
32394 ;
32395
32396 5650 
32397 IO_WORD
32398  
32399         mwÜd
32400 ;
32401
32402 5652 
32403 IO_WORD
32404  
32405         m_T15
32406  :1;
32407
32408 5653 
32409 IO_WORD
32410  
32411         m_T14
32412  :1;
32413
32414 5654 
32415 IO_WORD
32416  
32417         m_T13
32418  :1;
32419
32420 5655 
32421 IO_WORD
32422  
32423         m_T12
32424  :1;
32425
32426 5656 
32427 IO_WORD
32428  
32429         m_T11
32430  :1;
32431
32432 5657 
32433 IO_WORD
32434  
32435         m_T10
32436  :1;
32437
32438 5658 
32439 IO_WORD
32440  
32441         m_T9
32442  :1;
32443
32444 5659 
32445 IO_WORD
32446  
32447         m_T8
32448  :1;
32449
32450 5660 
32451 IO_WORD
32452  
32453         m_T7
32454  :1;
32455
32456 5661 
32457 IO_WORD
32458  
32459         m_T6
32460  :1;
32461
32462 5662 
32463 IO_WORD
32464  
32465         m_T5
32466  :1;
32467
32468 5663 
32469 IO_WORD
32470  
32471         m_T4
32472  :1;
32473
32474 5664 
32475 IO_WORD
32476  
32477         m_T3
32478  :1;
32479
32480 5665 
32481 IO_WORD
32482  
32483         m_T2
32484  :1;
32485
32486 5666 
32487 IO_WORD
32488  
32489         m_T1
32490  :1;
32491
32492 5667 
32493 IO_WORD
32494  
32495         m_T0
32496  :1;
32497
32498 5668 }
32499         mb\99
32500 ;
32501
32502 5669 }
32503         tTCDT7STR
32504 ;
32505
32506 5671 
32507 IO_BYTE
32508  
32509         mby\8b
32510 ;
32511
32512 5673 
32513 IO_BYTE
32514  
32515         m_ECLK
32516  :1;
32517
32518 5674 
32519 IO_BYTE
32520  
32521         m_IVF
32522  :1;
32523
32524 5675 
32525 IO_BYTE
32526  
32527         m_IVFE
32528  :1;
32529
32530 5676 
32531 IO_BYTE
32532  
32533         m_STOP
32534  :1;
32535
32536 5677 
32537 IO_BYTE
32538  
32539         m_MODE
32540  :1;
32541
32542 5678 
32543 IO_BYTE
32544  
32545         m_CLR
32546  :1;
32547
32548 5679 
32549 IO_BYTE
32550  
32551         m_CLK1
32552  :1;
32553
32554 5680 
32555 IO_BYTE
32556  
32557         m_CLK0
32558  :1;
32559
32560 5681 }
32561         mb\99
32562 ;
32563
32564 5683 
32565         mIO_BYTE
32566  :1;
32567
32568 5684 
32569         mIO_BYTE
32570  :1;
32571
32572 5685 
32573         mIO_BYTE
32574  :1;
32575
32576 5686 
32577         mIO_BYTE
32578  :1;
32579
32580 5687 
32581         mIO_BYTE
32582  :1;
32583
32584 5688 
32585         mIO_BYTE
32586  :1;
32587
32588 5689 
32589 IO_BYTE
32590  
32591         m_CLK
32592  :2;
32593
32594 5690 }
32595         mb\99c
32596 ;
32597
32598 5691 }
32599         tTCCS7STR
32600 ;
32601
32602 5693 
32603 IO_WORD
32604  
32605         mwÜd
32606 ;
32607
32608 5695 
32609 IO_WORD
32610  
32611         m_D15
32612  :1;
32613
32614 5696 
32615 IO_WORD
32616  
32617         m_D14
32618  :1;
32619
32620 5697 
32621 IO_WORD
32622  
32623         m_D13
32624  :1;
32625
32626 5698 
32627 IO_WORD
32628  
32629         m_D12
32630  :1;
32631
32632 5699 
32633 IO_WORD
32634  
32635         m_D11
32636  :1;
32637
32638 5700 
32639 IO_WORD
32640  
32641         m_D10
32642  :1;
32643
32644 5701 
32645 IO_WORD
32646  
32647         m_D9
32648  :1;
32649
32650 5702 
32651 IO_WORD
32652  
32653         m_D8
32654  :1;
32655
32656 5703 
32657 IO_WORD
32658  
32659         m_D7
32660  :1;
32661
32662 5704 
32663 IO_WORD
32664  
32665         m_D6
32666  :1;
32667
32668 5705 
32669 IO_WORD
32670  
32671         m_D5
32672  :1;
32673
32674 5706 
32675 IO_WORD
32676  
32677         m_D4
32678  :1;
32679
32680 5707 
32681 IO_WORD
32682  
32683         m_D3
32684  :1;
32685
32686 5708 
32687 IO_WORD
32688  
32689         m_D2
32690  :1;
32691
32692 5709 
32693 IO_WORD
32694  
32695         m_D1
32696  :1;
32697
32698 5710 
32699 IO_WORD
32700  
32701         m_D0
32702  :1;
32703
32704 5711 }
32705         mb\99
32706 ;
32707
32708 5712 }
32709         tROMSSTR
32710 ;
32711
32712 5714 
32713 IO_BYTE
32714  
32715         mby\8b
32716 ;
32717
32718 5716 
32719         mIO_BYTE
32720  :1;
32721
32722 5717 
32723         mIO_BYTE
32724  :1;
32725
32726 5718 
32727         mIO_BYTE
32728  :1;
32729
32730 5719 
32731 IO_BYTE
32732  
32733         m_ICR4
32734  :1;
32735
32736 5720 
32737 IO_BYTE
32738  
32739         m_ICR3
32740  :1;
32741
32742 5721 
32743 IO_BYTE
32744  
32745         m_ICR2
32746  :1;
32747
32748 5722 
32749 IO_BYTE
32750  
32751         m_ICR1
32752  :1;
32753
32754 5723 
32755 IO_BYTE
32756  
32757         m_ICR0
32758  :1;
32759
32760 5724 }
32761         mb\99
32762 ;
32763
32764 5725 }
32765         tICR00STR
32766 ;
32767
32768 5727 
32769 IO_BYTE
32770  
32771         mby\8b
32772 ;
32773
32774 5729 
32775         mIO_BYTE
32776  :1;
32777
32778 5730 
32779         mIO_BYTE
32780  :1;
32781
32782 5731 
32783         mIO_BYTE
32784  :1;
32785
32786 5732 
32787 IO_BYTE
32788  
32789         m_ICR4
32790  :1;
32791
32792 5733 
32793 IO_BYTE
32794  
32795         m_ICR3
32796  :1;
32797
32798 5734 
32799 IO_BYTE
32800  
32801         m_ICR2
32802  :1;
32803
32804 5735 
32805 IO_BYTE
32806  
32807         m_ICR1
32808  :1;
32809
32810 5736 
32811 IO_BYTE
32812  
32813         m_ICR0
32814  :1;
32815
32816 5737 }
32817         mb\99
32818 ;
32819
32820 5738 }
32821         tICR01STR
32822 ;
32823
32824 5740 
32825 IO_BYTE
32826  
32827         mby\8b
32828 ;
32829
32830 5742 
32831         mIO_BYTE
32832  :1;
32833
32834 5743 
32835         mIO_BYTE
32836  :1;
32837
32838 5744 
32839         mIO_BYTE
32840  :1;
32841
32842 5745 
32843 IO_BYTE
32844  
32845         m_ICR4
32846  :1;
32847
32848 5746 
32849 IO_BYTE
32850  
32851         m_ICR3
32852  :1;
32853
32854 5747 
32855 IO_BYTE
32856  
32857         m_ICR2
32858  :1;
32859
32860 5748 
32861 IO_BYTE
32862  
32863         m_ICR1
32864  :1;
32865
32866 5749 
32867 IO_BYTE
32868  
32869         m_ICR0
32870  :1;
32871
32872 5750 }
32873         mb\99
32874 ;
32875
32876 5751 }
32877         tICR02STR
32878 ;
32879
32880 5753 
32881 IO_BYTE
32882  
32883         mby\8b
32884 ;
32885
32886 5755 
32887         mIO_BYTE
32888  :1;
32889
32890 5756 
32891         mIO_BYTE
32892  :1;
32893
32894 5757 
32895         mIO_BYTE
32896  :1;
32897
32898 5758 
32899 IO_BYTE
32900  
32901         m_ICR4
32902  :1;
32903
32904 5759 
32905 IO_BYTE
32906  
32907         m_ICR3
32908  :1;
32909
32910 5760 
32911 IO_BYTE
32912  
32913         m_ICR2
32914  :1;
32915
32916 5761 
32917 IO_BYTE
32918  
32919         m_ICR1
32920  :1;
32921
32922 5762 
32923 IO_BYTE
32924  
32925         m_ICR0
32926  :1;
32927
32928 5763 }
32929         mb\99
32930 ;
32931
32932 5764 }
32933         tICR03STR
32934 ;
32935
32936 5766 
32937 IO_BYTE
32938  
32939         mby\8b
32940 ;
32941
32942 5768 
32943         mIO_BYTE
32944  :1;
32945
32946 5769 
32947         mIO_BYTE
32948  :1;
32949
32950 5770 
32951         mIO_BYTE
32952  :1;
32953
32954 5771 
32955 IO_BYTE
32956  
32957         m_ICR4
32958  :1;
32959
32960 5772 
32961 IO_BYTE
32962  
32963         m_ICR3
32964  :1;
32965
32966 5773 
32967 IO_BYTE
32968  
32969         m_ICR2
32970  :1;
32971
32972 5774 
32973 IO_BYTE
32974  
32975         m_ICR1
32976  :1;
32977
32978 5775 
32979 IO_BYTE
32980  
32981         m_ICR0
32982  :1;
32983
32984 5776 }
32985         mb\99
32986 ;
32987
32988 5777 }
32989         tICR04STR
32990 ;
32991
32992 5779 
32993 IO_BYTE
32994  
32995         mby\8b
32996 ;
32997
32998 5781 
32999         mIO_BYTE
33000  :1;
33001
33002 5782 
33003         mIO_BYTE
33004  :1;
33005
33006 5783 
33007         mIO_BYTE
33008  :1;
33009
33010 5784 
33011 IO_BYTE
33012  
33013         m_ICR4
33014  :1;
33015
33016 5785 
33017 IO_BYTE
33018  
33019         m_ICR3
33020  :1;
33021
33022 5786 
33023 IO_BYTE
33024  
33025         m_ICR2
33026  :1;
33027
33028 5787 
33029 IO_BYTE
33030  
33031         m_ICR1
33032  :1;
33033
33034 5788 
33035 IO_BYTE
33036  
33037         m_ICR0
33038  :1;
33039
33040 5789 }
33041         mb\99
33042 ;
33043
33044 5790 }
33045         tICR05STR
33046 ;
33047
33048 5792 
33049 IO_BYTE
33050  
33051         mby\8b
33052 ;
33053
33054 5794 
33055         mIO_BYTE
33056  :1;
33057
33058 5795 
33059         mIO_BYTE
33060  :1;
33061
33062 5796 
33063         mIO_BYTE
33064  :1;
33065
33066 5797 
33067 IO_BYTE
33068  
33069         m_ICR4
33070  :1;
33071
33072 5798 
33073 IO_BYTE
33074  
33075         m_ICR3
33076  :1;
33077
33078 5799 
33079 IO_BYTE
33080  
33081         m_ICR2
33082  :1;
33083
33084 5800 
33085 IO_BYTE
33086  
33087         m_ICR1
33088  :1;
33089
33090 5801 
33091 IO_BYTE
33092  
33093         m_ICR0
33094  :1;
33095
33096 5802 }
33097         mb\99
33098 ;
33099
33100 5803 }
33101         tICR06STR
33102 ;
33103
33104 5805 
33105 IO_BYTE
33106  
33107         mby\8b
33108 ;
33109
33110 5807 
33111         mIO_BYTE
33112  :1;
33113
33114 5808 
33115         mIO_BYTE
33116  :1;
33117
33118 5809 
33119         mIO_BYTE
33120  :1;
33121
33122 5810 
33123 IO_BYTE
33124  
33125         m_ICR4
33126  :1;
33127
33128 5811 
33129 IO_BYTE
33130  
33131         m_ICR3
33132  :1;
33133
33134 5812 
33135 IO_BYTE
33136  
33137         m_ICR2
33138  :1;
33139
33140 5813 
33141 IO_BYTE
33142  
33143         m_ICR1
33144  :1;
33145
33146 5814 
33147 IO_BYTE
33148  
33149         m_ICR0
33150  :1;
33151
33152 5815 }
33153         mb\99
33154 ;
33155
33156 5816 }
33157         tICR07STR
33158 ;
33159
33160 5818 
33161 IO_BYTE
33162  
33163         mby\8b
33164 ;
33165
33166 5820 
33167         mIO_BYTE
33168  :1;
33169
33170 5821 
33171         mIO_BYTE
33172  :1;
33173
33174 5822 
33175         mIO_BYTE
33176  :1;
33177
33178 5823 
33179 IO_BYTE
33180  
33181         m_ICR4
33182  :1;
33183
33184 5824 
33185 IO_BYTE
33186  
33187         m_ICR3
33188  :1;
33189
33190 5825 
33191 IO_BYTE
33192  
33193         m_ICR2
33194  :1;
33195
33196 5826 
33197 IO_BYTE
33198  
33199         m_ICR1
33200  :1;
33201
33202 5827 
33203 IO_BYTE
33204  
33205         m_ICR0
33206  :1;
33207
33208 5828 }
33209         mb\99
33210 ;
33211
33212 5829 }
33213         tICR08STR
33214 ;
33215
33216 5831 
33217 IO_BYTE
33218  
33219         mby\8b
33220 ;
33221
33222 5833 
33223         mIO_BYTE
33224  :1;
33225
33226 5834 
33227         mIO_BYTE
33228  :1;
33229
33230 5835 
33231         mIO_BYTE
33232  :1;
33233
33234 5836 
33235 IO_BYTE
33236  
33237         m_ICR4
33238  :1;
33239
33240 5837 
33241 IO_BYTE
33242  
33243         m_ICR3
33244  :1;
33245
33246 5838 
33247 IO_BYTE
33248  
33249         m_ICR2
33250  :1;
33251
33252 5839 
33253 IO_BYTE
33254  
33255         m_ICR1
33256  :1;
33257
33258 5840 
33259 IO_BYTE
33260  
33261         m_ICR0
33262  :1;
33263
33264 5841 }
33265         mb\99
33266 ;
33267
33268 5842 }
33269         tICR09STR
33270 ;
33271
33272 5844 
33273 IO_BYTE
33274  
33275         mby\8b
33276 ;
33277
33278 5846 
33279         mIO_BYTE
33280  :1;
33281
33282 5847 
33283         mIO_BYTE
33284  :1;
33285
33286 5848 
33287         mIO_BYTE
33288  :1;
33289
33290 5849 
33291 IO_BYTE
33292  
33293         m_ICR4
33294  :1;
33295
33296 5850 
33297 IO_BYTE
33298  
33299         m_ICR3
33300  :1;
33301
33302 5851 
33303 IO_BYTE
33304  
33305         m_ICR2
33306  :1;
33307
33308 5852 
33309 IO_BYTE
33310  
33311         m_ICR1
33312  :1;
33313
33314 5853 
33315 IO_BYTE
33316  
33317         m_ICR0
33318  :1;
33319
33320 5854 }
33321         mb\99
33322 ;
33323
33324 5855 }
33325         tICR10STR
33326 ;
33327
33328 5857 
33329 IO_BYTE
33330  
33331         mby\8b
33332 ;
33333
33334 5859 
33335         mIO_BYTE
33336  :1;
33337
33338 5860 
33339         mIO_BYTE
33340  :1;
33341
33342 5861 
33343         mIO_BYTE
33344  :1;
33345
33346 5862 
33347 IO_BYTE
33348  
33349         m_ICR4
33350  :1;
33351
33352 5863 
33353 IO_BYTE
33354  
33355         m_ICR3
33356  :1;
33357
33358 5864 
33359 IO_BYTE
33360  
33361         m_ICR2
33362  :1;
33363
33364 5865 
33365 IO_BYTE
33366  
33367         m_ICR1
33368  :1;
33369
33370 5866 
33371 IO_BYTE
33372  
33373         m_ICR0
33374  :1;
33375
33376 5867 }
33377         mb\99
33378 ;
33379
33380 5868 }
33381         tICR11STR
33382 ;
33383
33384 5870 
33385 IO_BYTE
33386  
33387         mby\8b
33388 ;
33389
33390 5872 
33391         mIO_BYTE
33392  :1;
33393
33394 5873 
33395         mIO_BYTE
33396  :1;
33397
33398 5874 
33399         mIO_BYTE
33400  :1;
33401
33402 5875 
33403 IO_BYTE
33404  
33405         m_ICR4
33406  :1;
33407
33408 5876 
33409 IO_BYTE
33410  
33411         m_ICR3
33412  :1;
33413
33414 5877 
33415 IO_BYTE
33416  
33417         m_ICR2
33418  :1;
33419
33420 5878 
33421 IO_BYTE
33422  
33423         m_ICR1
33424  :1;
33425
33426 5879 
33427 IO_BYTE
33428  
33429         m_ICR0
33430  :1;
33431
33432 5880 }
33433         mb\99
33434 ;
33435
33436 5881 }
33437         tICR12STR
33438 ;
33439
33440 5883 
33441 IO_BYTE
33442  
33443         mby\8b
33444 ;
33445
33446 5885 
33447         mIO_BYTE
33448  :1;
33449
33450 5886 
33451         mIO_BYTE
33452  :1;
33453
33454 5887 
33455         mIO_BYTE
33456  :1;
33457
33458 5888 
33459 IO_BYTE
33460  
33461         m_ICR4
33462  :1;
33463
33464 5889 
33465 IO_BYTE
33466  
33467         m_ICR3
33468  :1;
33469
33470 5890 
33471 IO_BYTE
33472  
33473         m_ICR2
33474  :1;
33475
33476 5891 
33477 IO_BYTE
33478  
33479         m_ICR1
33480  :1;
33481
33482 5892 
33483 IO_BYTE
33484  
33485         m_ICR0
33486  :1;
33487
33488 5893 }
33489         mb\99
33490 ;
33491
33492 5894 }
33493         tICR13STR
33494 ;
33495
33496 5896 
33497 IO_BYTE
33498  
33499         mby\8b
33500 ;
33501
33502 5898 
33503         mIO_BYTE
33504  :1;
33505
33506 5899 
33507         mIO_BYTE
33508  :1;
33509
33510 5900 
33511         mIO_BYTE
33512  :1;
33513
33514 5901 
33515 IO_BYTE
33516  
33517         m_ICR4
33518  :1;
33519
33520 5902 
33521 IO_BYTE
33522  
33523         m_ICR3
33524  :1;
33525
33526 5903 
33527 IO_BYTE
33528  
33529         m_ICR2
33530  :1;
33531
33532 5904 
33533 IO_BYTE
33534  
33535         m_ICR1
33536  :1;
33537
33538 5905 
33539 IO_BYTE
33540  
33541         m_ICR0
33542  :1;
33543
33544 5906 }
33545         mb\99
33546 ;
33547
33548 5907 }
33549         tICR14STR
33550 ;
33551
33552 5909 
33553 IO_BYTE
33554  
33555         mby\8b
33556 ;
33557
33558 5911 
33559         mIO_BYTE
33560  :1;
33561
33562 5912 
33563         mIO_BYTE
33564  :1;
33565
33566 5913 
33567         mIO_BYTE
33568  :1;
33569
33570 5914 
33571 IO_BYTE
33572  
33573         m_ICR4
33574  :1;
33575
33576 5915 
33577 IO_BYTE
33578  
33579         m_ICR3
33580  :1;
33581
33582 5916 
33583 IO_BYTE
33584  
33585         m_ICR2
33586  :1;
33587
33588 5917 
33589 IO_BYTE
33590  
33591         m_ICR1
33592  :1;
33593
33594 5918 
33595 IO_BYTE
33596  
33597         m_ICR0
33598  :1;
33599
33600 5919 }
33601         mb\99
33602 ;
33603
33604 5920 }
33605         tICR15STR
33606 ;
33607
33608 5922 
33609 IO_BYTE
33610  
33611         mby\8b
33612 ;
33613
33614 5924 
33615         mIO_BYTE
33616  :1;
33617
33618 5925 
33619         mIO_BYTE
33620  :1;
33621
33622 5926 
33623         mIO_BYTE
33624  :1;
33625
33626 5927 
33627 IO_BYTE
33628  
33629         m_ICR4
33630  :1;
33631
33632 5928 
33633 IO_BYTE
33634  
33635         m_ICR3
33636  :1;
33637
33638 5929 
33639 IO_BYTE
33640  
33641         m_ICR2
33642  :1;
33643
33644 5930 
33645 IO_BYTE
33646  
33647         m_ICR1
33648  :1;
33649
33650 5931 
33651 IO_BYTE
33652  
33653         m_ICR0
33654  :1;
33655
33656 5932 }
33657         mb\99
33658 ;
33659
33660 5933 }
33661         tICR16STR
33662 ;
33663
33664 5935 
33665 IO_BYTE
33666  
33667         mby\8b
33668 ;
33669
33670 5937 
33671         mIO_BYTE
33672  :1;
33673
33674 5938 
33675         mIO_BYTE
33676  :1;
33677
33678 5939 
33679         mIO_BYTE
33680  :1;
33681
33682 5940 
33683 IO_BYTE
33684  
33685         m_ICR4
33686  :1;
33687
33688 5941 
33689 IO_BYTE
33690  
33691         m_ICR3
33692  :1;
33693
33694 5942 
33695 IO_BYTE
33696  
33697         m_ICR2
33698  :1;
33699
33700 5943 
33701 IO_BYTE
33702  
33703         m_ICR1
33704  :1;
33705
33706 5944 
33707 IO_BYTE
33708  
33709         m_ICR0
33710  :1;
33711
33712 5945 }
33713         mb\99
33714 ;
33715
33716 5946 }
33717         tICR17STR
33718 ;
33719
33720 5948 
33721 IO_BYTE
33722  
33723         mby\8b
33724 ;
33725
33726 5950 
33727         mIO_BYTE
33728  :1;
33729
33730 5951 
33731         mIO_BYTE
33732  :1;
33733
33734 5952 
33735         mIO_BYTE
33736  :1;
33737
33738 5953 
33739 IO_BYTE
33740  
33741         m_ICR4
33742  :1;
33743
33744 5954 
33745 IO_BYTE
33746  
33747         m_ICR3
33748  :1;
33749
33750 5955 
33751 IO_BYTE
33752  
33753         m_ICR2
33754  :1;
33755
33756 5956 
33757 IO_BYTE
33758  
33759         m_ICR1
33760  :1;
33761
33762 5957 
33763 IO_BYTE
33764  
33765         m_ICR0
33766  :1;
33767
33768 5958 }
33769         mb\99
33770 ;
33771
33772 5959 }
33773         tICR18STR
33774 ;
33775
33776 5961 
33777 IO_BYTE
33778  
33779         mby\8b
33780 ;
33781
33782 5963 
33783         mIO_BYTE
33784  :1;
33785
33786 5964 
33787         mIO_BYTE
33788  :1;
33789
33790 5965 
33791         mIO_BYTE
33792  :1;
33793
33794 5966 
33795 IO_BYTE
33796  
33797         m_ICR4
33798  :1;
33799
33800 5967 
33801 IO_BYTE
33802  
33803         m_ICR3
33804  :1;
33805
33806 5968 
33807 IO_BYTE
33808  
33809         m_ICR2
33810  :1;
33811
33812 5969 
33813 IO_BYTE
33814  
33815         m_ICR1
33816  :1;
33817
33818 5970 
33819 IO_BYTE
33820  
33821         m_ICR0
33822  :1;
33823
33824 5971 }
33825         mb\99
33826 ;
33827
33828 5972 }
33829         tICR19STR
33830 ;
33831
33832 5974 
33833 IO_BYTE
33834  
33835         mby\8b
33836 ;
33837
33838 5976 
33839         mIO_BYTE
33840  :1;
33841
33842 5977 
33843         mIO_BYTE
33844  :1;
33845
33846 5978 
33847         mIO_BYTE
33848  :1;
33849
33850 5979 
33851 IO_BYTE
33852  
33853         m_ICR4
33854  :1;
33855
33856 5980 
33857 IO_BYTE
33858  
33859         m_ICR3
33860  :1;
33861
33862 5981 
33863 IO_BYTE
33864  
33865         m_ICR2
33866  :1;
33867
33868 5982 
33869 IO_BYTE
33870  
33871         m_ICR1
33872  :1;
33873
33874 5983 
33875 IO_BYTE
33876  
33877         m_ICR0
33878  :1;
33879
33880 5984 }
33881         mb\99
33882 ;
33883
33884 5985 }
33885         tICR20STR
33886 ;
33887
33888 5987 
33889 IO_BYTE
33890  
33891         mby\8b
33892 ;
33893
33894 5989 
33895         mIO_BYTE
33896  :1;
33897
33898 5990 
33899         mIO_BYTE
33900  :1;
33901
33902 5991 
33903         mIO_BYTE
33904  :1;
33905
33906 5992 
33907 IO_BYTE
33908  
33909         m_ICR4
33910  :1;
33911
33912 5993 
33913 IO_BYTE
33914  
33915         m_ICR3
33916  :1;
33917
33918 5994 
33919 IO_BYTE
33920  
33921         m_ICR2
33922  :1;
33923
33924 5995 
33925 IO_BYTE
33926  
33927         m_ICR1
33928  :1;
33929
33930 5996 
33931 IO_BYTE
33932  
33933         m_ICR0
33934  :1;
33935
33936 5997 }
33937         mb\99
33938 ;
33939
33940 5998 }
33941         tICR21STR
33942 ;
33943
33944 6000 
33945 IO_BYTE
33946  
33947         mby\8b
33948 ;
33949
33950 6002 
33951         mIO_BYTE
33952  :1;
33953
33954 6003 
33955         mIO_BYTE
33956  :1;
33957
33958 6004 
33959         mIO_BYTE
33960  :1;
33961
33962 6005 
33963 IO_BYTE
33964  
33965         m_ICR4
33966  :1;
33967
33968 6006 
33969 IO_BYTE
33970  
33971         m_ICR3
33972  :1;
33973
33974 6007 
33975 IO_BYTE
33976  
33977         m_ICR2
33978  :1;
33979
33980 6008 
33981 IO_BYTE
33982  
33983         m_ICR1
33984  :1;
33985
33986 6009 
33987 IO_BYTE
33988  
33989         m_ICR0
33990  :1;
33991
33992 6010 }
33993         mb\99
33994 ;
33995
33996 6011 }
33997         tICR22STR
33998 ;
33999
34000 6013 
34001 IO_BYTE
34002  
34003         mby\8b
34004 ;
34005
34006 6015 
34007         mIO_BYTE
34008  :1;
34009
34010 6016 
34011         mIO_BYTE
34012  :1;
34013
34014 6017 
34015         mIO_BYTE
34016  :1;
34017
34018 6018 
34019 IO_BYTE
34020  
34021         m_ICR4
34022  :1;
34023
34024 6019 
34025 IO_BYTE
34026  
34027         m_ICR3
34028  :1;
34029
34030 6020 
34031 IO_BYTE
34032  
34033         m_ICR2
34034  :1;
34035
34036 6021 
34037 IO_BYTE
34038  
34039         m_ICR1
34040  :1;
34041
34042 6022 
34043 IO_BYTE
34044  
34045         m_ICR0
34046  :1;
34047
34048 6023 }
34049         mb\99
34050 ;
34051
34052 6024 }
34053         tICR23STR
34054 ;
34055
34056 6026 
34057 IO_BYTE
34058  
34059         mby\8b
34060 ;
34061
34062 6028 
34063         mIO_BYTE
34064  :1;
34065
34066 6029 
34067         mIO_BYTE
34068  :1;
34069
34070 6030 
34071         mIO_BYTE
34072  :1;
34073
34074 6031 
34075 IO_BYTE
34076  
34077         m_ICR4
34078  :1;
34079
34080 6032 
34081 IO_BYTE
34082  
34083         m_ICR3
34084  :1;
34085
34086 6033 
34087 IO_BYTE
34088  
34089         m_ICR2
34090  :1;
34091
34092 6034 
34093 IO_BYTE
34094  
34095         m_ICR1
34096  :1;
34097
34098 6035 
34099 IO_BYTE
34100  
34101         m_ICR0
34102  :1;
34103
34104 6036 }
34105         mb\99
34106 ;
34107
34108 6037 }
34109         tICR24STR
34110 ;
34111
34112 6039 
34113 IO_BYTE
34114  
34115         mby\8b
34116 ;
34117
34118 6041 
34119         mIO_BYTE
34120  :1;
34121
34122 6042 
34123         mIO_BYTE
34124  :1;
34125
34126 6043 
34127         mIO_BYTE
34128  :1;
34129
34130 6044 
34131 IO_BYTE
34132  
34133         m_ICR4
34134  :1;
34135
34136 6045 
34137 IO_BYTE
34138  
34139         m_ICR3
34140  :1;
34141
34142 6046 
34143 IO_BYTE
34144  
34145         m_ICR2
34146  :1;
34147
34148 6047 
34149 IO_BYTE
34150  
34151         m_ICR1
34152  :1;
34153
34154 6048 
34155 IO_BYTE
34156  
34157         m_ICR0
34158  :1;
34159
34160 6049 }
34161         mb\99
34162 ;
34163
34164 6050 }
34165         tICR25STR
34166 ;
34167
34168 6052 
34169 IO_BYTE
34170  
34171         mby\8b
34172 ;
34173
34174 6054 
34175         mIO_BYTE
34176  :1;
34177
34178 6055 
34179         mIO_BYTE
34180  :1;
34181
34182 6056 
34183         mIO_BYTE
34184  :1;
34185
34186 6057 
34187 IO_BYTE
34188  
34189         m_ICR4
34190  :1;
34191
34192 6058 
34193 IO_BYTE
34194  
34195         m_ICR3
34196  :1;
34197
34198 6059 
34199 IO_BYTE
34200  
34201         m_ICR2
34202  :1;
34203
34204 6060 
34205 IO_BYTE
34206  
34207         m_ICR1
34208  :1;
34209
34210 6061 
34211 IO_BYTE
34212  
34213         m_ICR0
34214  :1;
34215
34216 6062 }
34217         mb\99
34218 ;
34219
34220 6063 }
34221         tICR26STR
34222 ;
34223
34224 6065 
34225 IO_BYTE
34226  
34227         mby\8b
34228 ;
34229
34230 6067 
34231         mIO_BYTE
34232  :1;
34233
34234 6068 
34235         mIO_BYTE
34236  :1;
34237
34238 6069 
34239         mIO_BYTE
34240  :1;
34241
34242 6070 
34243 IO_BYTE
34244  
34245         m_ICR4
34246  :1;
34247
34248 6071 
34249 IO_BYTE
34250  
34251         m_ICR3
34252  :1;
34253
34254 6072 
34255 IO_BYTE
34256  
34257         m_ICR2
34258  :1;
34259
34260 6073 
34261 IO_BYTE
34262  
34263         m_ICR1
34264  :1;
34265
34266 6074 
34267 IO_BYTE
34268  
34269         m_ICR0
34270  :1;
34271
34272 6075 }
34273         mb\99
34274 ;
34275
34276 6076 }
34277         tICR27STR
34278 ;
34279
34280 6078 
34281 IO_BYTE
34282  
34283         mby\8b
34284 ;
34285
34286 6080 
34287         mIO_BYTE
34288  :1;
34289
34290 6081 
34291         mIO_BYTE
34292  :1;
34293
34294 6082 
34295         mIO_BYTE
34296  :1;
34297
34298 6083 
34299 IO_BYTE
34300  
34301         m_ICR4
34302  :1;
34303
34304 6084 
34305 IO_BYTE
34306  
34307         m_ICR3
34308  :1;
34309
34310 6085 
34311 IO_BYTE
34312  
34313         m_ICR2
34314  :1;
34315
34316 6086 
34317 IO_BYTE
34318  
34319         m_ICR1
34320  :1;
34321
34322 6087 
34323 IO_BYTE
34324  
34325         m_ICR0
34326  :1;
34327
34328 6088 }
34329         mb\99
34330 ;
34331
34332 6089 }
34333         tICR28STR
34334 ;
34335
34336 6091 
34337 IO_BYTE
34338  
34339         mby\8b
34340 ;
34341
34342 6093 
34343         mIO_BYTE
34344  :1;
34345
34346 6094 
34347         mIO_BYTE
34348  :1;
34349
34350 6095 
34351         mIO_BYTE
34352  :1;
34353
34354 6096 
34355 IO_BYTE
34356  
34357         m_ICR4
34358  :1;
34359
34360 6097 
34361 IO_BYTE
34362  
34363         m_ICR3
34364  :1;
34365
34366 6098 
34367 IO_BYTE
34368  
34369         m_ICR2
34370  :1;
34371
34372 6099 
34373 IO_BYTE
34374  
34375         m_ICR1
34376  :1;
34377
34378 6100 
34379 IO_BYTE
34380  
34381         m_ICR0
34382  :1;
34383
34384 6101 }
34385         mb\99
34386 ;
34387
34388 6102 }
34389         tICR29STR
34390 ;
34391
34392 6104 
34393 IO_BYTE
34394  
34395         mby\8b
34396 ;
34397
34398 6106 
34399         mIO_BYTE
34400  :1;
34401
34402 6107 
34403         mIO_BYTE
34404  :1;
34405
34406 6108 
34407         mIO_BYTE
34408  :1;
34409
34410 6109 
34411 IO_BYTE
34412  
34413         m_ICR4
34414  :1;
34415
34416 6110 
34417 IO_BYTE
34418  
34419         m_ICR3
34420  :1;
34421
34422 6111 
34423 IO_BYTE
34424  
34425         m_ICR2
34426  :1;
34427
34428 6112 
34429 IO_BYTE
34430  
34431         m_ICR1
34432  :1;
34433
34434 6113 
34435 IO_BYTE
34436  
34437         m_ICR0
34438  :1;
34439
34440 6114 }
34441         mb\99
34442 ;
34443
34444 6115 }
34445         tICR30STR
34446 ;
34447
34448 6117 
34449 IO_BYTE
34450  
34451         mby\8b
34452 ;
34453
34454 6119 
34455         mIO_BYTE
34456  :1;
34457
34458 6120 
34459         mIO_BYTE
34460  :1;
34461
34462 6121 
34463         mIO_BYTE
34464  :1;
34465
34466 6122 
34467 IO_BYTE
34468  
34469         m_ICR4
34470  :1;
34471
34472 6123 
34473 IO_BYTE
34474  
34475         m_ICR3
34476  :1;
34477
34478 6124 
34479 IO_BYTE
34480  
34481         m_ICR2
34482  :1;
34483
34484 6125 
34485 IO_BYTE
34486  
34487         m_ICR1
34488  :1;
34489
34490 6126 
34491 IO_BYTE
34492  
34493         m_ICR0
34494  :1;
34495
34496 6127 }
34497         mb\99
34498 ;
34499
34500 6128 }
34501         tICR31STR
34502 ;
34503
34504 6130 
34505 IO_BYTE
34506  
34507         mby\8b
34508 ;
34509
34510 6132 
34511         mIO_BYTE
34512  :1;
34513
34514 6133 
34515         mIO_BYTE
34516  :1;
34517
34518 6134 
34519         mIO_BYTE
34520  :1;
34521
34522 6135 
34523 IO_BYTE
34524  
34525         m_ICR4
34526  :1;
34527
34528 6136 
34529 IO_BYTE
34530  
34531         m_ICR3
34532  :1;
34533
34534 6137 
34535 IO_BYTE
34536  
34537         m_ICR2
34538  :1;
34539
34540 6138 
34541 IO_BYTE
34542  
34543         m_ICR1
34544  :1;
34545
34546 6139 
34547 IO_BYTE
34548  
34549         m_ICR0
34550  :1;
34551
34552 6140 }
34553         mb\99
34554 ;
34555
34556 6141 }
34557         tICR32STR
34558 ;
34559
34560 6143 
34561 IO_BYTE
34562  
34563         mby\8b
34564 ;
34565
34566 6145 
34567         mIO_BYTE
34568  :1;
34569
34570 6146 
34571         mIO_BYTE
34572  :1;
34573
34574 6147 
34575         mIO_BYTE
34576  :1;
34577
34578 6148 
34579 IO_BYTE
34580  
34581         m_ICR4
34582  :1;
34583
34584 6149 
34585 IO_BYTE
34586  
34587         m_ICR3
34588  :1;
34589
34590 6150 
34591 IO_BYTE
34592  
34593         m_ICR2
34594  :1;
34595
34596 6151 
34597 IO_BYTE
34598  
34599         m_ICR1
34600  :1;
34601
34602 6152 
34603 IO_BYTE
34604  
34605         m_ICR0
34606  :1;
34607
34608 6153 }
34609         mb\99
34610 ;
34611
34612 6154 }
34613         tICR33STR
34614 ;
34615
34616 6156 
34617 IO_BYTE
34618  
34619         mby\8b
34620 ;
34621
34622 6158 
34623         mIO_BYTE
34624  :1;
34625
34626 6159 
34627         mIO_BYTE
34628  :1;
34629
34630 6160 
34631         mIO_BYTE
34632  :1;
34633
34634 6161 
34635 IO_BYTE
34636  
34637         m_ICR4
34638  :1;
34639
34640 6162 
34641 IO_BYTE
34642  
34643         m_ICR3
34644  :1;
34645
34646 6163 
34647 IO_BYTE
34648  
34649         m_ICR2
34650  :1;
34651
34652 6164 
34653 IO_BYTE
34654  
34655         m_ICR1
34656  :1;
34657
34658 6165 
34659 IO_BYTE
34660  
34661         m_ICR0
34662  :1;
34663
34664 6166 }
34665         mb\99
34666 ;
34667
34668 6167 }
34669         tICR34STR
34670 ;
34671
34672 6169 
34673 IO_BYTE
34674  
34675         mby\8b
34676 ;
34677
34678 6171 
34679         mIO_BYTE
34680  :1;
34681
34682 6172 
34683         mIO_BYTE
34684  :1;
34685
34686 6173 
34687         mIO_BYTE
34688  :1;
34689
34690 6174 
34691 IO_BYTE
34692  
34693         m_ICR4
34694  :1;
34695
34696 6175 
34697 IO_BYTE
34698  
34699         m_ICR3
34700  :1;
34701
34702 6176 
34703 IO_BYTE
34704  
34705         m_ICR2
34706  :1;
34707
34708 6177 
34709 IO_BYTE
34710  
34711         m_ICR1
34712  :1;
34713
34714 6178 
34715 IO_BYTE
34716  
34717         m_ICR0
34718  :1;
34719
34720 6179 }
34721         mb\99
34722 ;
34723
34724 6180 }
34725         tICR35STR
34726 ;
34727
34728 6182 
34729 IO_BYTE
34730  
34731         mby\8b
34732 ;
34733
34734 6184 
34735         mIO_BYTE
34736  :1;
34737
34738 6185 
34739         mIO_BYTE
34740  :1;
34741
34742 6186 
34743         mIO_BYTE
34744  :1;
34745
34746 6187 
34747 IO_BYTE
34748  
34749         m_ICR4
34750  :1;
34751
34752 6188 
34753 IO_BYTE
34754  
34755         m_ICR3
34756  :1;
34757
34758 6189 
34759 IO_BYTE
34760  
34761         m_ICR2
34762  :1;
34763
34764 6190 
34765 IO_BYTE
34766  
34767         m_ICR1
34768  :1;
34769
34770 6191 
34771 IO_BYTE
34772  
34773         m_ICR0
34774  :1;
34775
34776 6192 }
34777         mb\99
34778 ;
34779
34780 6193 }
34781         tICR36STR
34782 ;
34783
34784 6195 
34785 IO_BYTE
34786  
34787         mby\8b
34788 ;
34789
34790 6197 
34791         mIO_BYTE
34792  :1;
34793
34794 6198 
34795         mIO_BYTE
34796  :1;
34797
34798 6199 
34799         mIO_BYTE
34800  :1;
34801
34802 6200 
34803 IO_BYTE
34804  
34805         m_ICR4
34806  :1;
34807
34808 6201 
34809 IO_BYTE
34810  
34811         m_ICR3
34812  :1;
34813
34814 6202 
34815 IO_BYTE
34816  
34817         m_ICR2
34818  :1;
34819
34820 6203 
34821 IO_BYTE
34822  
34823         m_ICR1
34824  :1;
34825
34826 6204 
34827 IO_BYTE
34828  
34829         m_ICR0
34830  :1;
34831
34832 6205 }
34833         mb\99
34834 ;
34835
34836 6206 }
34837         tICR37STR
34838 ;
34839
34840 6208 
34841 IO_BYTE
34842  
34843         mby\8b
34844 ;
34845
34846 6210 
34847         mIO_BYTE
34848  :1;
34849
34850 6211 
34851         mIO_BYTE
34852  :1;
34853
34854 6212 
34855         mIO_BYTE
34856  :1;
34857
34858 6213 
34859 IO_BYTE
34860  
34861         m_ICR4
34862  :1;
34863
34864 6214 
34865 IO_BYTE
34866  
34867         m_ICR3
34868  :1;
34869
34870 6215 
34871 IO_BYTE
34872  
34873         m_ICR2
34874  :1;
34875
34876 6216 
34877 IO_BYTE
34878  
34879         m_ICR1
34880  :1;
34881
34882 6217 
34883 IO_BYTE
34884  
34885         m_ICR0
34886  :1;
34887
34888 6218 }
34889         mb\99
34890 ;
34891
34892 6219 }
34893         tICR38STR
34894 ;
34895
34896 6221 
34897 IO_BYTE
34898  
34899         mby\8b
34900 ;
34901
34902 6223 
34903         mIO_BYTE
34904  :1;
34905
34906 6224 
34907         mIO_BYTE
34908  :1;
34909
34910 6225 
34911         mIO_BYTE
34912  :1;
34913
34914 6226 
34915 IO_BYTE
34916  
34917         m_ICR4
34918  :1;
34919
34920 6227 
34921 IO_BYTE
34922  
34923         m_ICR3
34924  :1;
34925
34926 6228 
34927 IO_BYTE
34928  
34929         m_ICR2
34930  :1;
34931
34932 6229 
34933 IO_BYTE
34934  
34935         m_ICR1
34936  :1;
34937
34938 6230 
34939 IO_BYTE
34940  
34941         m_ICR0
34942  :1;
34943
34944 6231 }
34945         mb\99
34946 ;
34947
34948 6232 }
34949         tICR39STR
34950 ;
34951
34952 6234 
34953 IO_BYTE
34954  
34955         mby\8b
34956 ;
34957
34958 6236 
34959         mIO_BYTE
34960  :1;
34961
34962 6237 
34963         mIO_BYTE
34964  :1;
34965
34966 6238 
34967         mIO_BYTE
34968  :1;
34969
34970 6239 
34971 IO_BYTE
34972  
34973         m_ICR4
34974  :1;
34975
34976 6240 
34977 IO_BYTE
34978  
34979         m_ICR3
34980  :1;
34981
34982 6241 
34983 IO_BYTE
34984  
34985         m_ICR2
34986  :1;
34987
34988 6242 
34989 IO_BYTE
34990  
34991         m_ICR1
34992  :1;
34993
34994 6243 
34995 IO_BYTE
34996  
34997         m_ICR0
34998  :1;
34999
35000 6244 }
35001         mb\99
35002 ;
35003
35004 6245 }
35005         tICR40STR
35006 ;
35007
35008 6247 
35009 IO_BYTE
35010  
35011         mby\8b
35012 ;
35013
35014 6249 
35015         mIO_BYTE
35016  :1;
35017
35018 6250 
35019         mIO_BYTE
35020  :1;
35021
35022 6251 
35023         mIO_BYTE
35024  :1;
35025
35026 6252 
35027 IO_BYTE
35028  
35029         m_ICR4
35030  :1;
35031
35032 6253 
35033 IO_BYTE
35034  
35035         m_ICR3
35036  :1;
35037
35038 6254 
35039 IO_BYTE
35040  
35041         m_ICR2
35042  :1;
35043
35044 6255 
35045 IO_BYTE
35046  
35047         m_ICR1
35048  :1;
35049
35050 6256 
35051 IO_BYTE
35052  
35053         m_ICR0
35054  :1;
35055
35056 6257 }
35057         mb\99
35058 ;
35059
35060 6258 }
35061         tICR41STR
35062 ;
35063
35064 6260 
35065 IO_BYTE
35066  
35067         mby\8b
35068 ;
35069
35070 6262 
35071         mIO_BYTE
35072  :1;
35073
35074 6263 
35075         mIO_BYTE
35076  :1;
35077
35078 6264 
35079         mIO_BYTE
35080  :1;
35081
35082 6265 
35083 IO_BYTE
35084  
35085         m_ICR4
35086  :1;
35087
35088 6266 
35089 IO_BYTE
35090  
35091         m_ICR3
35092  :1;
35093
35094 6267 
35095 IO_BYTE
35096  
35097         m_ICR2
35098  :1;
35099
35100 6268 
35101 IO_BYTE
35102  
35103         m_ICR1
35104  :1;
35105
35106 6269 
35107 IO_BYTE
35108  
35109         m_ICR0
35110  :1;
35111
35112 6270 }
35113         mb\99
35114 ;
35115
35116 6271 }
35117         tICR42STR
35118 ;
35119
35120 6273 
35121 IO_BYTE
35122  
35123         mby\8b
35124 ;
35125
35126 6275 
35127         mIO_BYTE
35128  :1;
35129
35130 6276 
35131         mIO_BYTE
35132  :1;
35133
35134 6277 
35135         mIO_BYTE
35136  :1;
35137
35138 6278 
35139 IO_BYTE
35140  
35141         m_ICR4
35142  :1;
35143
35144 6279 
35145 IO_BYTE
35146  
35147         m_ICR3
35148  :1;
35149
35150 6280 
35151 IO_BYTE
35152  
35153         m_ICR2
35154  :1;
35155
35156 6281 
35157 IO_BYTE
35158  
35159         m_ICR1
35160  :1;
35161
35162 6282 
35163 IO_BYTE
35164  
35165         m_ICR0
35166  :1;
35167
35168 6283 }
35169         mb\99
35170 ;
35171
35172 6284 }
35173         tICR43STR
35174 ;
35175
35176 6286 
35177 IO_BYTE
35178  
35179         mby\8b
35180 ;
35181
35182 6288 
35183         mIO_BYTE
35184  :1;
35185
35186 6289 
35187         mIO_BYTE
35188  :1;
35189
35190 6290 
35191         mIO_BYTE
35192  :1;
35193
35194 6291 
35195 IO_BYTE
35196  
35197         m_ICR4
35198  :1;
35199
35200 6292 
35201 IO_BYTE
35202  
35203         m_ICR3
35204  :1;
35205
35206 6293 
35207 IO_BYTE
35208  
35209         m_ICR2
35210  :1;
35211
35212 6294 
35213 IO_BYTE
35214  
35215         m_ICR1
35216  :1;
35217
35218 6295 
35219 IO_BYTE
35220  
35221         m_ICR0
35222  :1;
35223
35224 6296 }
35225         mb\99
35226 ;
35227
35228 6297 }
35229         tICR44STR
35230 ;
35231
35232 6299 
35233 IO_BYTE
35234  
35235         mby\8b
35236 ;
35237
35238 6301 
35239         mIO_BYTE
35240  :1;
35241
35242 6302 
35243         mIO_BYTE
35244  :1;
35245
35246 6303 
35247         mIO_BYTE
35248  :1;
35249
35250 6304 
35251 IO_BYTE
35252  
35253         m_ICR4
35254  :1;
35255
35256 6305 
35257 IO_BYTE
35258  
35259         m_ICR3
35260  :1;
35261
35262 6306 
35263 IO_BYTE
35264  
35265         m_ICR2
35266  :1;
35267
35268 6307 
35269 IO_BYTE
35270  
35271         m_ICR1
35272  :1;
35273
35274 6308 
35275 IO_BYTE
35276  
35277         m_ICR0
35278  :1;
35279
35280 6309 }
35281         mb\99
35282 ;
35283
35284 6310 }
35285         tICR45STR
35286 ;
35287
35288 6312 
35289 IO_BYTE
35290  
35291         mby\8b
35292 ;
35293
35294 6314 
35295         mIO_BYTE
35296  :1;
35297
35298 6315 
35299         mIO_BYTE
35300  :1;
35301
35302 6316 
35303         mIO_BYTE
35304  :1;
35305
35306 6317 
35307 IO_BYTE
35308  
35309         m_ICR4
35310  :1;
35311
35312 6318 
35313 IO_BYTE
35314  
35315         m_ICR3
35316  :1;
35317
35318 6319 
35319 IO_BYTE
35320  
35321         m_ICR2
35322  :1;
35323
35324 6320 
35325 IO_BYTE
35326  
35327         m_ICR1
35328  :1;
35329
35330 6321 
35331 IO_BYTE
35332  
35333         m_ICR0
35334  :1;
35335
35336 6322 }
35337         mb\99
35338 ;
35339
35340 6323 }
35341         tICR46STR
35342 ;
35343
35344 6325 
35345 IO_BYTE
35346  
35347         mby\8b
35348 ;
35349
35350 6327 
35351         mIO_BYTE
35352  :1;
35353
35354 6328 
35355         mIO_BYTE
35356  :1;
35357
35358 6329 
35359         mIO_BYTE
35360  :1;
35361
35362 6330 
35363 IO_BYTE
35364  
35365         m_ICR4
35366  :1;
35367
35368 6331 
35369 IO_BYTE
35370  
35371         m_ICR3
35372  :1;
35373
35374 6332 
35375 IO_BYTE
35376  
35377         m_ICR2
35378  :1;
35379
35380 6333 
35381 IO_BYTE
35382  
35383         m_ICR1
35384  :1;
35385
35386 6334 
35387 IO_BYTE
35388  
35389         m_ICR0
35390  :1;
35391
35392 6335 }
35393         mb\99
35394 ;
35395
35396 6336 }
35397         tICR47STR
35398 ;
35399
35400 6338 
35401 IO_BYTE
35402  
35403         mby\8b
35404 ;
35405
35406 6340 
35407         mIO_BYTE
35408  :1;
35409
35410 6341 
35411         mIO_BYTE
35412  :1;
35413
35414 6342 
35415         mIO_BYTE
35416  :1;
35417
35418 6343 
35419 IO_BYTE
35420  
35421         m_ICR4
35422  :1;
35423
35424 6344 
35425 IO_BYTE
35426  
35427         m_ICR3
35428  :1;
35429
35430 6345 
35431 IO_BYTE
35432  
35433         m_ICR2
35434  :1;
35435
35436 6346 
35437 IO_BYTE
35438  
35439         m_ICR1
35440  :1;
35441
35442 6347 
35443 IO_BYTE
35444  
35445         m_ICR0
35446  :1;
35447
35448 6348 }
35449         mb\99
35450 ;
35451
35452 6349 }
35453         tICR48STR
35454 ;
35455
35456 6351 
35457 IO_BYTE
35458  
35459         mby\8b
35460 ;
35461
35462 6353 
35463         mIO_BYTE
35464  :1;
35465
35466 6354 
35467         mIO_BYTE
35468  :1;
35469
35470 6355 
35471         mIO_BYTE
35472  :1;
35473
35474 6356 
35475 IO_BYTE
35476  
35477         m_ICR4
35478  :1;
35479
35480 6357 
35481 IO_BYTE
35482  
35483         m_ICR3
35484  :1;
35485
35486 6358 
35487 IO_BYTE
35488  
35489         m_ICR2
35490  :1;
35491
35492 6359 
35493 IO_BYTE
35494  
35495         m_ICR1
35496  :1;
35497
35498 6360 
35499 IO_BYTE
35500  
35501         m_ICR0
35502  :1;
35503
35504 6361 }
35505         mb\99
35506 ;
35507
35508 6362 }
35509         tICR49STR
35510 ;
35511
35512 6364 
35513 IO_BYTE
35514  
35515         mby\8b
35516 ;
35517
35518 6366 
35519         mIO_BYTE
35520  :1;
35521
35522 6367 
35523         mIO_BYTE
35524  :1;
35525
35526 6368 
35527         mIO_BYTE
35528  :1;
35529
35530 6369 
35531 IO_BYTE
35532  
35533         m_ICR4
35534  :1;
35535
35536 6370 
35537 IO_BYTE
35538  
35539         m_ICR3
35540  :1;
35541
35542 6371 
35543 IO_BYTE
35544  
35545         m_ICR2
35546  :1;
35547
35548 6372 
35549 IO_BYTE
35550  
35551         m_ICR1
35552  :1;
35553
35554 6373 
35555 IO_BYTE
35556  
35557         m_ICR0
35558  :1;
35559
35560 6374 }
35561         mb\99
35562 ;
35563
35564 6375 }
35565         tICR50STR
35566 ;
35567
35568 6377 
35569 IO_BYTE
35570  
35571         mby\8b
35572 ;
35573
35574 6379 
35575         mIO_BYTE
35576  :1;
35577
35578 6380 
35579         mIO_BYTE
35580  :1;
35581
35582 6381 
35583         mIO_BYTE
35584  :1;
35585
35586 6382 
35587 IO_BYTE
35588  
35589         m_ICR4
35590  :1;
35591
35592 6383 
35593 IO_BYTE
35594  
35595         m_ICR3
35596  :1;
35597
35598 6384 
35599 IO_BYTE
35600  
35601         m_ICR2
35602  :1;
35603
35604 6385 
35605 IO_BYTE
35606  
35607         m_ICR1
35608  :1;
35609
35610 6386 
35611 IO_BYTE
35612  
35613         m_ICR0
35614  :1;
35615
35616 6387 }
35617         mb\99
35618 ;
35619
35620 6388 }
35621         tICR51STR
35622 ;
35623
35624 6390 
35625 IO_BYTE
35626  
35627         mby\8b
35628 ;
35629
35630 6392 
35631         mIO_BYTE
35632  :1;
35633
35634 6393 
35635         mIO_BYTE
35636  :1;
35637
35638 6394 
35639         mIO_BYTE
35640  :1;
35641
35642 6395 
35643 IO_BYTE
35644  
35645         m_ICR4
35646  :1;
35647
35648 6396 
35649 IO_BYTE
35650  
35651         m_ICR3
35652  :1;
35653
35654 6397 
35655 IO_BYTE
35656  
35657         m_ICR2
35658  :1;
35659
35660 6398 
35661 IO_BYTE
35662  
35663         m_ICR1
35664  :1;
35665
35666 6399 
35667 IO_BYTE
35668  
35669         m_ICR0
35670  :1;
35671
35672 6400 }
35673         mb\99
35674 ;
35675
35676 6401 }
35677         tICR52STR
35678 ;
35679
35680 6403 
35681 IO_BYTE
35682  
35683         mby\8b
35684 ;
35685
35686 6405 
35687         mIO_BYTE
35688  :1;
35689
35690 6406 
35691         mIO_BYTE
35692  :1;
35693
35694 6407 
35695         mIO_BYTE
35696  :1;
35697
35698 6408 
35699 IO_BYTE
35700  
35701         m_ICR4
35702  :1;
35703
35704 6409 
35705 IO_BYTE
35706  
35707         m_ICR3
35708  :1;
35709
35710 6410 
35711 IO_BYTE
35712  
35713         m_ICR2
35714  :1;
35715
35716 6411 
35717 IO_BYTE
35718  
35719         m_ICR1
35720  :1;
35721
35722 6412 
35723 IO_BYTE
35724  
35725         m_ICR0
35726  :1;
35727
35728 6413 }
35729         mb\99
35730 ;
35731
35732 6414 }
35733         tICR53STR
35734 ;
35735
35736 6416 
35737 IO_BYTE
35738  
35739         mby\8b
35740 ;
35741
35742 6418 
35743         mIO_BYTE
35744  :1;
35745
35746 6419 
35747         mIO_BYTE
35748  :1;
35749
35750 6420 
35751         mIO_BYTE
35752  :1;
35753
35754 6421 
35755 IO_BYTE
35756  
35757         m_ICR4
35758  :1;
35759
35760 6422 
35761 IO_BYTE
35762  
35763         m_ICR3
35764  :1;
35765
35766 6423 
35767 IO_BYTE
35768  
35769         m_ICR2
35770  :1;
35771
35772 6424 
35773 IO_BYTE
35774  
35775         m_ICR1
35776  :1;
35777
35778 6425 
35779 IO_BYTE
35780  
35781         m_ICR0
35782  :1;
35783
35784 6426 }
35785         mb\99
35786 ;
35787
35788 6427 }
35789         tICR54STR
35790 ;
35791
35792 6429 
35793 IO_BYTE
35794  
35795         mby\8b
35796 ;
35797
35798 6431 
35799         mIO_BYTE
35800  :1;
35801
35802 6432 
35803         mIO_BYTE
35804  :1;
35805
35806 6433 
35807         mIO_BYTE
35808  :1;
35809
35810 6434 
35811 IO_BYTE
35812  
35813         m_ICR4
35814  :1;
35815
35816 6435 
35817 IO_BYTE
35818  
35819         m_ICR3
35820  :1;
35821
35822 6436 
35823 IO_BYTE
35824  
35825         m_ICR2
35826  :1;
35827
35828 6437 
35829 IO_BYTE
35830  
35831         m_ICR1
35832  :1;
35833
35834 6438 
35835 IO_BYTE
35836  
35837         m_ICR0
35838  :1;
35839
35840 6439 }
35841         mb\99
35842 ;
35843
35844 6440 }
35845         tICR55STR
35846 ;
35847
35848 6442 
35849 IO_BYTE
35850  
35851         mby\8b
35852 ;
35853
35854 6444 
35855         mIO_BYTE
35856  :1;
35857
35858 6445 
35859         mIO_BYTE
35860  :1;
35861
35862 6446 
35863         mIO_BYTE
35864  :1;
35865
35866 6447 
35867 IO_BYTE
35868  
35869         m_ICR4
35870  :1;
35871
35872 6448 
35873 IO_BYTE
35874  
35875         m_ICR3
35876  :1;
35877
35878 6449 
35879 IO_BYTE
35880  
35881         m_ICR2
35882  :1;
35883
35884 6450 
35885 IO_BYTE
35886  
35887         m_ICR1
35888  :1;
35889
35890 6451 
35891 IO_BYTE
35892  
35893         m_ICR0
35894  :1;
35895
35896 6452 }
35897         mb\99
35898 ;
35899
35900 6453 }
35901         tICR56STR
35902 ;
35903
35904 6455 
35905 IO_BYTE
35906  
35907         mby\8b
35908 ;
35909
35910 6457 
35911         mIO_BYTE
35912  :1;
35913
35914 6458 
35915         mIO_BYTE
35916  :1;
35917
35918 6459 
35919         mIO_BYTE
35920  :1;
35921
35922 6460 
35923 IO_BYTE
35924  
35925         m_ICR4
35926  :1;
35927
35928 6461 
35929 IO_BYTE
35930  
35931         m_ICR3
35932  :1;
35933
35934 6462 
35935 IO_BYTE
35936  
35937         m_ICR2
35938  :1;
35939
35940 6463 
35941 IO_BYTE
35942  
35943         m_ICR1
35944  :1;
35945
35946 6464 
35947 IO_BYTE
35948  
35949         m_ICR0
35950  :1;
35951
35952 6465 }
35953         mb\99
35954 ;
35955
35956 6466 }
35957         tICR57STR
35958 ;
35959
35960 6468 
35961 IO_BYTE
35962  
35963         mby\8b
35964 ;
35965
35966 6470 
35967         mIO_BYTE
35968  :1;
35969
35970 6471 
35971         mIO_BYTE
35972  :1;
35973
35974 6472 
35975         mIO_BYTE
35976  :1;
35977
35978 6473 
35979 IO_BYTE
35980  
35981         m_ICR4
35982  :1;
35983
35984 6474 
35985 IO_BYTE
35986  
35987         m_ICR3
35988  :1;
35989
35990 6475 
35991 IO_BYTE
35992  
35993         m_ICR2
35994  :1;
35995
35996 6476 
35997 IO_BYTE
35998  
35999         m_ICR1
36000  :1;
36001
36002 6477 
36003 IO_BYTE
36004  
36005         m_ICR0
36006  :1;
36007
36008 6478 }
36009         mb\99
36010 ;
36011
36012 6479 }
36013         tICR58STR
36014 ;
36015
36016 6481 
36017 IO_BYTE
36018  
36019         mby\8b
36020 ;
36021
36022 6483 
36023         mIO_BYTE
36024  :1;
36025
36026 6484 
36027         mIO_BYTE
36028  :1;
36029
36030 6485 
36031         mIO_BYTE
36032  :1;
36033
36034 6486 
36035 IO_BYTE
36036  
36037         m_ICR4
36038  :1;
36039
36040 6487 
36041 IO_BYTE
36042  
36043         m_ICR3
36044  :1;
36045
36046 6488 
36047 IO_BYTE
36048  
36049         m_ICR2
36050  :1;
36051
36052 6489 
36053 IO_BYTE
36054  
36055         m_ICR1
36056  :1;
36057
36058 6490 
36059 IO_BYTE
36060  
36061         m_ICR0
36062  :1;
36063
36064 6491 }
36065         mb\99
36066 ;
36067
36068 6492 }
36069         tICR59STR
36070 ;
36071
36072 6494 
36073 IO_BYTE
36074  
36075         mby\8b
36076 ;
36077
36078 6496 
36079         mIO_BYTE
36080  :1;
36081
36082 6497 
36083         mIO_BYTE
36084  :1;
36085
36086 6498 
36087         mIO_BYTE
36088  :1;
36089
36090 6499 
36091 IO_BYTE
36092  
36093         m_ICR4
36094  :1;
36095
36096 6500 
36097 IO_BYTE
36098  
36099         m_ICR3
36100  :1;
36101
36102 6501 
36103 IO_BYTE
36104  
36105         m_ICR2
36106  :1;
36107
36108 6502 
36109 IO_BYTE
36110  
36111         m_ICR1
36112  :1;
36113
36114 6503 
36115 IO_BYTE
36116  
36117         m_ICR0
36118  :1;
36119
36120 6504 }
36121         mb\99
36122 ;
36123
36124 6505 }
36125         tICR60STR
36126 ;
36127
36128 6507 
36129 IO_BYTE
36130  
36131         mby\8b
36132 ;
36133
36134 6509 
36135         mIO_BYTE
36136  :1;
36137
36138 6510 
36139         mIO_BYTE
36140  :1;
36141
36142 6511 
36143         mIO_BYTE
36144  :1;
36145
36146 6512 
36147 IO_BYTE
36148  
36149         m_ICR4
36150  :1;
36151
36152 6513 
36153 IO_BYTE
36154  
36155         m_ICR3
36156  :1;
36157
36158 6514 
36159 IO_BYTE
36160  
36161         m_ICR2
36162  :1;
36163
36164 6515 
36165 IO_BYTE
36166  
36167         m_ICR1
36168  :1;
36169
36170 6516 
36171 IO_BYTE
36172  
36173         m_ICR0
36174  :1;
36175
36176 6517 }
36177         mb\99
36178 ;
36179
36180 6518 }
36181         tICR61STR
36182 ;
36183
36184 6520 
36185 IO_BYTE
36186  
36187         mby\8b
36188 ;
36189
36190 6522 
36191         mIO_BYTE
36192  :1;
36193
36194 6523 
36195         mIO_BYTE
36196  :1;
36197
36198 6524 
36199         mIO_BYTE
36200  :1;
36201
36202 6525 
36203 IO_BYTE
36204  
36205         m_ICR4
36206  :1;
36207
36208 6526 
36209 IO_BYTE
36210  
36211         m_ICR3
36212  :1;
36213
36214 6527 
36215 IO_BYTE
36216  
36217         m_ICR2
36218  :1;
36219
36220 6528 
36221 IO_BYTE
36222  
36223         m_ICR1
36224  :1;
36225
36226 6529 
36227 IO_BYTE
36228  
36229         m_ICR0
36230  :1;
36231
36232 6530 }
36233         mb\99
36234 ;
36235
36236 6531 }
36237         tICR62STR
36238 ;
36239
36240 6533 
36241 IO_BYTE
36242  
36243         mby\8b
36244 ;
36245
36246 6535 
36247         mIO_BYTE
36248  :1;
36249
36250 6536 
36251         mIO_BYTE
36252  :1;
36253
36254 6537 
36255         mIO_BYTE
36256  :1;
36257
36258 6538 
36259 IO_BYTE
36260  
36261         m_ICR4
36262  :1;
36263
36264 6539 
36265 IO_BYTE
36266  
36267         m_ICR3
36268  :1;
36269
36270 6540 
36271 IO_BYTE
36272  
36273         m_ICR2
36274  :1;
36275
36276 6541 
36277 IO_BYTE
36278  
36279         m_ICR1
36280  :1;
36281
36282 6542 
36283 IO_BYTE
36284  
36285         m_ICR0
36286  :1;
36287
36288 6543 }
36289         mb\99
36290 ;
36291
36292 6544 }
36293         tICR63STR
36294 ;
36295
36296 6546 
36297 IO_BYTE
36298  
36299         mby\8b
36300 ;
36301
36302 6548 
36303 IO_BYTE
36304  
36305         m_INIT
36306  :1;
36307
36308 6549 
36309 IO_BYTE
36310  
36311         m_HSTB
36312  :1;
36313
36314 6550 
36315 IO_BYTE
36316  
36317         m_WDOG
36318  :1;
36319
36320 6551 
36321 IO_BYTE
36322  
36323         m_ERST
36324  :1;
36325
36326 6552 
36327 IO_BYTE
36328  
36329         m_SRST
36330  :1;
36331
36332 6553 
36333 IO_BYTE
36334  
36335         m_LINIT
36336  :1;
36337
36338 6554 
36339 IO_BYTE
36340  
36341         m_WT1
36342  :1;
36343
36344 6555 
36345 IO_BYTE
36346  
36347         m_WT0
36348  :1;
36349
36350 6556 }
36351         mb\99
36352 ;
36353
36354 6558 
36355         mIO_BYTE
36356  :1;
36357
36358 6559 
36359         mIO_BYTE
36360  :1;
36361
36362 6560 
36363         mIO_BYTE
36364  :1;
36365
36366 6561 
36367         mIO_BYTE
36368  :1;
36369
36370 6562 
36371         mIO_BYTE
36372  :1;
36373
36374 6563 
36375         mIO_BYTE
36376  :1;
36377
36378 6564 
36379 IO_BYTE
36380  
36381         m_WT
36382  :2;
36383
36384 6565 }
36385         mb\99c
36386 ;
36387
36388 6566 }
36389         tRSRRSTR
36390 ;
36391
36392 6568 
36393 IO_BYTE
36394  
36395         mby\8b
36396 ;
36397
36398 6570 
36399 IO_BYTE
36400  
36401         m_STOP
36402  :1;
36403
36404 6571 
36405 IO_BYTE
36406  
36407         m_SLEEP
36408  :1;
36409
36410 6572 
36411 IO_BYTE
36412  
36413         m_HIZ
36414  :1;
36415
36416 6573 
36417 IO_BYTE
36418  
36419         m_SRST
36420  :1;
36421
36422 6574 
36423 IO_BYTE
36424  
36425         m_OS1
36426  :1;
36427
36428 6575 
36429 IO_BYTE
36430  
36431         m_OS0
36432  :1;
36433
36434 6576 
36435 IO_BYTE
36436  
36437         m_OSCD2
36438  :1;
36439
36440 6577 
36441 IO_BYTE
36442  
36443         m_OSCD1
36444  :1;
36445
36446 6578 }
36447         mb\99
36448 ;
36449
36450 6580 
36451         mIO_BYTE
36452  :1;
36453
36454 6581 
36455         mIO_BYTE
36456  :1;
36457
36458 6582 
36459         mIO_BYTE
36460  :1;
36461
36462 6583 
36463         mIO_BYTE
36464  :1;
36465
36466 6584 
36467 IO_BYTE
36468  
36469         m_OS
36470  :2;
36471
36472 6585 
36473 IO_BYTE
36474  
36475         m_OSCD
36476  :2;
36477
36478 6586 }
36479         mb\99c
36480 ;
36481
36482 6587 }
36483         tSTCRSTR
36484 ;
36485
36486 6589 
36487 IO_BYTE
36488  
36489         mby\8b
36490 ;
36491
36492 6591 
36493 IO_BYTE
36494  
36495         m_TBIF
36496  :1;
36497
36498 6592 
36499 IO_BYTE
36500  
36501         m_TBIE
36502  :1;
36503
36504 6593 
36505 IO_BYTE
36506  
36507         m_TBC2
36508  :1;
36509
36510 6594 
36511 IO_BYTE
36512  
36513         m_TBC1
36514  :1;
36515
36516 6595 
36517 IO_BYTE
36518  
36519         m_TBC0
36520  :1;
36521
36522 6596 
36523         mIO_BYTE
36524  :1;
36525
36526 6597 
36527 IO_BYTE
36528  
36529         m_SYNCR
36530  :1;
36531
36532 6598 
36533 IO_BYTE
36534  
36535         m_SYNCS
36536  :1;
36537
36538 6599 }
36539         mb\99
36540 ;
36541
36542 6601 
36543         mIO_BYTE
36544  :1;
36545
36546 6602 
36547         mIO_BYTE
36548  :1;
36549
36550 6603 
36551 IO_BYTE
36552  
36553         m_TBC
36554  :3;
36555
36556 6604 }
36557         mb\99c
36558 ;
36559
36560 6605 }
36561         tTBCRSTR
36562 ;
36563
36564 6607 
36565 IO_BYTE
36566  
36567         mby\8b
36568 ;
36569
36570 6609 
36571 IO_BYTE
36572  
36573         m_D7
36574  :1;
36575
36576 6610 
36577 IO_BYTE
36578  
36579         m_D6
36580  :1;
36581
36582 6611 
36583 IO_BYTE
36584  
36585         m_D5
36586  :1;
36587
36588 6612 
36589 IO_BYTE
36590  
36591         m_D4
36592  :1;
36593
36594 6613 
36595 IO_BYTE
36596  
36597         m_D3
36598  :1;
36599
36600 6614 
36601 IO_BYTE
36602  
36603         m_D2
36604  :1;
36605
36606 6615 
36607 IO_BYTE
36608  
36609         m_D1
36610  :1;
36611
36612 6616 
36613 IO_BYTE
36614  
36615         m_D0
36616  :1;
36617
36618 6617 }
36619         mb\99
36620 ;
36621
36622 6618 }
36623         tCTBRSTR
36624 ;
36625
36626 6620 
36627 IO_BYTE
36628  
36629         mby\8b
36630 ;
36631
36632 6622 
36633         mIO_BYTE
36634  :1;
36635
36636 6623 
36637         mIO_BYTE
36638  :1;
36639
36640 6624 
36641         mIO_BYTE
36642  :1;
36643
36644 6625 
36645         mIO_BYTE
36646  :1;
36647
36648 6626 
36649 IO_BYTE
36650  
36651         m_SCKEN
36652  :1;
36653
36654 6627 
36655 IO_BYTE
36656  
36657         m_PLL1EN
36658  :1;
36659
36660 6628 
36661 IO_BYTE
36662  
36663         m_CLKS1
36664  :1;
36665
36666 6629 
36667 IO_BYTE
36668  
36669         m_CLKS0
36670  :1;
36671
36672 6630 }
36673         mb\99
36674 ;
36675
36676 6632 
36677         mIO_BYTE
36678  :1;
36679
36680 6633 
36681         mIO_BYTE
36682  :1;
36683
36684 6634 
36685         mIO_BYTE
36686  :1;
36687
36688 6635 
36689         mIO_BYTE
36690  :1;
36691
36692 6636 
36693         mIO_BYTE
36694  :1;
36695
36696 6637 
36697         mIO_BYTE
36698  :1;
36699
36700 6638 
36701 IO_BYTE
36702  
36703         m_CLKS
36704  :2;
36705
36706 6639 }
36707         mb\99c
36708 ;
36709
36710 6640 }
36711         tCLKRSTR
36712 ;
36713
36714 6642 
36715 IO_BYTE
36716  
36717         mby\8b
36718 ;
36719
36720 6644 
36721 IO_BYTE
36722  
36723         m_D7
36724  :1;
36725
36726 6645 
36727 IO_BYTE
36728  
36729         m_D6
36730  :1;
36731
36732 6646 
36733 IO_BYTE
36734  
36735         m_D5
36736  :1;
36737
36738 6647 
36739 IO_BYTE
36740  
36741         m_D4
36742  :1;
36743
36744 6648 
36745 IO_BYTE
36746  
36747         m_D3
36748  :1;
36749
36750 6649 
36751 IO_BYTE
36752  
36753         m_D2
36754  :1;
36755
36756 6650 
36757 IO_BYTE
36758  
36759         m_D1
36760  :1;
36761
36762 6651 
36763 IO_BYTE
36764  
36765         m_D0
36766  :1;
36767
36768 6652 }
36769         mb\99
36770 ;
36771
36772 6653 }
36773         tWPRSTR
36774 ;
36775
36776 6655 
36777 IO_BYTE
36778  
36779         mby\8b
36780 ;
36781
36782 6657 
36783 IO_BYTE
36784  
36785         m_B3
36786  :1;
36787
36788 6658 
36789 IO_BYTE
36790  
36791         m_B2
36792  :1;
36793
36794 6659 
36795 IO_BYTE
36796  
36797         m_B1
36798  :1;
36799
36800 6660 
36801 IO_BYTE
36802  
36803         m_B0
36804  :1;
36805
36806 6661 
36807 IO_BYTE
36808  
36809         m_P3
36810  :1;
36811
36812 6662 
36813 IO_BYTE
36814  
36815         m_P2
36816  :1;
36817
36818 6663 
36819 IO_BYTE
36820  
36821         m_P1
36822  :1;
36823
36824 6664 
36825 IO_BYTE
36826  
36827         m_P0
36828  :1;
36829
36830 6665 }
36831         mb\99
36832 ;
36833
36834 6667 
36835 IO_BYTE
36836  
36837         m_B
36838  :4;
36839
36840 6668 
36841 IO_BYTE
36842  
36843         m_P
36844  :4;
36845
36846 6669 }
36847         mb\99c
36848 ;
36849
36850 6670 }
36851         tDIVR0STR
36852 ;
36853
36854 6672 
36855 IO_BYTE
36856  
36857         mby\8b
36858 ;
36859
36860 6674 
36861 IO_BYTE
36862  
36863         m_T3
36864  :1;
36865
36866 6675 
36867 IO_BYTE
36868  
36869         m_T2
36870  :1;
36871
36872 6676 
36873 IO_BYTE
36874  
36875         m_T1
36876  :1;
36877
36878 6677 
36879 IO_BYTE
36880  
36881         m_T0
36882  :1;
36883
36884 6678 
36885         mIO_BYTE
36886  :1;
36887
36888 6679 
36889         mIO_BYTE
36890  :1;
36891
36892 6680 
36893         mIO_BYTE
36894  :1;
36895
36896 6681 
36897         mIO_BYTE
36898  :1;
36899
36900 6682 }
36901         mb\99
36902 ;
36903
36904 6684 
36905 IO_BYTE
36906  
36907         m_T
36908  :4;
36909
36910 6685 }
36911         mb\99c
36912 ;
36913
36914 6686 }
36915         tDIVR1STR
36916 ;
36917
36918 6688 
36919 IO_BYTE
36920  
36921         mby\8b
36922 ;
36923
36924 6690 
36925         mIO_BYTE
36926  :1;
36927
36928 6691 
36929         mIO_BYTE
36930  :1;
36931
36932 6692 
36933         mIO_BYTE
36934  :1;
36935
36936 6693 
36937         mIO_BYTE
36938  :1;
36939
36940 6694 
36941 IO_BYTE
36942  
36943         m_DVM3
36944  :1;
36945
36946 6695 
36947 IO_BYTE
36948  
36949         m_DVM2
36950  :1;
36951
36952 6696 
36953 IO_BYTE
36954  
36955         m_DVM1
36956  :1;
36957
36958 6697 
36959 IO_BYTE
36960  
36961         m_DVM0
36962  :1;
36963
36964 6698 }
36965         mb\99
36966 ;
36967
36968 6700 
36969         mIO_BYTE
36970  :1;
36971
36972 6701 
36973         mIO_BYTE
36974  :1;
36975
36976 6702 
36977         mIO_BYTE
36978  :1;
36979
36980 6703 
36981         mIO_BYTE
36982  :1;
36983
36984 6704 
36985 IO_BYTE
36986  
36987         m_DVM
36988  :4;
36989
36990 6705 }
36991         mb\99c
36992 ;
36993
36994 6706 }
36995         tPLLDIVMSTR
36996 ;
36997
36998 6708 
36999 IO_BYTE
37000  
37001         mby\8b
37002 ;
37003
37004 6710 
37005         mIO_BYTE
37006  :1;
37007
37008 6711 
37009         mIO_BYTE
37010  :1;
37011
37012 6712 
37013 IO_BYTE
37014  
37015         m_DVN5
37016  :1;
37017
37018 6713 
37019 IO_BYTE
37020  
37021         m_DVN4
37022  :1;
37023
37024 6714 
37025 IO_BYTE
37026  
37027         m_DVN3
37028  :1;
37029
37030 6715 
37031 IO_BYTE
37032  
37033         m_DVN2
37034  :1;
37035
37036 6716 
37037 IO_BYTE
37038  
37039         m_DVN1
37040  :1;
37041
37042 6717 
37043 IO_BYTE
37044  
37045         m_DVN0
37046  :1;
37047
37048 6718 }
37049         mb\99
37050 ;
37051
37052 6720 
37053         mIO_BYTE
37054  :1;
37055
37056 6721 
37057         mIO_BYTE
37058  :1;
37059
37060 6722 
37061 IO_BYTE
37062  
37063         m_DVN
37064  :6;
37065
37066 6723 }
37067         mb\99c
37068 ;
37069
37070 6724 }
37071         tPLLDIVNSTR
37072 ;
37073
37074 6726 
37075 IO_BYTE
37076  
37077         mby\8b
37078 ;
37079
37080 6728 
37081         mIO_BYTE
37082  :1;
37083
37084 6729 
37085         mIO_BYTE
37086  :1;
37087
37088 6730 
37089         mIO_BYTE
37090  :1;
37091
37092 6731 
37093         mIO_BYTE
37094  :1;
37095
37096 6732 
37097 IO_BYTE
37098  
37099         m_DVG3
37100  :1;
37101
37102 6733 
37103 IO_BYTE
37104  
37105         m_DVG2
37106  :1;
37107
37108 6734 
37109 IO_BYTE
37110  
37111         m_DVG1
37112  :1;
37113
37114 6735 
37115 IO_BYTE
37116  
37117         m_DVG0
37118  :1;
37119
37120 6736 }
37121         mb\99
37122 ;
37123
37124 6738 
37125         mIO_BYTE
37126  :1;
37127
37128 6739 
37129         mIO_BYTE
37130  :1;
37131
37132 6740 
37133         mIO_BYTE
37134  :1;
37135
37136 6741 
37137         mIO_BYTE
37138  :1;
37139
37140 6742 
37141 IO_BYTE
37142  
37143         m_DVG
37144  :4;
37145
37146 6743 }
37147         mb\99c
37148 ;
37149
37150 6744 }
37151         tPLLDIVGSTR
37152 ;
37153
37154 6746 
37155 IO_BYTE
37156  
37157         mby\8b
37158 ;
37159
37160 6748 
37161 IO_BYTE
37162  
37163         m_MLG7
37164  :1;
37165
37166 6749 
37167 IO_BYTE
37168  
37169         m_MLG6
37170  :1;
37171
37172 6750 
37173 IO_BYTE
37174  
37175         m_MLG5
37176  :1;
37177
37178 6751 
37179 IO_BYTE
37180  
37181         m_MLG4
37182  :1;
37183
37184 6752 
37185 IO_BYTE
37186  
37187         m_MLG3
37188  :1;
37189
37190 6753 
37191 IO_BYTE
37192  
37193         m_MLG2
37194  :1;
37195
37196 6754 
37197 IO_BYTE
37198  
37199         m_MLG1
37200  :1;
37201
37202 6755 
37203 IO_BYTE
37204  
37205         m_MLG0
37206  :1;
37207
37208 6756 }
37209         mb\99
37210 ;
37211
37212 6758 
37213 IO_BYTE
37214  
37215         m_MLG
37216  :8;
37217
37218 6759 }
37219         mb\99c
37220 ;
37221
37222 6760 }
37223         tPLLMULGSTR
37224 ;
37225
37226 6762 
37227 IO_BYTE
37228  
37229         mby\8b
37230 ;
37231
37232 6764 
37233         mIO_BYTE
37234  :1;
37235
37236 6765 
37237         mIO_BYTE
37238  :1;
37239
37240 6766 
37241         mIO_BYTE
37242  :1;
37243
37244 6767 
37245         mIO_BYTE
37246  :1;
37247
37248 6768 
37249 IO_BYTE
37250  
37251         m_IEDN
37252  :1;
37253
37254 6769 
37255 IO_BYTE
37256  
37257         m_GRDN
37258  :1;
37259
37260 6770 
37261 IO_BYTE
37262  
37263         m_IEUP
37264  :1;
37265
37266 6771 
37267 IO_BYTE
37268  
37269         m_GRUP
37270  :1;
37271
37272 6772 }
37273         mb\99
37274 ;
37275
37276 6773 }
37277         tPLLCTRLSTR
37278 ;
37279
37280 6775 
37281 IO_BYTE
37282  
37283         mby\8b
37284 ;
37285
37286 6777 
37287         mIO_BYTE
37288  :1;
37289
37290 6778 
37291         mIO_BYTE
37292  :1;
37293
37294 6779 
37295         mIO_BYTE
37296  :1;
37297
37298 6780 
37299         mIO_BYTE
37300  :1;
37301
37302 6781 
37303         mIO_BYTE
37304  :1;
37305
37306 6782 
37307 IO_BYTE
37308  
37309         m_FCI
37310  :1;
37311
37312 6783 
37313 IO_BYTE
37314  
37315         m_RFBEN
37316  :1;
37317
37318 6784 
37319 IO_BYTE
37320  
37321         m_OSCR
37322  :1;
37323
37324 6785 }
37325         mb\99
37326 ;
37327
37328 6786 }
37329         tOSCC1STR
37330 ;
37331
37332 6788 
37333 IO_BYTE
37334  
37335         mby\8b
37336 ;
37337
37338 6790 
37339 IO_BYTE
37340  
37341         m_OSCS7
37342  :1;
37343
37344 6791 
37345 IO_BYTE
37346  
37347         m_OSCS6
37348  :1;
37349
37350 6792 
37351 IO_BYTE
37352  
37353         m_OSCS5
37354  :1;
37355
37356 6793 
37357 IO_BYTE
37358  
37359         m_OSCS4
37360  :1;
37361
37362 6794 
37363 IO_BYTE
37364  
37365         m_OSCS3
37366  :1;
37367
37368 6795 
37369 IO_BYTE
37370  
37371         m_OSCS2
37372  :1;
37373
37374 6796 
37375 IO_BYTE
37376  
37377         m_OSCS1
37378  :1;
37379
37380 6797 
37381 IO_BYTE
37382  
37383         m_OSCS0
37384  :1;
37385
37386 6798 }
37387         mb\99
37388 ;
37389
37390 6799 }
37391         tOSCS1STR
37392 ;
37393
37394 6801 
37395 IO_BYTE
37396  
37397         mby\8b
37398 ;
37399
37400 6803 
37401         mIO_BYTE
37402  :1;
37403
37404 6804 
37405         mIO_BYTE
37406  :1;
37407
37408 6805 
37409         mIO_BYTE
37410  :1;
37411
37412 6806 
37413         mIO_BYTE
37414  :1;
37415
37416 6807 
37417         mIO_BYTE
37418  :1;
37419
37420 6808 
37421 IO_BYTE
37422  
37423         m_FCI
37424  :1;
37425
37426 6809 
37427 IO_BYTE
37428  
37429         m_RFBEN
37430  :1;
37431
37432 6810 
37433 IO_BYTE
37434  
37435         m_OSCR
37436  :1;
37437
37438 6811 }
37439         mb\99
37440 ;
37441
37442 6812 }
37443         tOSCC2STR
37444 ;
37445
37446 6814 
37447 IO_BYTE
37448  
37449         mby\8b
37450 ;
37451
37452 6816 
37453 IO_BYTE
37454  
37455         m_OSCS7
37456  :1;
37457
37458 6817 
37459 IO_BYTE
37460  
37461         m_OSCS6
37462  :1;
37463
37464 6818 
37465 IO_BYTE
37466  
37467         m_OSCS5
37468  :1;
37469
37470 6819 
37471 IO_BYTE
37472  
37473         m_OSCS4
37474  :1;
37475
37476 6820 
37477 IO_BYTE
37478  
37479         m_OSCS3
37480  :1;
37481
37482 6821 
37483 IO_BYTE
37484  
37485         m_OSCS2
37486  :1;
37487
37488 6822 
37489 IO_BYTE
37490  
37491         m_OSCS1
37492  :1;
37493
37494 6823 
37495 IO_BYTE
37496  
37497         m_OSCS0
37498  :1;
37499
37500 6824 }
37501         mb\99
37502 ;
37503
37504 6825 }
37505         tOSCS2STR
37506 ;
37507
37508 6827 
37509 IO_BYTE
37510  
37511         mby\8b
37512 ;
37513
37514 6829 
37515         mIO_BYTE
37516  :1;
37517
37518 6830 
37519         mIO_BYTE
37520  :1;
37521
37522 6831 
37523         mIO_BYTE
37524  :1;
37525
37526 6832 
37527         mIO_BYTE
37528  :1;
37529
37530 6833 
37531         mIO_BYTE
37532  :1;
37533
37534 6834 
37535         mIO_BYTE
37536  :1;
37537
37538 6835 
37539 IO_BYTE
37540  
37541         m_CPORTEN
37542  :1;
37543
37544 6836 
37545 IO_BYTE
37546  
37547         m_GPORTEN
37548  :1;
37549
37550 6837 }
37551         mb\99
37552 ;
37553
37554 6838 }
37555         tPORTENSTR
37556 ;
37557
37558 6840 
37559 IO_BYTE
37560  
37561         mby\8b
37562 ;
37563
37564 6842 
37565         mIO_BYTE
37566  :1;
37567
37568 6843 
37569         mIO_BYTE
37570  :1;
37571
37572 6844 
37573         mIO_BYTE
37574  :1;
37575
37576 6845 
37577         mIO_BYTE
37578  :1;
37579
37580 6846 
37581         mIO_BYTE
37582  :1;
37583
37584 6847 
37585         mIO_BYTE
37586  :1;
37587
37588 6848 
37589 IO_BYTE
37590  
37591         m_INTE4
37592  :1;
37593
37594 6849 
37595 IO_BYTE
37596  
37597         m_INT4
37598  :1;
37599
37600 6850 }
37601         mb\99
37602 ;
37603
37604 6851 }
37605         tWTCERSTR
37606 ;
37607
37608 6853 
37609 IO_WORD
37610  
37611         mwÜd
37612 ;
37613
37614 6855 
37615 IO_WORD
37616  
37617         m_INTE3
37618  :1;
37619
37620 6856 
37621 IO_WORD
37622  
37623         m_INT3
37624  :1;
37625
37626 6857 
37627 IO_WORD
37628  
37629         m_INTE2
37630  :1;
37631
37632 6858 
37633 IO_WORD
37634  
37635         m_INT2
37636  :1;
37637
37638 6859 
37639 IO_WORD
37640  
37641         m_INTE1
37642  :1;
37643
37644 6860 
37645 IO_WORD
37646  
37647         m_INT1
37648  :1;
37649
37650 6861 
37651 IO_WORD
37652  
37653         m_INTE0
37654  :1;
37655
37656 6862 
37657 IO_WORD
37658  
37659         m_INT0
37660  :1;
37661
37662 6863 
37663         mIO_WORD
37664  :1;
37665
37666 6864 
37667         mIO_WORD
37668  :1;
37669
37670 6865 
37671         mIO_WORD
37672  :1;
37673
37674 6866 
37675         mIO_WORD
37676  :1;
37677
37678 6867 
37679 IO_WORD
37680  
37681         m_RUN
37682  :1;
37683
37684 6868 
37685 IO_WORD
37686  
37687         m_UPDT
37688  :1;
37689
37690 6869 
37691         mIO_WORD
37692  :1;
37693
37694 6870 
37695 IO_WORD
37696  
37697         m_ST
37698  :1;
37699
37700 6871 }
37701         mb\99
37702 ;
37703
37704 6872 }
37705         tWTCRSTR
37706 ;
37707
37708 6874 
37709 IO_LWORD
37710  
37711         mlwÜd
37712 ;
37713
37714 6876 
37715         mIO_LWORD
37716  :1;
37717
37718 6877 
37719         mIO_LWORD
37720  :1;
37721
37722 6878 
37723         mIO_LWORD
37724  :1;
37725
37726 6879 
37727         mIO_LWORD
37728  :1;
37729
37730 6880 
37731         mIO_LWORD
37732  :1;
37733
37734 6881 
37735         mIO_LWORD
37736  :1;
37737
37738 6882 
37739         mIO_LWORD
37740  :1;
37741
37742 6883 
37743         mIO_LWORD
37744  :1;
37745
37746 6884 
37747         mIO_LWORD
37748  :1;
37749
37750 6885 
37751         mIO_LWORD
37752  :1;
37753
37754 6886 
37755         mIO_LWORD
37756  :1;
37757
37758 6887 
37759 IO_LWORD
37760  
37761         m_D20
37762  :1;
37763
37764 6888 
37765 IO_LWORD
37766  
37767         m_D19
37768  :1;
37769
37770 6889 
37771 IO_LWORD
37772  
37773         m_D18
37774  :1;
37775
37776 6890 
37777 IO_LWORD
37778  
37779         m_D17
37780  :1;
37781
37782 6891 
37783 IO_LWORD
37784  
37785         m_D16
37786  :1;
37787
37788 6892 
37789 IO_LWORD
37790  
37791         m_D15
37792  :1;
37793
37794 6893 
37795 IO_LWORD
37796  
37797         m_D14
37798  :1;
37799
37800 6894 
37801 IO_LWORD
37802  
37803         m_D13
37804  :1;
37805
37806 6895 
37807 IO_LWORD
37808  
37809         m_D12
37810  :1;
37811
37812 6896 
37813 IO_LWORD
37814  
37815         m_D11
37816  :1;
37817
37818 6897 
37819 IO_LWORD
37820  
37821         m_D10
37822  :1;
37823
37824 6898 
37825 IO_LWORD
37826  
37827         m_D9
37828  :1;
37829
37830 6899 
37831 IO_LWORD
37832  
37833         m_D8
37834  :1;
37835
37836 6900 
37837 IO_LWORD
37838  
37839         m_D7
37840  :1;
37841
37842 6901 
37843 IO_LWORD
37844  
37845         m_D6
37846  :1;
37847
37848 6902 
37849 IO_LWORD
37850  
37851         m_D5
37852  :1;
37853
37854 6903 
37855 IO_LWORD
37856  
37857         m_D4
37858  :1;
37859
37860 6904 
37861 IO_LWORD
37862  
37863         m_D3
37864  :1;
37865
37866 6905 
37867 IO_LWORD
37868  
37869         m_D2
37870  :1;
37871
37872 6906 
37873 IO_LWORD
37874  
37875         m_D1
37876  :1;
37877
37878 6907 
37879 IO_LWORD
37880  
37881         m_D0
37882  :1;
37883
37884 6908 }
37885         mb\99
37886 ;
37887
37888 6909 }
37889         tWTBRSTR
37890 ;
37891
37892 6911 
37893 IO_BYTE
37894  
37895         mby\8b
37896 ;
37897
37898 6913 
37899         mIO_BYTE
37900  :1;
37901
37902 6914 
37903         mIO_BYTE
37904  :1;
37905
37906 6915 
37907         mIO_BYTE
37908  :1;
37909
37910 6916 
37911 IO_BYTE
37912  
37913         m_H4
37914  :1;
37915
37916 6917 
37917 IO_BYTE
37918  
37919         m_H3
37920  :1;
37921
37922 6918 
37923 IO_BYTE
37924  
37925         m_H2
37926  :1;
37927
37928 6919 
37929 IO_BYTE
37930  
37931         m_H1
37932  :1;
37933
37934 6920 
37935 IO_BYTE
37936  
37937         m_H0
37938  :1;
37939
37940 6921 }
37941         mb\99
37942 ;
37943
37944 6922 }
37945         tWTHRSTR
37946 ;
37947
37948 6924 
37949 IO_BYTE
37950  
37951         mby\8b
37952 ;
37953
37954 6926 
37955         mIO_BYTE
37956  :1;
37957
37958 6927 
37959         mIO_BYTE
37960  :1;
37961
37962 6928 
37963 IO_BYTE
37964  
37965         m_M5
37966  :1;
37967
37968 6929 
37969 IO_BYTE
37970  
37971         m_M4
37972  :1;
37973
37974 6930 
37975 IO_BYTE
37976  
37977         m_M3
37978  :1;
37979
37980 6931 
37981 IO_BYTE
37982  
37983         m_M2
37984  :1;
37985
37986 6932 
37987 IO_BYTE
37988  
37989         m_M1
37990  :1;
37991
37992 6933 
37993 IO_BYTE
37994  
37995         m_M0
37996  :1;
37997
37998 6934 }
37999         mb\99
38000 ;
38001
38002 6935 }
38003         tWTMRSTR
38004 ;
38005
38006 6937 
38007 IO_BYTE
38008  
38009         mby\8b
38010 ;
38011
38012 6939 
38013         mIO_BYTE
38014  :1;
38015
38016 6940 
38017         mIO_BYTE
38018  :1;
38019
38020 6941 
38021 IO_BYTE
38022  
38023         m_S5
38024  :1;
38025
38026 6942 
38027 IO_BYTE
38028  
38029         m_S4
38030  :1;
38031
38032 6943 
38033 IO_BYTE
38034  
38035         m_S3
38036  :1;
38037
38038 6944 
38039 IO_BYTE
38040  
38041         m_S2
38042  :1;
38043
38044 6945 
38045 IO_BYTE
38046  
38047         m_S1
38048  :1;
38049
38050 6946 
38051 IO_BYTE
38052  
38053         m_S0
38054  :1;
38055
38056 6947 }
38057         mb\99
38058 ;
38059
38060 6948 }
38061         tWTSRSTR
38062 ;
38063
38064 6950 
38065 IO_BYTE
38066  
38067         mby\8b
38068 ;
38069
38070 6952 
38071 IO_BYTE
38072  
38073         m_SCKS
38074  :1;
38075
38076 6953 
38077 IO_BYTE
38078  
38079         m_MM
38080  :1;
38081
38082 6954 
38083 IO_BYTE
38084  
38085         m_SM
38086  :1;
38087
38088 6955 
38089 IO_BYTE
38090  
38091         m_RCE
38092  :1;
38093
38094 6956 
38095 IO_BYTE
38096  
38097         m_MSVE
38098  :1;
38099
38100 6957 
38101 IO_BYTE
38102  
38103         m_SSVE
38104  :1;
38105
38106 6958 
38107 IO_BYTE
38108  
38109         m_SRST
38110  :1;
38111
38112 6959 
38113 IO_BYTE
38114  
38115         m_OUTE
38116  :1;
38117
38118 6960 }
38119         mb\99
38120 ;
38121
38122 6961 }
38123         tCSVCRSTR
38124 ;
38125
38126 6963 
38127 IO_BYTE
38128  
38129         mby\8b
38130 ;
38131
38132 6965 
38133 IO_BYTE
38134  
38135         m_EDSUEN
38136  :1;
38137
38138 6966 
38139 IO_BYTE
38140  
38141         m_PLLLOCK
38142  :1;
38143
38144 6967 
38145 IO_BYTE
38146  
38147         m_RCSEL
38148  :1;
38149
38150 6968 
38151 IO_BYTE
38152  
38153         m_MONCKI
38154  :1;
38155
38156 6969 
38157 IO_BYTE
38158  
38159         m_CSC3
38160  :1;
38161
38162 6970 
38163 IO_BYTE
38164  
38165         m_CSC2
38166  :1;
38167
38168 6971 
38169 IO_BYTE
38170  
38171         m_CSC1
38172  :1;
38173
38174 6972 
38175 IO_BYTE
38176  
38177         m_CSC0
38178  :1;
38179
38180 6973 }
38181         mb\99
38182 ;
38183
38184 6975 
38185         mIO_BYTE
38186  :4;
38187
38188 6976 
38189 IO_BYTE
38190  
38191         m_CSC
38192  :4;
38193
38194 6977 }
38195         mb\99c
38196 ;
38197
38198 6978 }
38199         tCSCFGSTR
38200 ;
38201
38202 6980 
38203 IO_BYTE
38204  
38205         mby\8b
38206 ;
38207
38208 6982 
38209 IO_BYTE
38210  
38211         m_CMPRE3
38212  :1;
38213
38214 6983 
38215 IO_BYTE
38216  
38217         m_CMPRE2
38218  :1;
38219
38220 6984 
38221 IO_BYTE
38222  
38223         m_CMPRE1
38224  :1;
38225
38226 6985 
38227 IO_BYTE
38228  
38229         m_CMPRE0
38230  :1;
38231
38232 6986 
38233 IO_BYTE
38234  
38235         m_CMSEL3
38236  :1;
38237
38238 6987 
38239 IO_BYTE
38240  
38241         m_CMSEL2
38242  :1;
38243
38244 6988 
38245 IO_BYTE
38246  
38247         m_CMSEL1
38248  :1;
38249
38250 6989 
38251 IO_BYTE
38252  
38253         m_CMSEL0
38254  :1;
38255
38256 6990 }
38257         mb\99
38258 ;
38259
38260 6992 
38261 IO_BYTE
38262  
38263         m_CMPRE
38264  :4;
38265
38266 6993 
38267 IO_BYTE
38268  
38269         m_CMSEL
38270  :4;
38271
38272 6994 }
38273         mb\99c
38274 ;
38275
38276 6995 }
38277         tCMCFGSTR
38278 ;
38279
38280 6997 
38281 IO_WORD
38282  
38283         mwÜd
38284 ;
38285
38286 6999 
38287         mIO_WORD
38288  :1;
38289
38290 7000 
38291         mIO_WORD
38292  :1;
38293
38294 7001 
38295         mIO_WORD
38296  :1;
38297
38298 7002 
38299         mIO_WORD
38300  :1;
38301
38302 7003 
38303         mIO_WORD
38304  :1;
38305
38306 7004 
38307         mIO_WORD
38308  :1;
38309
38310 7005 
38311         mIO_WORD
38312  :1;
38313
38314 7006 
38315         mIO_WORD
38316  :1;
38317
38318 7007 
38319         mIO_WORD
38320  :1;
38321
38322 7008 
38323         mIO_WORD
38324  :1;
38325
38326 7009 
38327         mIO_WORD
38328  :1;
38329
38330 7010 
38331 IO_WORD
38332  
38333         m_STRT
38334  :1;
38335
38336 7011 
38337         mIO_WORD
38338  :1;
38339
38340 7012 
38341         mIO_WORD
38342  :1;
38343
38344 7013 
38345 IO_WORD
38346  
38347         m_INT
38348  :1;
38349
38350 7014 
38351 IO_WORD
38352  
38353         m_INTEN
38354  :1;
38355
38356 7015 }
38357         mb\99
38358 ;
38359
38360 7016 }
38361         tCUCRSTR
38362 ;
38363
38364 7018 
38365 IO_WORD
38366  
38367         mwÜd
38368 ;
38369
38370 7020 
38371 IO_WORD
38372  
38373         m_TDD15
38374  :1;
38375
38376 7021 
38377 IO_WORD
38378  
38379         m_TDD14
38380  :1;
38381
38382 7022 
38383 IO_WORD
38384  
38385         m_TDD13
38386  :1;
38387
38388 7023 
38389 IO_WORD
38390  
38391         m_TDD12
38392  :1;
38393
38394 7024 
38395 IO_WORD
38396  
38397         m_TDD11
38398  :1;
38399
38400 7025 
38401 IO_WORD
38402  
38403         m_TDD10
38404  :1;
38405
38406 7026 
38407 IO_WORD
38408  
38409         m_TDD9
38410  :1;
38411
38412 7027 
38413 IO_WORD
38414  
38415         m_TDD8
38416  :1;
38417
38418 7028 
38419 IO_WORD
38420  
38421         m_TDD7
38422  :1;
38423
38424 7029 
38425 IO_WORD
38426  
38427         m_TDD6
38428  :1;
38429
38430 7030 
38431 IO_WORD
38432  
38433         m_TDD5
38434  :1;
38435
38436 7031 
38437 IO_WORD
38438  
38439         m_TDD4
38440  :1;
38441
38442 7032 
38443 IO_WORD
38444  
38445         m_TDD3
38446  :1;
38447
38448 7033 
38449 IO_WORD
38450  
38451         m_TDD2
38452  :1;
38453
38454 7034 
38455 IO_WORD
38456  
38457         m_TDD1
38458  :1;
38459
38460 7035 
38461 IO_WORD
38462  
38463         m_TDD0
38464  :1;
38465
38466 7036 }
38467         mb\99
38468 ;
38469
38470 7037 }
38471         tCUTDSTR
38472 ;
38473
38474 7039 
38475 IO_WORD
38476  
38477         mwÜd
38478 ;
38479
38480 7041 
38481         mIO_WORD
38482  :1;
38483
38484 7042 
38485         mIO_WORD
38486  :1;
38487
38488 7043 
38489         mIO_WORD
38490  :1;
38491
38492 7044 
38493         mIO_WORD
38494  :1;
38495
38496 7045 
38497         mIO_WORD
38498  :1;
38499
38500 7046 
38501         mIO_WORD
38502  :1;
38503
38504 7047 
38505         mIO_WORD
38506  :1;
38507
38508 7048 
38509         mIO_WORD
38510  :1;
38511
38512 7049 
38513 IO_WORD
38514  
38515         m_TDR23
38516  :1;
38517
38518 7050 
38519 IO_WORD
38520  
38521         m_TDR22
38522  :1;
38523
38524 7051 
38525 IO_WORD
38526  
38527         m_TDR21
38528  :1;
38529
38530 7052 
38531 IO_WORD
38532  
38533         m_TDR20
38534  :1;
38535
38536 7053 
38537 IO_WORD
38538  
38539         m_TDR19
38540  :1;
38541
38542 7054 
38543 IO_WORD
38544  
38545         m_TDR18
38546  :1;
38547
38548 7055 
38549 IO_WORD
38550  
38551         m_TDR17
38552  :1;
38553
38554 7056 
38555 IO_WORD
38556  
38557         m_TDR16
38558  :1;
38559
38560 7057 }
38561         mb\99
38562 ;
38563
38564 7058 }
38565         tCUTR1STR
38566 ;
38567
38568 7060 
38569 IO_WORD
38570  
38571         mwÜd
38572 ;
38573
38574 7062 
38575 IO_WORD
38576  
38577         m_TDR15
38578  :1;
38579
38580 7063 
38581 IO_WORD
38582  
38583         m_TDR14
38584  :1;
38585
38586 7064 
38587 IO_WORD
38588  
38589         m_TDR13
38590  :1;
38591
38592 7065 
38593 IO_WORD
38594  
38595         m_TDR12
38596  :1;
38597
38598 7066 
38599 IO_WORD
38600  
38601         m_TDR11
38602  :1;
38603
38604 7067 
38605 IO_WORD
38606  
38607         m_TDR10
38608  :1;
38609
38610 7068 
38611 IO_WORD
38612  
38613         m_TDR9
38614  :1;
38615
38616 7069 
38617 IO_WORD
38618  
38619         m_TDR8
38620  :1;
38621
38622 7070 
38623 IO_WORD
38624  
38625         m_TDR7
38626  :1;
38627
38628 7071 
38629 IO_WORD
38630  
38631         m_TDR6
38632  :1;
38633
38634 7072 
38635 IO_WORD
38636  
38637         m_TDR5
38638  :1;
38639
38640 7073 
38641 IO_WORD
38642  
38643         m_TDR4
38644  :1;
38645
38646 7074 
38647 IO_WORD
38648  
38649         m_TDR3
38650  :1;
38651
38652 7075 
38653 IO_WORD
38654  
38655         m_TDR2
38656  :1;
38657
38658 7076 
38659 IO_WORD
38660  
38661         m_TDR1
38662  :1;
38663
38664 7077 
38665 IO_WORD
38666  
38667         m_TDR0
38668  :1;
38669
38670 7078 }
38671         mb\99
38672 ;
38673
38674 7079 }
38675         tCUTR2STR
38676 ;
38677
38678 7081 
38679 IO_WORD
38680  
38681         mwÜd
38682 ;
38683
38684 7083 
38685         mIO_WORD
38686  :1;
38687
38688 7084 
38689         mIO_WORD
38690  :1;
38691
38692 7085 
38693 IO_WORD
38694  
38695         m_MP13
38696  :1;
38697
38698 7086 
38699 IO_WORD
38700  
38701         m_MP12
38702  :1;
38703
38704 7087 
38705 IO_WORD
38706  
38707         m_MP11
38708  :1;
38709
38710 7088 
38711 IO_WORD
38712  
38713         m_MP10
38714  :1;
38715
38716 7089 
38717 IO_WORD
38718  
38719         m_MP9
38720  :1;
38721
38722 7090 
38723 IO_WORD
38724  
38725         m_MP8
38726  :1;
38727
38728 7091 
38729 IO_WORD
38730  
38731         m_MP7
38732  :1;
38733
38734 7092 
38735 IO_WORD
38736  
38737         m_MP6
38738  :1;
38739
38740 7093 
38741 IO_WORD
38742  
38743         m_MP5
38744  :1;
38745
38746 7094 
38747 IO_WORD
38748  
38749         m_MP4
38750  :1;
38751
38752 7095 
38753 IO_WORD
38754  
38755         m_MP3
38756  :1;
38757
38758 7096 
38759 IO_WORD
38760  
38761         m_MP2
38762  :1;
38763
38764 7097 
38765 IO_WORD
38766  
38767         m_MP1
38768  :1;
38769
38770 7098 
38771 IO_WORD
38772  
38773         m_MP0
38774  :1;
38775
38776 7099 }
38777         mb\99
38778 ;
38779
38780 7100 }
38781         tCMPRSTR
38782 ;
38783
38784 7102 
38785 IO_BYTE
38786  
38787         mby\8b
38788 ;
38789
38790 7104 
38791         mIO_BYTE
38792  :1;
38793
38794 7105 
38795         mIO_BYTE
38796  :1;
38797
38798 7106 
38799         mIO_BYTE
38800  :1;
38801
38802 7107 
38803         mIO_BYTE
38804  :1;
38805
38806 7108 
38807 IO_BYTE
38808  
38809         m_FMODRUN
38810  :1;
38811
38812 7109 
38813         mIO_BYTE
38814  :1;
38815
38816 7110 
38817 IO_BYTE
38818  
38819         m_FMOD
38820  :1;
38821
38822 7111 
38823 IO_BYTE
38824  
38825         m_PDX
38826  :1;
38827
38828 7112 }
38829         mb\99
38830 ;
38831
38832 7113 }
38833         tCMCRSTR
38834 ;
38835
38836 7115 
38837 IO_BYTE
38838  
38839         mby\8b
38840 ;
38841
38842 7117 
38843         mIO_BYTE
38844  :1;
38845
38846 7118 
38847         mIO_BYTE
38848  :1;
38849
38850 7119 
38851 IO_BYTE
38852  
38853         m_CPCKS1
38854  :1;
38855
38856 7120 
38857 IO_BYTE
38858  
38859         m_CPCKS0
38860  :1;
38861
38862 7121 
38863 IO_BYTE
38864  
38865         m_DVC3
38866  :1;
38867
38868 7122 
38869 IO_BYTE
38870  
38871         m_DVC2
38872  :1;
38873
38874 7123 
38875 IO_BYTE
38876  
38877         m_DVC1
38878  :1;
38879
38880 7124 
38881 IO_BYTE
38882  
38883         m_DVC0
38884  :1;
38885
38886 7125 }
38887         mb\99
38888 ;
38889
38890 7127 
38891         mIO_BYTE
38892  :2;
38893
38894 7128 
38895 IO_BYTE
38896  
38897         m_CPCKS
38898  :2;
38899
38900 7129 
38901 IO_BYTE
38902  
38903         m_DVC
38904  :4;
38905
38906 7130 }
38907         mb\99c
38908 ;
38909
38910 7131 }
38911         tCANPRESTR
38912 ;
38913
38914 7133 
38915 IO_BYTE
38916  
38917         mby\8b
38918 ;
38919
38920 7135 
38921         mIO_BYTE
38922  :1;
38923
38924 7136 
38925         mIO_BYTE
38926  :1;
38927
38928 7137 
38929 IO_BYTE
38930  
38931         m_CANCKD5
38932  :1;
38933
38934 7138 
38935 IO_BYTE
38936  
38937         m_CANCKD4
38938  :1;
38939
38940 7139 
38941 IO_BYTE
38942  
38943         m_CANCKD3
38944  :1;
38945
38946 7140 
38947 IO_BYTE
38948  
38949         m_CANCKD2
38950  :1;
38951
38952 7141 
38953 IO_BYTE
38954  
38955         m_CANCKD1
38956  :1;
38957
38958 7142 
38959 IO_BYTE
38960  
38961         m_CANCKD0
38962  :1;
38963
38964 7143 }
38965         mb\99
38966 ;
38967
38968 7144 }
38969         tCANCKDSTR
38970 ;
38971
38972 7146 
38973 IO_BYTE
38974  
38975         mby\8b
38976 ;
38977
38978 7148 
38979 IO_BYTE
38980  
38981         m_LVESEL3
38982  :1;
38983
38984 7149 
38985 IO_BYTE
38986  
38987         m_LVESEL2
38988  :1;
38989
38990 7150 
38991 IO_BYTE
38992  
38993         m_LVESEL1
38994  :1;
38995
38996 7151 
38997 IO_BYTE
38998  
38999         m_LVESEL0
39000  :1;
39001
39002 7152 
39003 IO_BYTE
39004  
39005         m_LVISEL3
39006  :1;
39007
39008 7153 
39009 IO_BYTE
39010  
39011         m_LVISEL2
39012  :1;
39013
39014 7154 
39015 IO_BYTE
39016  
39017         m_LVISEL1
39018  :1;
39019
39020 7155 
39021 IO_BYTE
39022  
39023         m_LVISEL0
39024  :1;
39025
39026 7156 }
39027         mb\99
39028 ;
39029
39030 7158 
39031 IO_BYTE
39032  
39033         m_LVESEL
39034  :4;
39035
39036 7159 
39037 IO_BYTE
39038  
39039         m_LVISEL
39040  :4;
39041
39042 7160 }
39043         mb\99c
39044 ;
39045
39046 7161 }
39047         tLVSELSTR
39048 ;
39049
39050 7163 
39051 IO_BYTE
39052  
39053         mby\8b
39054 ;
39055
39056 7165 
39057         mIO_BYTE
39058  :1;
39059
39060 7166 
39061 IO_BYTE
39062  
39063         m_LVSEL
39064  :1;
39065
39066 7167 
39067 IO_BYTE
39068  
39069         m_LVEPD
39070  :1;
39071
39072 7168 
39073 IO_BYTE
39074  
39075         m_LVIPD
39076  :1;
39077
39078 7169 
39079 IO_BYTE
39080  
39081         m_LVREN
39082  :1;
39083
39084 7170 
39085         mIO_BYTE
39086  :1;
39087
39088 7171 
39089 IO_BYTE
39090  
39091         m_LVIEN
39092  :1;
39093
39094 7172 
39095 IO_BYTE
39096  
39097         m_LVIRQ
39098  :1;
39099
39100 7173 }
39101         mb\99
39102 ;
39103
39104 7174 }
39105         tLVDETSTR
39106 ;
39107
39108 7176 
39109 IO_BYTE
39110  
39111         mby\8b
39112 ;
39113
39114 7178 
39115         mIO_BYTE
39116  :1;
39117
39118 7179 
39119         mIO_BYTE
39120  :1;
39121
39122 7180 
39123         mIO_BYTE
39124  :1;
39125
39126 7181 
39127         mIO_BYTE
39128  :1;
39129
39130 7182 
39131         mIO_BYTE
39132  :1;
39133
39134 7183 
39135         mIO_BYTE
39136  :1;
39137
39138 7184 
39139 IO_BYTE
39140  
39141         m_ED1
39142  :1;
39143
39144 7185 
39145 IO_BYTE
39146  
39147         m_ED0
39148  :1;
39149
39150 7186 }
39151         mb\99
39152 ;
39153
39154 7188 
39155         mIO_BYTE
39156  :1;
39157
39158 7189 
39159         mIO_BYTE
39160  :1;
39161
39162 7190 
39163         mIO_BYTE
39164  :1;
39165
39166 7191 
39167         mIO_BYTE
39168  :1;
39169
39170 7192 
39171         mIO_BYTE
39172  :1;
39173
39174 7193 
39175         mIO_BYTE
39176  :1;
39177
39178 7194 
39179 IO_BYTE
39180  
39181         m_ED
39182  :2;
39183
39184 7195 }
39185         mb\99c
39186 ;
39187
39188 7196 }
39189         tHWWDESTR
39190 ;
39191
39192 7198 
39193 IO_BYTE
39194  
39195         mby\8b
39196 ;
39197
39198 7200 
39199         mIO_BYTE
39200  :1;
39201
39202 7201 
39203         mIO_BYTE
39204  :1;
39205
39206 7202 
39207         mIO_BYTE
39208  :1;
39209
39210 7203 
39211         mIO_BYTE
39212  :1;
39213
39214 7204 
39215 IO_BYTE
39216  
39217         m_CL
39218  :1;
39219
39220 7205 
39221         mIO_BYTE
39222  :1;
39223
39224 7206 
39225         mIO_BYTE
39226  :1;
39227
39228 7207 
39229 IO_BYTE
39230  
39231         m_CPUF
39232  :1;
39233
39234 7208 }
39235         mb\99
39236 ;
39237
39238 7209 }
39239         tHWWDSTR
39240 ;
39241
39242 7211 
39243 IO_BYTE
39244  
39245         mby\8b
39246 ;
39247
39248 7213 
39249 IO_BYTE
39250  
39251         m_WIF
39252  :1;
39253
39254 7214 
39255 IO_BYTE
39256  
39257         m_WIE
39258  :1;
39259
39260 7215 
39261 IO_BYTE
39262  
39263         m_WEN
39264  :1;
39265
39266 7216 
39267         mIO_BYTE
39268  :1;
39269
39270 7217 
39271         mIO_BYTE
39272  :1;
39273
39274 7218 
39275 IO_BYTE
39276  
39277         m_WS1
39278  :1;
39279
39280 7219 
39281 IO_BYTE
39282  
39283         m_WS0
39284  :1;
39285
39286 7220 
39287 IO_BYTE
39288  
39289         m_WCL
39290  :1;
39291
39292 7221 }
39293         mb\99
39294 ;
39295
39296 7223 
39297         mIO_BYTE
39298  :1;
39299
39300 7224 
39301         mIO_BYTE
39302  :1;
39303
39304 7225 
39305         mIO_BYTE
39306  :1;
39307
39308 7226 
39309         mIO_BYTE
39310  :1;
39311
39312 7227 
39313         mIO_BYTE
39314  :1;
39315
39316 7228 
39317 IO_BYTE
39318  
39319         m_WS
39320  :2;
39321
39322 7229 }
39323         mb\99c
39324 ;
39325
39326 7230 }
39327         tOSCRHSTR
39328 ;
39329
39330 7232 
39331 IO_BYTE
39332  
39333         mby\8b
39334 ;
39335
39336 7234 
39337 IO_BYTE
39338  
39339         m_WIF
39340  :1;
39341
39342 7235 
39343 IO_BYTE
39344  
39345         m_WIE
39346  :1;
39347
39348 7236 
39349 IO_BYTE
39350  
39351         m_WEN
39352  :1;
39353
39354 7237 
39355         mIO_BYTE
39356  :1;
39357
39358 7238 
39359         mIO_BYTE
39360  :1;
39361
39362 7239 
39363 IO_BYTE
39364  
39365         m_WS1
39366  :1;
39367
39368 7240 
39369 IO_BYTE
39370  
39371         m_WS0
39372  :1;
39373
39374 7241 
39375 IO_BYTE
39376  
39377         m_WCL
39378  :1;
39379
39380 7242 }
39381         mb\99
39382 ;
39383
39384 7244 
39385         mIO_BYTE
39386  :1;
39387
39388 7245 
39389         mIO_BYTE
39390  :1;
39391
39392 7246 
39393         mIO_BYTE
39394  :1;
39395
39396 7247 
39397         mIO_BYTE
39398  :1;
39399
39400 7248 
39401         mIO_BYTE
39402  :1;
39403
39404 7249 
39405 IO_BYTE
39406  
39407         m_WS
39408  :2;
39409
39410 7250 }
39411         mb\99c
39412 ;
39413
39414 7251 }
39415         tWPCRHSTR
39416 ;
39417
39418 7253 
39419 IO_BYTE
39420  
39421         mby\8b
39422 ;
39423
39424 7255 
39425         mIO_BYTE
39426  :1;
39427
39428 7256 
39429         mIO_BYTE
39430  :1;
39431
39432 7257 
39433         mIO_BYTE
39434  :1;
39435
39436 7258 
39437         mIO_BYTE
39438  :1;
39439
39440 7259 
39441         mIO_BYTE
39442  :1;
39443
39444 7260 
39445         mIO_BYTE
39446  :1;
39447
39448 7261 
39449         mIO_BYTE
39450  :1;
39451
39452 7262 
39453 IO_BYTE
39454  
39455         m_OSCDS1
39456  :1;
39457
39458 7263 }
39459         mb\99
39460 ;
39461
39462 7264 }
39463         tOSCCRSTR
39464 ;
39465
39466 7266 
39467 IO_BYTE
39468  
39469         mby\8b
39470 ;
39471
39472 7268 
39473         mIO_BYTE
39474  :1;
39475
39476 7269 
39477         mIO_BYTE
39478  :1;
39479
39480 7270 
39481 IO_BYTE
39482  
39483         m_FLASHSEL
39484  :1;
39485
39486 7271 
39487 IO_BYTE
39488  
39489         m_MAINSEL
39490  :1;
39491
39492 7272 
39493 IO_BYTE
39494  
39495         m_SUBSEL3
39496  :1;
39497
39498 7273 
39499 IO_BYTE
39500  
39501         m_SUBSEL2
39502  :1;
39503
39504 7274 
39505 IO_BYTE
39506  
39507         m_SUBSEL1
39508  :1;
39509
39510 7275 
39511 IO_BYTE
39512  
39513         m_SUBSEL0
39514  :1;
39515
39516 7276 }
39517         mb\99
39518 ;
39519
39520 7278 
39521         mIO_BYTE
39522  :4;
39523
39524 7279 
39525 IO_BYTE
39526  
39527         m_SUBSEL
39528  :4;
39529
39530 7280 }
39531         mb\99c
39532 ;
39533
39534 7281 }
39535         tREGSELSTR
39536 ;
39537
39538 7283 
39539 IO_BYTE
39540  
39541         mby\8b
39542 ;
39543
39544 7285 
39545         mIO_BYTE
39546  :1;
39547
39548 7286 
39549         mIO_BYTE
39550  :1;
39551
39552 7287 
39553         mIO_BYTE
39554  :1;
39555
39556 7288 
39557 IO_BYTE
39558  
39559         m_MSTBO
39560  :1;
39561
39562 7289 
39563         mIO_BYTE
39564  :1;
39565
39566 7290 
39567         mIO_BYTE
39568  :1;
39569
39570 7291 
39571 IO_BYTE
39572  
39573         m_MAINKPEN
39574  :1;
39575
39576 7292 
39577 IO_BYTE
39578  
39579         m_MAINDSBL
39580  :1;
39581
39582 7293 }
39583         mb\99
39584 ;
39585
39586 7294 }
39587         tREGCTRSTR
39588 ;
39589
39590 7296 
39591 IO_BYTE
39592  
39593         mby\8b
39594 ;
39595
39596 7298 
39597         mIO_BYTE
39598  :1;
39599
39600 7299 
39601         mIO_BYTE
39602  :1;
39603
39604 7300 
39605         mIO_BYTE
39606  :1;
39607
39608 7301 
39609         mIO_BYTE
39610  :1;
39611
39612 7302 
39613         mIO_BYTE
39614  :1;
39615
39616 7303 
39617 IO_BYTE
39618  
39619         m_ROMA
39620  :1;
39621
39622 7304 
39623 IO_BYTE
39624  
39625         m_WTH1
39626  :1;
39627
39628 7305 
39629 IO_BYTE
39630  
39631         m_WTH0
39632  :1;
39633
39634 7306 }
39635         mb\99
39636 ;
39637
39638 7308 
39639         mIO_BYTE
39640  :1;
39641
39642 7309 
39643         mIO_BYTE
39644  :1;
39645
39646 7310 
39647         mIO_BYTE
39648  :1;
39649
39650 7311 
39651         mIO_BYTE
39652  :1;
39653
39654 7312 
39655         mIO_BYTE
39656  :1;
39657
39658 7313 
39659         mIO_BYTE
39660  :1;
39661
39662 7314 
39663 IO_BYTE
39664  
39665         m_WTH
39666  :2;
39667
39668 7315 }
39669         mb\99c
39670 ;
39671
39672 7316 }
39673         tMODRSTR
39674 ;
39675
39676 7318 
39677 IO_BYTE
39678  
39679         mby\8b
39680 ;
39681
39682 7320 
39683 IO_BYTE
39684  
39685         m_D7
39686  :1;
39687
39688 7321 
39689 IO_BYTE
39690  
39691         m_D6
39692  :1;
39693
39694 7322 
39695 IO_BYTE
39696  
39697         m_D5
39698  :1;
39699
39700 7323 
39701 IO_BYTE
39702  
39703         m_D4
39704  :1;
39705
39706 7324 
39707 IO_BYTE
39708  
39709         m_D3
39710  :1;
39711
39712 7325 
39713 IO_BYTE
39714  
39715         m_D2
39716  :1;
39717
39718 7326 
39719 IO_BYTE
39720  
39721         m_D1
39722  :1;
39723
39724 7327 
39725 IO_BYTE
39726  
39727         m_D0
39728  :1;
39729
39730 7328 }
39731         mb\99
39732 ;
39733
39734 7329 }
39735         tPDRD14STR
39736 ;
39737
39738 7331 
39739 IO_BYTE
39740  
39741         mby\8b
39742 ;
39743
39744 7333 
39745 IO_BYTE
39746  
39747         m_D7
39748  :1;
39749
39750 7334 
39751 IO_BYTE
39752  
39753         m_D6
39754  :1;
39755
39756 7335 
39757 IO_BYTE
39758  
39759         m_D5
39760  :1;
39761
39762 7336 
39763 IO_BYTE
39764  
39765         m_D4
39766  :1;
39767
39768 7337 
39769 IO_BYTE
39770  
39771         m_D3
39772  :1;
39773
39774 7338 
39775 IO_BYTE
39776  
39777         m_D2
39778  :1;
39779
39780 7339 
39781 IO_BYTE
39782  
39783         m_D1
39784  :1;
39785
39786 7340 
39787 IO_BYTE
39788  
39789         m_D0
39790  :1;
39791
39792 7341 }
39793         mb\99
39794 ;
39795
39796 7342 }
39797         tPDRD15STR
39798 ;
39799
39800 7344 
39801 IO_BYTE
39802  
39803         mby\8b
39804 ;
39805
39806 7346 
39807 IO_BYTE
39808  
39809         m_D7
39810  :1;
39811
39812 7347 
39813 IO_BYTE
39814  
39815         m_D6
39816  :1;
39817
39818 7348 
39819 IO_BYTE
39820  
39821         m_D5
39822  :1;
39823
39824 7349 
39825 IO_BYTE
39826  
39827         m_D4
39828  :1;
39829
39830 7350 
39831 IO_BYTE
39832  
39833         m_D3
39834  :1;
39835
39836 7351 
39837 IO_BYTE
39838  
39839         m_D2
39840  :1;
39841
39842 7352 
39843 IO_BYTE
39844  
39845         m_D1
39846  :1;
39847
39848 7353 
39849 IO_BYTE
39850  
39851         m_D0
39852  :1;
39853
39854 7354 }
39855         mb\99
39856 ;
39857
39858 7355 }
39859         tPDRD16STR
39860 ;
39861
39862 7357 
39863 IO_BYTE
39864  
39865         mby\8b
39866 ;
39867
39868 7359 
39869 IO_BYTE
39870  
39871         m_D7
39872  :1;
39873
39874 7360 
39875 IO_BYTE
39876  
39877         m_D6
39878  :1;
39879
39880 7361 
39881 IO_BYTE
39882  
39883         m_D5
39884  :1;
39885
39886 7362 
39887 IO_BYTE
39888  
39889         m_D4
39890  :1;
39891
39892 7363 
39893 IO_BYTE
39894  
39895         m_D3
39896  :1;
39897
39898 7364 
39899 IO_BYTE
39900  
39901         m_D2
39902  :1;
39903
39904 7365 
39905 IO_BYTE
39906  
39907         m_D1
39908  :1;
39909
39910 7366 
39911 IO_BYTE
39912  
39913         m_D0
39914  :1;
39915
39916 7367 }
39917         mb\99
39918 ;
39919
39920 7368 }
39921         tPDRD17STR
39922 ;
39923
39924 7370 
39925 IO_BYTE
39926  
39927         mby\8b
39928 ;
39929
39930 7372 
39931         mIO_BYTE
39932  :1;
39933
39934 7373 
39935 IO_BYTE
39936  
39937         m_D6
39938  :1;
39939
39940 7374 
39941         mIO_BYTE
39942  :1;
39943
39944 7375 
39945         mIO_BYTE
39946  :1;
39947
39948 7376 
39949         mIO_BYTE
39950  :1;
39951
39952 7377 
39953 IO_BYTE
39954  
39955         m_D2
39956  :1;
39957
39958 7378 
39959         mIO_BYTE
39960  :1;
39961
39962 7379 
39963         mIO_BYTE
39964  :1;
39965
39966 7380 }
39967         mb\99
39968 ;
39969
39970 7381 }
39971         tPDRD18STR
39972 ;
39973
39974 7383 
39975 IO_BYTE
39976  
39977         mby\8b
39978 ;
39979
39980 7385 
39981         mIO_BYTE
39982  :1;
39983
39984 7386 
39985 IO_BYTE
39986  
39987         m_D6
39988  :1;
39989
39990 7387 
39991         mIO_BYTE
39992  :1;
39993
39994 7388 
39995         mIO_BYTE
39996  :1;
39997
39998 7389 
39999         mIO_BYTE
40000  :1;
40001
40002 7390 
40003 IO_BYTE
40004  
40005         m_D2
40006  :1;
40007
40008 7391 
40009 IO_BYTE
40010  
40011         m_D1
40012  :1;
40013
40014 7392 
40015 IO_BYTE
40016  
40017         m_D0
40018  :1;
40019
40020 7393 }
40021         mb\99
40022 ;
40023
40024 7394 }
40025         tPDRD19STR
40026 ;
40027
40028 7396 
40029 IO_BYTE
40030  
40031         mby\8b
40032 ;
40033
40034 7398 
40035 IO_BYTE
40036  
40037         m_D7
40038  :1;
40039
40040 7399 
40041 IO_BYTE
40042  
40043         m_D6
40044  :1;
40045
40046 7400 
40047 IO_BYTE
40048  
40049         m_D5
40050  :1;
40051
40052 7401 
40053 IO_BYTE
40054  
40055         m_D4
40056  :1;
40057
40058 7402 
40059 IO_BYTE
40060  
40061         m_D3
40062  :1;
40063
40064 7403 
40065 IO_BYTE
40066  
40067         m_D2
40068  :1;
40069
40070 7404 
40071 IO_BYTE
40072  
40073         m_D1
40074  :1;
40075
40076 7405 
40077 IO_BYTE
40078  
40079         m_D0
40080  :1;
40081
40082 7406 }
40083         mb\99
40084 ;
40085
40086 7407 }
40087         tPDRD20STR
40088 ;
40089
40090 7409 
40091 IO_BYTE
40092  
40093         mby\8b
40094 ;
40095
40096 7411 
40097 IO_BYTE
40098  
40099         m_D7
40100  :1;
40101
40102 7412 
40103 IO_BYTE
40104  
40105         m_D6
40106  :1;
40107
40108 7413 
40109 IO_BYTE
40110  
40111         m_D5
40112  :1;
40113
40114 7414 
40115 IO_BYTE
40116  
40117         m_D4
40118  :1;
40119
40120 7415 
40121 IO_BYTE
40122  
40123         m_D3
40124  :1;
40125
40126 7416 
40127 IO_BYTE
40128  
40129         m_D2
40130  :1;
40131
40132 7417 
40133 IO_BYTE
40134  
40135         m_D1
40136  :1;
40137
40138 7418 
40139 IO_BYTE
40140  
40141         m_D0
40142  :1;
40143
40144 7419 }
40145         mb\99
40146 ;
40147
40148 7420 }
40149         tPDRD21STR
40150 ;
40151
40152 7422 
40153 IO_BYTE
40154  
40155         mby\8b
40156 ;
40157
40158 7424 
40159         mIO_BYTE
40160  :1;
40161
40162 7425 
40163         mIO_BYTE
40164  :1;
40165
40166 7426 
40167 IO_BYTE
40168  
40169         m_D5
40170  :1;
40171
40172 7427 
40173 IO_BYTE
40174  
40175         m_D4
40176  :1;
40177
40178 7428 
40179         mIO_BYTE
40180  :1;
40181
40182 7429 
40183         mIO_BYTE
40184  :1;
40185
40186 7430 
40187 IO_BYTE
40188  
40189         m_D1
40190  :1;
40191
40192 7431 
40193 IO_BYTE
40194  
40195         m_D0
40196  :1;
40197
40198 7432 }
40199         mb\99
40200 ;
40201
40202 7433 }
40203         tPDRD22STR
40204 ;
40205
40206 7435 
40207 IO_BYTE
40208  
40209         mby\8b
40210 ;
40211
40212 7437 
40213 IO_BYTE
40214  
40215         m_D7
40216  :1;
40217
40218 7438 
40219 IO_BYTE
40220  
40221         m_D6
40222  :1;
40223
40224 7439 
40225 IO_BYTE
40226  
40227         m_D5
40228  :1;
40229
40230 7440 
40231 IO_BYTE
40232  
40233         m_D4
40234  :1;
40235
40236 7441 
40237 IO_BYTE
40238  
40239         m_D3
40240  :1;
40241
40242 7442 
40243 IO_BYTE
40244  
40245         m_D2
40246  :1;
40247
40248 7443 
40249 IO_BYTE
40250  
40251         m_D1
40252  :1;
40253
40254 7444 
40255 IO_BYTE
40256  
40257         m_D0
40258  :1;
40259
40260 7445 }
40261         mb\99
40262 ;
40263
40264 7446 }
40265         tPDRD24STR
40266 ;
40267
40268 7448 
40269 IO_BYTE
40270  
40271         mby\8b
40272 ;
40273
40274 7450 
40275         mIO_BYTE
40276  :1;
40277
40278 7451 
40279         mIO_BYTE
40280  :1;
40281
40282 7452 
40283         mIO_BYTE
40284  :1;
40285
40286 7453 
40287         mIO_BYTE
40288  :1;
40289
40290 7454 
40291         mIO_BYTE
40292  :1;
40293
40294 7455 
40295         mIO_BYTE
40296  :1;
40297
40298 7456 
40299 IO_BYTE
40300  
40301         m_D1
40302  :1;
40303
40304 7457 
40305 IO_BYTE
40306  
40307         m_D0
40308  :1;
40309
40310 7458 }
40311         mb\99
40312 ;
40313
40314 7459 }
40315         tPDRD26STR
40316 ;
40317
40318 7461 
40319 IO_BYTE
40320  
40321         mby\8b
40322 ;
40323
40324 7463 
40325 IO_BYTE
40326  
40327         m_D7
40328  :1;
40329
40330 7464 
40331 IO_BYTE
40332  
40333         m_D6
40334  :1;
40335
40336 7465 
40337 IO_BYTE
40338  
40339         m_D5
40340  :1;
40341
40342 7466 
40343 IO_BYTE
40344  
40345         m_D4
40346  :1;
40347
40348 7467 
40349 IO_BYTE
40350  
40351         m_D3
40352  :1;
40353
40354 7468 
40355 IO_BYTE
40356  
40357         m_D2
40358  :1;
40359
40360 7469 
40361 IO_BYTE
40362  
40363         m_D1
40364  :1;
40365
40366 7470 
40367 IO_BYTE
40368  
40369         m_D0
40370  :1;
40371
40372 7471 }
40373         mb\99
40374 ;
40375
40376 7472 }
40377         tPDRD27STR
40378 ;
40379
40380 7474 
40381 IO_BYTE
40382  
40383         mby\8b
40384 ;
40385
40386 7476 
40387 IO_BYTE
40388  
40389         m_D7
40390  :1;
40391
40392 7477 
40393 IO_BYTE
40394  
40395         m_D6
40396  :1;
40397
40398 7478 
40399 IO_BYTE
40400  
40401         m_D5
40402  :1;
40403
40404 7479 
40405 IO_BYTE
40406  
40407         m_D4
40408  :1;
40409
40410 7480 
40411 IO_BYTE
40412  
40413         m_D3
40414  :1;
40415
40416 7481 
40417 IO_BYTE
40418  
40419         m_D2
40420  :1;
40421
40422 7482 
40423 IO_BYTE
40424  
40425         m_D1
40426  :1;
40427
40428 7483 
40429 IO_BYTE
40430  
40431         m_D0
40432  :1;
40433
40434 7484 }
40435         mb\99
40436 ;
40437
40438 7485 }
40439         tPDRD28STR
40440 ;
40441
40442 7487 
40443 IO_BYTE
40444  
40445         mby\8b
40446 ;
40447
40448 7489 
40449 IO_BYTE
40450  
40451         m_D7
40452  :1;
40453
40454 7490 
40455 IO_BYTE
40456  
40457         m_D6
40458  :1;
40459
40460 7491 
40461 IO_BYTE
40462  
40463         m_D5
40464  :1;
40465
40466 7492 
40467 IO_BYTE
40468  
40469         m_D4
40470  :1;
40471
40472 7493 
40473 IO_BYTE
40474  
40475         m_D3
40476  :1;
40477
40478 7494 
40479 IO_BYTE
40480  
40481         m_D2
40482  :1;
40483
40484 7495 
40485 IO_BYTE
40486  
40487         m_D1
40488  :1;
40489
40490 7496 
40491 IO_BYTE
40492  
40493         m_D0
40494  :1;
40495
40496 7497 }
40497         mb\99
40498 ;
40499
40500 7498 }
40501         tPDRD29STR
40502 ;
40503
40504 7500 
40505 IO_BYTE
40506  
40507         mby\8b
40508 ;
40509
40510 7502 
40511 IO_BYTE
40512  
40513         m_D7
40514  :1;
40515
40516 7503 
40517 IO_BYTE
40518  
40519         m_D6
40520  :1;
40521
40522 7504 
40523 IO_BYTE
40524  
40525         m_D5
40526  :1;
40527
40528 7505 
40529 IO_BYTE
40530  
40531         m_D4
40532  :1;
40533
40534 7506 
40535 IO_BYTE
40536  
40537         m_D3
40538  :1;
40539
40540 7507 
40541 IO_BYTE
40542  
40543         m_D2
40544  :1;
40545
40546 7508 
40547 IO_BYTE
40548  
40549         m_D1
40550  :1;
40551
40552 7509 
40553 IO_BYTE
40554  
40555         m_D0
40556  :1;
40557
40558 7510 }
40559         mb\99
40560 ;
40561
40562 7511 }
40563         tDDR14STR
40564 ;
40565
40566 7513 
40567 IO_BYTE
40568  
40569         mby\8b
40570 ;
40571
40572 7515 
40573 IO_BYTE
40574  
40575         m_D7
40576  :1;
40577
40578 7516 
40579 IO_BYTE
40580  
40581         m_D6
40582  :1;
40583
40584 7517 
40585 IO_BYTE
40586  
40587         m_D5
40588  :1;
40589
40590 7518 
40591 IO_BYTE
40592  
40593         m_D4
40594  :1;
40595
40596 7519 
40597 IO_BYTE
40598  
40599         m_D3
40600  :1;
40601
40602 7520 
40603 IO_BYTE
40604  
40605         m_D2
40606  :1;
40607
40608 7521 
40609 IO_BYTE
40610  
40611         m_D1
40612  :1;
40613
40614 7522 
40615 IO_BYTE
40616  
40617         m_D0
40618  :1;
40619
40620 7523 }
40621         mb\99
40622 ;
40623
40624 7524 }
40625         tDDR15STR
40626 ;
40627
40628 7526 
40629 IO_BYTE
40630  
40631         mby\8b
40632 ;
40633
40634 7528 
40635 IO_BYTE
40636  
40637         m_D7
40638  :1;
40639
40640 7529 
40641 IO_BYTE
40642  
40643         m_D6
40644  :1;
40645
40646 7530 
40647 IO_BYTE
40648  
40649         m_D5
40650  :1;
40651
40652 7531 
40653 IO_BYTE
40654  
40655         m_D4
40656  :1;
40657
40658 7532 
40659 IO_BYTE
40660  
40661         m_D3
40662  :1;
40663
40664 7533 
40665 IO_BYTE
40666  
40667         m_D2
40668  :1;
40669
40670 7534 
40671 IO_BYTE
40672  
40673         m_D1
40674  :1;
40675
40676 7535 
40677 IO_BYTE
40678  
40679         m_D0
40680  :1;
40681
40682 7536 }
40683         mb\99
40684 ;
40685
40686 7537 }
40687         tDDR16STR
40688 ;
40689
40690 7539 
40691 IO_BYTE
40692  
40693         mby\8b
40694 ;
40695
40696 7541 
40697 IO_BYTE
40698  
40699         m_D7
40700  :1;
40701
40702 7542 
40703 IO_BYTE
40704  
40705         m_D6
40706  :1;
40707
40708 7543 
40709 IO_BYTE
40710  
40711         m_D5
40712  :1;
40713
40714 7544 
40715 IO_BYTE
40716  
40717         m_D4
40718  :1;
40719
40720 7545 
40721 IO_BYTE
40722  
40723         m_D3
40724  :1;
40725
40726 7546 
40727 IO_BYTE
40728  
40729         m_D2
40730  :1;
40731
40732 7547 
40733 IO_BYTE
40734  
40735         m_D1
40736  :1;
40737
40738 7548 
40739 IO_BYTE
40740  
40741         m_D0
40742  :1;
40743
40744 7549 }
40745         mb\99
40746 ;
40747
40748 7550 }
40749         tDDR17STR
40750 ;
40751
40752 7552 
40753 IO_BYTE
40754  
40755         mby\8b
40756 ;
40757
40758 7554 
40759         mIO_BYTE
40760  :1;
40761
40762 7555 
40763 IO_BYTE
40764  
40765         m_D6
40766  :1;
40767
40768 7556 
40769         mIO_BYTE
40770  :1;
40771
40772 7557 
40773         mIO_BYTE
40774  :1;
40775
40776 7558 
40777         mIO_BYTE
40778  :1;
40779
40780 7559 
40781 IO_BYTE
40782  
40783         m_D2
40784  :1;
40785
40786 7560 
40787         mIO_BYTE
40788  :1;
40789
40790 7561 
40791         mIO_BYTE
40792  :1;
40793
40794 7562 }
40795         mb\99
40796 ;
40797
40798 7563 }
40799         tDDR18STR
40800 ;
40801
40802 7565 
40803 IO_BYTE
40804  
40805         mby\8b
40806 ;
40807
40808 7567 
40809         mIO_BYTE
40810  :1;
40811
40812 7568 
40813 IO_BYTE
40814  
40815         m_D6
40816  :1;
40817
40818 7569 
40819         mIO_BYTE
40820  :1;
40821
40822 7570 
40823         mIO_BYTE
40824  :1;
40825
40826 7571 
40827         mIO_BYTE
40828  :1;
40829
40830 7572 
40831 IO_BYTE
40832  
40833         m_D2
40834  :1;
40835
40836 7573 
40837 IO_BYTE
40838  
40839         m_D1
40840  :1;
40841
40842 7574 
40843 IO_BYTE
40844  
40845         m_D0
40846  :1;
40847
40848 7575 }
40849         mb\99
40850 ;
40851
40852 7576 }
40853         tDDR19STR
40854 ;
40855
40856 7578 
40857 IO_BYTE
40858  
40859         mby\8b
40860 ;
40861
40862 7580 
40863 IO_BYTE
40864  
40865         m_D7
40866  :1;
40867
40868 7581 
40869 IO_BYTE
40870  
40871         m_D6
40872  :1;
40873
40874 7582 
40875 IO_BYTE
40876  
40877         m_D5
40878  :1;
40879
40880 7583 
40881 IO_BYTE
40882  
40883         m_D4
40884  :1;
40885
40886 7584 
40887 IO_BYTE
40888  
40889         m_D3
40890  :1;
40891
40892 7585 
40893 IO_BYTE
40894  
40895         m_D2
40896  :1;
40897
40898 7586 
40899 IO_BYTE
40900  
40901         m_D1
40902  :1;
40903
40904 7587 
40905 IO_BYTE
40906  
40907         m_D0
40908  :1;
40909
40910 7588 }
40911         mb\99
40912 ;
40913
40914 7589 }
40915         tDDR20STR
40916 ;
40917
40918 7591 
40919 IO_BYTE
40920  
40921         mby\8b
40922 ;
40923
40924 7593 
40925 IO_BYTE
40926  
40927         m_D7
40928  :1;
40929
40930 7594 
40931 IO_BYTE
40932  
40933         m_D6
40934  :1;
40935
40936 7595 
40937 IO_BYTE
40938  
40939         m_D5
40940  :1;
40941
40942 7596 
40943 IO_BYTE
40944  
40945         m_D4
40946  :1;
40947
40948 7597 
40949 IO_BYTE
40950  
40951         m_D3
40952  :1;
40953
40954 7598 
40955 IO_BYTE
40956  
40957         m_D2
40958  :1;
40959
40960 7599 
40961 IO_BYTE
40962  
40963         m_D1
40964  :1;
40965
40966 7600 
40967 IO_BYTE
40968  
40969         m_D0
40970  :1;
40971
40972 7601 }
40973         mb\99
40974 ;
40975
40976 7602 }
40977         tDDR21STR
40978 ;
40979
40980 7604 
40981 IO_BYTE
40982  
40983         mby\8b
40984 ;
40985
40986 7606 
40987         mIO_BYTE
40988  :1;
40989
40990 7607 
40991         mIO_BYTE
40992  :1;
40993
40994 7608 
40995 IO_BYTE
40996  
40997         m_D5
40998  :1;
40999
41000 7609 
41001 IO_BYTE
41002  
41003         m_D4
41004  :1;
41005
41006 7610 
41007         mIO_BYTE
41008  :1;
41009
41010 7611 
41011         mIO_BYTE
41012  :1;
41013
41014 7612 
41015 IO_BYTE
41016  
41017         m_D1
41018  :1;
41019
41020 7613 
41021 IO_BYTE
41022  
41023         m_D0
41024  :1;
41025
41026 7614 }
41027         mb\99
41028 ;
41029
41030 7615 }
41031         tDDR22STR
41032 ;
41033
41034 7617 
41035 IO_BYTE
41036  
41037         mby\8b
41038 ;
41039
41040 7619 
41041 IO_BYTE
41042  
41043         m_D7
41044  :1;
41045
41046 7620 
41047 IO_BYTE
41048  
41049         m_D6
41050  :1;
41051
41052 7621 
41053 IO_BYTE
41054  
41055         m_D5
41056  :1;
41057
41058 7622 
41059 IO_BYTE
41060  
41061         m_D4
41062  :1;
41063
41064 7623 
41065 IO_BYTE
41066  
41067         m_D3
41068  :1;
41069
41070 7624 
41071 IO_BYTE
41072  
41073         m_D2
41074  :1;
41075
41076 7625 
41077 IO_BYTE
41078  
41079         m_D1
41080  :1;
41081
41082 7626 
41083 IO_BYTE
41084  
41085         m_D0
41086  :1;
41087
41088 7627 }
41089         mb\99
41090 ;
41091
41092 7628 }
41093         tDDR24STR
41094 ;
41095
41096 7630 
41097 IO_BYTE
41098  
41099         mby\8b
41100 ;
41101
41102 7632 
41103         mIO_BYTE
41104  :1;
41105
41106 7633 
41107         mIO_BYTE
41108  :1;
41109
41110 7634 
41111         mIO_BYTE
41112  :1;
41113
41114 7635 
41115         mIO_BYTE
41116  :1;
41117
41118 7636 
41119         mIO_BYTE
41120  :1;
41121
41122 7637 
41123         mIO_BYTE
41124  :1;
41125
41126 7638 
41127 IO_BYTE
41128  
41129         m_D1
41130  :1;
41131
41132 7639 
41133 IO_BYTE
41134  
41135         m_D0
41136  :1;
41137
41138 7640 }
41139         mb\99
41140 ;
41141
41142 7641 }
41143         tDDR26STR
41144 ;
41145
41146 7643 
41147 IO_BYTE
41148  
41149         mby\8b
41150 ;
41151
41152 7645 
41153 IO_BYTE
41154  
41155         m_D7
41156  :1;
41157
41158 7646 
41159 IO_BYTE
41160  
41161         m_D6
41162  :1;
41163
41164 7647 
41165 IO_BYTE
41166  
41167         m_D5
41168  :1;
41169
41170 7648 
41171 IO_BYTE
41172  
41173         m_D4
41174  :1;
41175
41176 7649 
41177 IO_BYTE
41178  
41179         m_D3
41180  :1;
41181
41182 7650 
41183 IO_BYTE
41184  
41185         m_D2
41186  :1;
41187
41188 7651 
41189 IO_BYTE
41190  
41191         m_D1
41192  :1;
41193
41194 7652 
41195 IO_BYTE
41196  
41197         m_D0
41198  :1;
41199
41200 7653 }
41201         mb\99
41202 ;
41203
41204 7654 }
41205         tDDR27STR
41206 ;
41207
41208 7656 
41209 IO_BYTE
41210  
41211         mby\8b
41212 ;
41213
41214 7658 
41215 IO_BYTE
41216  
41217         m_D7
41218  :1;
41219
41220 7659 
41221 IO_BYTE
41222  
41223         m_D6
41224  :1;
41225
41226 7660 
41227 IO_BYTE
41228  
41229         m_D5
41230  :1;
41231
41232 7661 
41233 IO_BYTE
41234  
41235         m_D4
41236  :1;
41237
41238 7662 
41239 IO_BYTE
41240  
41241         m_D3
41242  :1;
41243
41244 7663 
41245 IO_BYTE
41246  
41247         m_D2
41248  :1;
41249
41250 7664 
41251 IO_BYTE
41252  
41253         m_D1
41254  :1;
41255
41256 7665 
41257 IO_BYTE
41258  
41259         m_D0
41260  :1;
41261
41262 7666 }
41263         mb\99
41264 ;
41265
41266 7667 }
41267         tDDR28STR
41268 ;
41269
41270 7669 
41271 IO_BYTE
41272  
41273         mby\8b
41274 ;
41275
41276 7671 
41277 IO_BYTE
41278  
41279         m_D7
41280  :1;
41281
41282 7672 
41283 IO_BYTE
41284  
41285         m_D6
41286  :1;
41287
41288 7673 
41289 IO_BYTE
41290  
41291         m_D5
41292  :1;
41293
41294 7674 
41295 IO_BYTE
41296  
41297         m_D4
41298  :1;
41299
41300 7675 
41301 IO_BYTE
41302  
41303         m_D3
41304  :1;
41305
41306 7676 
41307 IO_BYTE
41308  
41309         m_D2
41310  :1;
41311
41312 7677 
41313 IO_BYTE
41314  
41315         m_D1
41316  :1;
41317
41318 7678 
41319 IO_BYTE
41320  
41321         m_D0
41322  :1;
41323
41324 7679 }
41325         mb\99
41326 ;
41327
41328 7680 }
41329         tDDR29STR
41330 ;
41331
41332 7682 
41333 IO_BYTE
41334  
41335         mby\8b
41336 ;
41337
41338 7684 
41339 IO_BYTE
41340  
41341         m_D7
41342  :1;
41343
41344 7685 
41345 IO_BYTE
41346  
41347         m_D6
41348  :1;
41349
41350 7686 
41351 IO_BYTE
41352  
41353         m_D5
41354  :1;
41355
41356 7687 
41357 IO_BYTE
41358  
41359         m_D4
41360  :1;
41361
41362 7688 
41363 IO_BYTE
41364  
41365         m_D3
41366  :1;
41367
41368 7689 
41369 IO_BYTE
41370  
41371         m_D2
41372  :1;
41373
41374 7690 
41375 IO_BYTE
41376  
41377         m_D1
41378  :1;
41379
41380 7691 
41381 IO_BYTE
41382  
41383         m_D0
41384  :1;
41385
41386 7692 }
41387         mb\99
41388 ;
41389
41390 7693 }
41391         tPFR14STR
41392 ;
41393
41394 7695 
41395 IO_BYTE
41396  
41397         mby\8b
41398 ;
41399
41400 7697 
41401 IO_BYTE
41402  
41403         m_D7
41404  :1;
41405
41406 7698 
41407 IO_BYTE
41408  
41409         m_D6
41410  :1;
41411
41412 7699 
41413 IO_BYTE
41414  
41415         m_D5
41416  :1;
41417
41418 7700 
41419 IO_BYTE
41420  
41421         m_D4
41422  :1;
41423
41424 7701 
41425 IO_BYTE
41426  
41427         m_D3
41428  :1;
41429
41430 7702 
41431 IO_BYTE
41432  
41433         m_D2
41434  :1;
41435
41436 7703 
41437 IO_BYTE
41438  
41439         m_D1
41440  :1;
41441
41442 7704 
41443 IO_BYTE
41444  
41445         m_D0
41446  :1;
41447
41448 7705 }
41449         mb\99
41450 ;
41451
41452 7706 }
41453         tPFR15STR
41454 ;
41455
41456 7708 
41457 IO_BYTE
41458  
41459         mby\8b
41460 ;
41461
41462 7710 
41463 IO_BYTE
41464  
41465         m_D7
41466  :1;
41467
41468 7711 
41469 IO_BYTE
41470  
41471         m_D6
41472  :1;
41473
41474 7712 
41475 IO_BYTE
41476  
41477         m_D5
41478  :1;
41479
41480 7713 
41481 IO_BYTE
41482  
41483         m_D4
41484  :1;
41485
41486 7714 
41487 IO_BYTE
41488  
41489         m_D3
41490  :1;
41491
41492 7715 
41493 IO_BYTE
41494  
41495         m_D2
41496  :1;
41497
41498 7716 
41499 IO_BYTE
41500  
41501         m_D1
41502  :1;
41503
41504 7717 
41505 IO_BYTE
41506  
41507         m_D0
41508  :1;
41509
41510 7718 }
41511         mb\99
41512 ;
41513
41514 7719 }
41515         tPFR16STR
41516 ;
41517
41518 7721 
41519 IO_BYTE
41520  
41521         mby\8b
41522 ;
41523
41524 7723 
41525 IO_BYTE
41526  
41527         m_D7
41528  :1;
41529
41530 7724 
41531 IO_BYTE
41532  
41533         m_D6
41534  :1;
41535
41536 7725 
41537 IO_BYTE
41538  
41539         m_D5
41540  :1;
41541
41542 7726 
41543 IO_BYTE
41544  
41545         m_D4
41546  :1;
41547
41548 7727 
41549 IO_BYTE
41550  
41551         m_D3
41552  :1;
41553
41554 7728 
41555 IO_BYTE
41556  
41557         m_D2
41558  :1;
41559
41560 7729 
41561 IO_BYTE
41562  
41563         m_D1
41564  :1;
41565
41566 7730 
41567 IO_BYTE
41568  
41569         m_D0
41570  :1;
41571
41572 7731 }
41573         mb\99
41574 ;
41575
41576 7732 }
41577         tPFR17STR
41578 ;
41579
41580 7734 
41581 IO_BYTE
41582  
41583         mby\8b
41584 ;
41585
41586 7736 
41587         mIO_BYTE
41588  :1;
41589
41590 7737 
41591 IO_BYTE
41592  
41593         m_D6
41594  :1;
41595
41596 7738 
41597         mIO_BYTE
41598  :1;
41599
41600 7739 
41601         mIO_BYTE
41602  :1;
41603
41604 7740 
41605         mIO_BYTE
41606  :1;
41607
41608 7741 
41609 IO_BYTE
41610  
41611         m_D2
41612  :1;
41613
41614 7742 
41615         mIO_BYTE
41616  :1;
41617
41618 7743 
41619         mIO_BYTE
41620  :1;
41621
41622 7744 }
41623         mb\99
41624 ;
41625
41626 7745 }
41627         tPFR18STR
41628 ;
41629
41630 7747 
41631 IO_BYTE
41632  
41633         mby\8b
41634 ;
41635
41636 7749 
41637         mIO_BYTE
41638  :1;
41639
41640 7750 
41641 IO_BYTE
41642  
41643         m_D6
41644  :1;
41645
41646 7751 
41647         mIO_BYTE
41648  :1;
41649
41650 7752 
41651         mIO_BYTE
41652  :1;
41653
41654 7753 
41655         mIO_BYTE
41656  :1;
41657
41658 7754 
41659 IO_BYTE
41660  
41661         m_D2
41662  :1;
41663
41664 7755 
41665 IO_BYTE
41666  
41667         m_D1
41668  :1;
41669
41670 7756 
41671 IO_BYTE
41672  
41673         m_D0
41674  :1;
41675
41676 7757 }
41677         mb\99
41678 ;
41679
41680 7758 }
41681         tPFR19STR
41682 ;
41683
41684 7760 
41685 IO_BYTE
41686  
41687         mby\8b
41688 ;
41689
41690 7762 
41691 IO_BYTE
41692  
41693         m_D7
41694  :1;
41695
41696 7763 
41697 IO_BYTE
41698  
41699         m_D6
41700  :1;
41701
41702 7764 
41703 IO_BYTE
41704  
41705         m_D5
41706  :1;
41707
41708 7765 
41709 IO_BYTE
41710  
41711         m_D4
41712  :1;
41713
41714 7766 
41715 IO_BYTE
41716  
41717         m_D3
41718  :1;
41719
41720 7767 
41721 IO_BYTE
41722  
41723         m_D2
41724  :1;
41725
41726 7768 
41727 IO_BYTE
41728  
41729         m_D1
41730  :1;
41731
41732 7769 
41733 IO_BYTE
41734  
41735         m_D0
41736  :1;
41737
41738 7770 }
41739         mb\99
41740 ;
41741
41742 7771 }
41743         tPFR20STR
41744 ;
41745
41746 7773 
41747 IO_BYTE
41748  
41749         mby\8b
41750 ;
41751
41752 7775 
41753 IO_BYTE
41754  
41755         m_D7
41756  :1;
41757
41758 7776 
41759 IO_BYTE
41760  
41761         m_D6
41762  :1;
41763
41764 7777 
41765 IO_BYTE
41766  
41767         m_D5
41768  :1;
41769
41770 7778 
41771 IO_BYTE
41772  
41773         m_D4
41774  :1;
41775
41776 7779 
41777 IO_BYTE
41778  
41779         m_D3
41780  :1;
41781
41782 7780 
41783 IO_BYTE
41784  
41785         m_D2
41786  :1;
41787
41788 7781 
41789 IO_BYTE
41790  
41791         m_D1
41792  :1;
41793
41794 7782 
41795 IO_BYTE
41796  
41797         m_D0
41798  :1;
41799
41800 7783 }
41801         mb\99
41802 ;
41803
41804 7784 }
41805         tPFR21STR
41806 ;
41807
41808 7786 
41809 IO_BYTE
41810  
41811         mby\8b
41812 ;
41813
41814 7788 
41815         mIO_BYTE
41816  :1;
41817
41818 7789 
41819         mIO_BYTE
41820  :1;
41821
41822 7790 
41823 IO_BYTE
41824  
41825         m_D5
41826  :1;
41827
41828 7791 
41829 IO_BYTE
41830  
41831         m_D4
41832  :1;
41833
41834 7792 
41835         mIO_BYTE
41836  :1;
41837
41838 7793 
41839         mIO_BYTE
41840  :1;
41841
41842 7794 
41843 IO_BYTE
41844  
41845         m_D1
41846  :1;
41847
41848 7795 
41849 IO_BYTE
41850  
41851         m_D0
41852  :1;
41853
41854 7796 }
41855         mb\99
41856 ;
41857
41858 7797 }
41859         tPFR22STR
41860 ;
41861
41862 7799 
41863 IO_BYTE
41864  
41865         mby\8b
41866 ;
41867
41868 7801 
41869 IO_BYTE
41870  
41871         m_D7
41872  :1;
41873
41874 7802 
41875 IO_BYTE
41876  
41877         m_D6
41878  :1;
41879
41880 7803 
41881 IO_BYTE
41882  
41883         m_D5
41884  :1;
41885
41886 7804 
41887 IO_BYTE
41888  
41889         m_D4
41890  :1;
41891
41892 7805 
41893 IO_BYTE
41894  
41895         m_D3
41896  :1;
41897
41898 7806 
41899 IO_BYTE
41900  
41901         m_D2
41902  :1;
41903
41904 7807 
41905 IO_BYTE
41906  
41907         m_D1
41908  :1;
41909
41910 7808 
41911 IO_BYTE
41912  
41913         m_D0
41914  :1;
41915
41916 7809 }
41917         mb\99
41918 ;
41919
41920 7810 }
41921         tPFR24STR
41922 ;
41923
41924 7812 
41925 IO_BYTE
41926  
41927         mby\8b
41928 ;
41929
41930 7814 
41931         mIO_BYTE
41932  :1;
41933
41934 7815 
41935         mIO_BYTE
41936  :1;
41937
41938 7816 
41939         mIO_BYTE
41940  :1;
41941
41942 7817 
41943         mIO_BYTE
41944  :1;
41945
41946 7818 
41947         mIO_BYTE
41948  :1;
41949
41950 7819 
41951         mIO_BYTE
41952  :1;
41953
41954 7820 
41955 IO_BYTE
41956  
41957         m_D1
41958  :1;
41959
41960 7821 
41961 IO_BYTE
41962  
41963         m_D0
41964  :1;
41965
41966 7822 }
41967         mb\99
41968 ;
41969
41970 7823 }
41971         tPFR26STR
41972 ;
41973
41974 7825 
41975 IO_BYTE
41976  
41977         mby\8b
41978 ;
41979
41980 7827 
41981 IO_BYTE
41982  
41983         m_D7
41984  :1;
41985
41986 7828 
41987 IO_BYTE
41988  
41989         m_D6
41990  :1;
41991
41992 7829 
41993 IO_BYTE
41994  
41995         m_D5
41996  :1;
41997
41998 7830 
41999 IO_BYTE
42000  
42001         m_D4
42002  :1;
42003
42004 7831 
42005 IO_BYTE
42006  
42007         m_D3
42008  :1;
42009
42010 7832 
42011 IO_BYTE
42012  
42013         m_D2
42014  :1;
42015
42016 7833 
42017 IO_BYTE
42018  
42019         m_D1
42020  :1;
42021
42022 7834 
42023 IO_BYTE
42024  
42025         m_D0
42026  :1;
42027
42028 7835 }
42029         mb\99
42030 ;
42031
42032 7836 }
42033         tPFR27STR
42034 ;
42035
42036 7838 
42037 IO_BYTE
42038  
42039         mby\8b
42040 ;
42041
42042 7840 
42043 IO_BYTE
42044  
42045         m_D7
42046  :1;
42047
42048 7841 
42049 IO_BYTE
42050  
42051         m_D6
42052  :1;
42053
42054 7842 
42055 IO_BYTE
42056  
42057         m_D5
42058  :1;
42059
42060 7843 
42061 IO_BYTE
42062  
42063         m_D4
42064  :1;
42065
42066 7844 
42067 IO_BYTE
42068  
42069         m_D3
42070  :1;
42071
42072 7845 
42073 IO_BYTE
42074  
42075         m_D2
42076  :1;
42077
42078 7846 
42079 IO_BYTE
42080  
42081         m_D1
42082  :1;
42083
42084 7847 
42085 IO_BYTE
42086  
42087         m_D0
42088  :1;
42089
42090 7848 }
42091         mb\99
42092 ;
42093
42094 7849 }
42095         tPFR28STR
42096 ;
42097
42098 7851 
42099 IO_BYTE
42100  
42101         mby\8b
42102 ;
42103
42104 7853 
42105 IO_BYTE
42106  
42107         m_D7
42108  :1;
42109
42110 7854 
42111 IO_BYTE
42112  
42113         m_D6
42114  :1;
42115
42116 7855 
42117 IO_BYTE
42118  
42119         m_D5
42120  :1;
42121
42122 7856 
42123 IO_BYTE
42124  
42125         m_D4
42126  :1;
42127
42128 7857 
42129 IO_BYTE
42130  
42131         m_D3
42132  :1;
42133
42134 7858 
42135 IO_BYTE
42136  
42137         m_D2
42138  :1;
42139
42140 7859 
42141 IO_BYTE
42142  
42143         m_D1
42144  :1;
42145
42146 7860 
42147 IO_BYTE
42148  
42149         m_D0
42150  :1;
42151
42152 7861 }
42153         mb\99
42154 ;
42155
42156 7862 }
42157         tPFR29STR
42158 ;
42159
42160 7864 
42161 IO_BYTE
42162  
42163         mby\8b
42164 ;
42165
42166 7866 
42167 IO_BYTE
42168  
42169         m_D7
42170  :1;
42171
42172 7867 
42173 IO_BYTE
42174  
42175         m_D6
42176  :1;
42177
42178 7868 
42179 IO_BYTE
42180  
42181         m_D5
42182  :1;
42183
42184 7869 
42185 IO_BYTE
42186  
42187         m_D4
42188  :1;
42189
42190 7870 
42191 IO_BYTE
42192  
42193         m_D3
42194  :1;
42195
42196 7871 
42197 IO_BYTE
42198  
42199         m_D2
42200  :1;
42201
42202 7872 
42203 IO_BYTE
42204  
42205         m_D1
42206  :1;
42207
42208 7873 
42209 IO_BYTE
42210  
42211         m_D0
42212  :1;
42213
42214 7874 }
42215         mb\99
42216 ;
42217
42218 7875 }
42219         tEPFR14STR
42220 ;
42221
42222 7877 
42223 IO_BYTE
42224  
42225         mby\8b
42226 ;
42227
42228 7879 
42229 IO_BYTE
42230  
42231         m_D7
42232  :1;
42233
42234 7880 
42235 IO_BYTE
42236  
42237         m_D6
42238  :1;
42239
42240 7881 
42241 IO_BYTE
42242  
42243         m_D5
42244  :1;
42245
42246 7882 
42247 IO_BYTE
42248  
42249         m_D4
42250  :1;
42251
42252 7883 
42253 IO_BYTE
42254  
42255         m_D3
42256  :1;
42257
42258 7884 
42259 IO_BYTE
42260  
42261         m_D2
42262  :1;
42263
42264 7885 
42265 IO_BYTE
42266  
42267         m_D1
42268  :1;
42269
42270 7886 
42271 IO_BYTE
42272  
42273         m_D0
42274  :1;
42275
42276 7887 }
42277         mb\99
42278 ;
42279
42280 7888 }
42281         tEPFR15STR
42282 ;
42283
42284 7890 
42285 IO_BYTE
42286  
42287         mby\8b
42288 ;
42289
42290 7892 
42291 IO_BYTE
42292  
42293         m_D7
42294  :1;
42295
42296 7893 
42297         mIO_BYTE
42298  :1;
42299
42300 7894 
42301         mIO_BYTE
42302  :1;
42303
42304 7895 
42305         mIO_BYTE
42306  :1;
42307
42308 7896 
42309         mIO_BYTE
42310  :1;
42311
42312 7897 
42313         mIO_BYTE
42314  :1;
42315
42316 7898 
42317         mIO_BYTE
42318  :1;
42319
42320 7899 
42321         mIO_BYTE
42322  :1;
42323
42324 7900 }
42325         mb\99
42326 ;
42327
42328 7901 }
42329         tEPFR16STR
42330 ;
42331
42332 7903 
42333 IO_BYTE
42334  
42335         mby\8b
42336 ;
42337
42338 7905 
42339         mIO_BYTE
42340  :1;
42341
42342 7906 
42343 IO_BYTE
42344  
42345         m_D6
42346  :1;
42347
42348 7907 
42349         mIO_BYTE
42350  :1;
42351
42352 7908 
42353         mIO_BYTE
42354  :1;
42355
42356 7909 
42357         mIO_BYTE
42358  :1;
42359
42360 7910 
42361 IO_BYTE
42362  
42363         m_D2
42364  :1;
42365
42366 7911 
42367         mIO_BYTE
42368  :1;
42369
42370 7912 
42371         mIO_BYTE
42372  :1;
42373
42374 7913 }
42375         mb\99
42376 ;
42377
42378 7914 }
42379         tEPFR18STR
42380 ;
42381
42382 7916 
42383 IO_BYTE
42384  
42385         mby\8b
42386 ;
42387
42388 7918 
42389         mIO_BYTE
42390  :1;
42391
42392 7919 
42393 IO_BYTE
42394  
42395         m_D6
42396  :1;
42397
42398 7920 
42399         mIO_BYTE
42400  :1;
42401
42402 7921 
42403         mIO_BYTE
42404  :1;
42405
42406 7922 
42407         mIO_BYTE
42408  :1;
42409
42410 7923 
42411 IO_BYTE
42412  
42413         m_D2
42414  :1;
42415
42416 7924 
42417         mIO_BYTE
42418  :1;
42419
42420 7925 
42421         mIO_BYTE
42422  :1;
42423
42424 7926 }
42425         mb\99
42426 ;
42427
42428 7927 }
42429         tEPFR19STR
42430 ;
42431
42432 7929 
42433 IO_BYTE
42434  
42435         mby\8b
42436 ;
42437
42438 7931 
42439         mIO_BYTE
42440  :1;
42441
42442 7932 
42443 IO_BYTE
42444  
42445         m_D6
42446  :1;
42447
42448 7933 
42449         mIO_BYTE
42450  :1;
42451
42452 7934 
42453         mIO_BYTE
42454  :1;
42455
42456 7935 
42457         mIO_BYTE
42458  :1;
42459
42460 7936 
42461 IO_BYTE
42462  
42463         m_D2
42464  :1;
42465
42466 7937 
42467         mIO_BYTE
42468  :1;
42469
42470 7938 
42471         mIO_BYTE
42472  :1;
42473
42474 7939 }
42475         mb\99
42476 ;
42477
42478 7940 }
42479         tEPFR20STR
42480 ;
42481
42482 7942 
42483 IO_BYTE
42484  
42485         mby\8b
42486 ;
42487
42488 7944 
42489         mIO_BYTE
42490  :1;
42491
42492 7945 
42493 IO_BYTE
42494  
42495         m_D6
42496  :1;
42497
42498 7946 
42499         mIO_BYTE
42500  :1;
42501
42502 7947 
42503         mIO_BYTE
42504  :1;
42505
42506 7948 
42507         mIO_BYTE
42508  :1;
42509
42510 7949 
42511 IO_BYTE
42512  
42513         m_D2
42514  :1;
42515
42516 7950 
42517         mIO_BYTE
42518  :1;
42519
42520 7951 
42521         mIO_BYTE
42522  :1;
42523
42524 7952 }
42525         mb\99
42526 ;
42527
42528 7953 }
42529         tEPFR21STR
42530 ;
42531
42532 7955 
42533 IO_BYTE
42534  
42535         mby\8b
42536 ;
42537
42538 7957 
42539         mIO_BYTE
42540  :1;
42541
42542 7958 
42543         mIO_BYTE
42544  :1;
42545
42546 7959 
42547         mIO_BYTE
42548  :1;
42549
42550 7960 
42551         mIO_BYTE
42552  :1;
42553
42554 7961 
42555         mIO_BYTE
42556  :1;
42557
42558 7962 
42559         mIO_BYTE
42560  :1;
42561
42562 7963 
42563 IO_BYTE
42564  
42565         m_D1
42566  :1;
42567
42568 7964 
42569 IO_BYTE
42570  
42571         m_D0
42572  :1;
42573
42574 7965 }
42575         mb\99
42576 ;
42577
42578 7966 }
42579         tEPFR26STR
42580 ;
42581
42582 7968 
42583 IO_BYTE
42584  
42585         mby\8b
42586 ;
42587
42588 7970 
42589 IO_BYTE
42590  
42591         m_D7
42592  :1;
42593
42594 7971 
42595 IO_BYTE
42596  
42597         m_D6
42598  :1;
42599
42600 7972 
42601 IO_BYTE
42602  
42603         m_D5
42604  :1;
42605
42606 7973 
42607 IO_BYTE
42608  
42609         m_D4
42610  :1;
42611
42612 7974 
42613 IO_BYTE
42614  
42615         m_D3
42616  :1;
42617
42618 7975 
42619 IO_BYTE
42620  
42621         m_D2
42622  :1;
42623
42624 7976 
42625 IO_BYTE
42626  
42627         m_D1
42628  :1;
42629
42630 7977 
42631 IO_BYTE
42632  
42633         m_D0
42634  :1;
42635
42636 7978 }
42637         mb\99
42638 ;
42639
42640 7979 }
42641         tEPFR27STR
42642 ;
42643
42644 7981 
42645 IO_BYTE
42646  
42647         mby\8b
42648 ;
42649
42650 7983 
42651 IO_BYTE
42652  
42653         m_D7
42654  :1;
42655
42656 7984 
42657 IO_BYTE
42658  
42659         m_D6
42660  :1;
42661
42662 7985 
42663 IO_BYTE
42664  
42665         m_D5
42666  :1;
42667
42668 7986 
42669 IO_BYTE
42670  
42671         m_D4
42672  :1;
42673
42674 7987 
42675 IO_BYTE
42676  
42677         m_D3
42678  :1;
42679
42680 7988 
42681 IO_BYTE
42682  
42683         m_D2
42684  :1;
42685
42686 7989 
42687 IO_BYTE
42688  
42689         m_D1
42690  :1;
42691
42692 7990 
42693 IO_BYTE
42694  
42695         m_D0
42696  :1;
42697
42698 7991 }
42699         mb\99
42700 ;
42701
42702 7992 }
42703         tPODR14STR
42704 ;
42705
42706 7994 
42707 IO_BYTE
42708  
42709         mby\8b
42710 ;
42711
42712 7996 
42713 IO_BYTE
42714  
42715         m_D7
42716  :1;
42717
42718 7997 
42719 IO_BYTE
42720  
42721         m_D6
42722  :1;
42723
42724 7998 
42725 IO_BYTE
42726  
42727         m_D5
42728  :1;
42729
42730 7999 
42731 IO_BYTE
42732  
42733         m_D4
42734  :1;
42735
42736 8000 
42737 IO_BYTE
42738  
42739         m_D3
42740  :1;
42741
42742 8001 
42743 IO_BYTE
42744  
42745         m_D2
42746  :1;
42747
42748 8002 
42749 IO_BYTE
42750  
42751         m_D1
42752  :1;
42753
42754 8003 
42755 IO_BYTE
42756  
42757         m_D0
42758  :1;
42759
42760 8004 }
42761         mb\99
42762 ;
42763
42764 8005 }
42765         tPODR15STR
42766 ;
42767
42768 8007 
42769 IO_BYTE
42770  
42771         mby\8b
42772 ;
42773
42774 8009 
42775 IO_BYTE
42776  
42777         m_D7
42778  :1;
42779
42780 8010 
42781 IO_BYTE
42782  
42783         m_D6
42784  :1;
42785
42786 8011 
42787 IO_BYTE
42788  
42789         m_D5
42790  :1;
42791
42792 8012 
42793 IO_BYTE
42794  
42795         m_D4
42796  :1;
42797
42798 8013 
42799 IO_BYTE
42800  
42801         m_D3
42802  :1;
42803
42804 8014 
42805 IO_BYTE
42806  
42807         m_D2
42808  :1;
42809
42810 8015 
42811 IO_BYTE
42812  
42813         m_D1
42814  :1;
42815
42816 8016 
42817 IO_BYTE
42818  
42819         m_D0
42820  :1;
42821
42822 8017 }
42823         mb\99
42824 ;
42825
42826 8018 }
42827         tPODR16STR
42828 ;
42829
42830 8020 
42831 IO_BYTE
42832  
42833         mby\8b
42834 ;
42835
42836 8022 
42837 IO_BYTE
42838  
42839         m_D7
42840  :1;
42841
42842 8023 
42843 IO_BYTE
42844  
42845         m_D6
42846  :1;
42847
42848 8024 
42849 IO_BYTE
42850  
42851         m_D5
42852  :1;
42853
42854 8025 
42855 IO_BYTE
42856  
42857         m_D4
42858  :1;
42859
42860 8026 
42861 IO_BYTE
42862  
42863         m_D3
42864  :1;
42865
42866 8027 
42867 IO_BYTE
42868  
42869         m_D2
42870  :1;
42871
42872 8028 
42873 IO_BYTE
42874  
42875         m_D1
42876  :1;
42877
42878 8029 
42879 IO_BYTE
42880  
42881         m_D0
42882  :1;
42883
42884 8030 }
42885         mb\99
42886 ;
42887
42888 8031 }
42889         tPODR17STR
42890 ;
42891
42892 8033 
42893 IO_BYTE
42894  
42895         mby\8b
42896 ;
42897
42898 8035 
42899         mIO_BYTE
42900  :1;
42901
42902 8036 
42903 IO_BYTE
42904  
42905         m_D6
42906  :1;
42907
42908 8037 
42909         mIO_BYTE
42910  :1;
42911
42912 8038 
42913         mIO_BYTE
42914  :1;
42915
42916 8039 
42917         mIO_BYTE
42918  :1;
42919
42920 8040 
42921 IO_BYTE
42922  
42923         m_D2
42924  :1;
42925
42926 8041 
42927         mIO_BYTE
42928  :1;
42929
42930 8042 
42931         mIO_BYTE
42932  :1;
42933
42934 8043 }
42935         mb\99
42936 ;
42937
42938 8044 }
42939         tPODR18STR
42940 ;
42941
42942 8046 
42943 IO_BYTE
42944  
42945         mby\8b
42946 ;
42947
42948 8048 
42949         mIO_BYTE
42950  :1;
42951
42952 8049 
42953 IO_BYTE
42954  
42955         m_D6
42956  :1;
42957
42958 8050 
42959         mIO_BYTE
42960  :1;
42961
42962 8051 
42963         mIO_BYTE
42964  :1;
42965
42966 8052 
42967         mIO_BYTE
42968  :1;
42969
42970 8053 
42971 IO_BYTE
42972  
42973         m_D2
42974  :1;
42975
42976 8054 
42977 IO_BYTE
42978  
42979         m_D1
42980  :1;
42981
42982 8055 
42983 IO_BYTE
42984  
42985         m_D0
42986  :1;
42987
42988 8056 }
42989         mb\99
42990 ;
42991
42992 8057 }
42993         tPODR19STR
42994 ;
42995
42996 8059 
42997 IO_BYTE
42998  
42999         mby\8b
43000 ;
43001
43002 8061 
43003 IO_BYTE
43004  
43005         m_D7
43006  :1;
43007
43008 8062 
43009 IO_BYTE
43010  
43011         m_D6
43012  :1;
43013
43014 8063 
43015 IO_BYTE
43016  
43017         m_D5
43018  :1;
43019
43020 8064 
43021 IO_BYTE
43022  
43023         m_D4
43024  :1;
43025
43026 8065 
43027 IO_BYTE
43028  
43029         m_D3
43030  :1;
43031
43032 8066 
43033 IO_BYTE
43034  
43035         m_D2
43036  :1;
43037
43038 8067 
43039 IO_BYTE
43040  
43041         m_D1
43042  :1;
43043
43044 8068 
43045 IO_BYTE
43046  
43047         m_D0
43048  :1;
43049
43050 8069 }
43051         mb\99
43052 ;
43053
43054 8070 }
43055         tPODR20STR
43056 ;
43057
43058 8072 
43059 IO_BYTE
43060  
43061         mby\8b
43062 ;
43063
43064 8074 
43065 IO_BYTE
43066  
43067         m_D7
43068  :1;
43069
43070 8075 
43071 IO_BYTE
43072  
43073         m_D6
43074  :1;
43075
43076 8076 
43077 IO_BYTE
43078  
43079         m_D5
43080  :1;
43081
43082 8077 
43083 IO_BYTE
43084  
43085         m_D4
43086  :1;
43087
43088 8078 
43089 IO_BYTE
43090  
43091         m_D3
43092  :1;
43093
43094 8079 
43095 IO_BYTE
43096  
43097         m_D2
43098  :1;
43099
43100 8080 
43101 IO_BYTE
43102  
43103         m_D1
43104  :1;
43105
43106 8081 
43107 IO_BYTE
43108  
43109         m_D0
43110  :1;
43111
43112 8082 }
43113         mb\99
43114 ;
43115
43116 8083 }
43117         tPODR21STR
43118 ;
43119
43120 8085 
43121 IO_BYTE
43122  
43123         mby\8b
43124 ;
43125
43126 8087 
43127         mIO_BYTE
43128  :1;
43129
43130 8088 
43131         mIO_BYTE
43132  :1;
43133
43134 8089 
43135 IO_BYTE
43136  
43137         m_D5
43138  :1;
43139
43140 8090 
43141 IO_BYTE
43142  
43143         m_D4
43144  :1;
43145
43146 8091 
43147         mIO_BYTE
43148  :1;
43149
43150 8092 
43151         mIO_BYTE
43152  :1;
43153
43154 8093 
43155 IO_BYTE
43156  
43157         m_D1
43158  :1;
43159
43160 8094 
43161 IO_BYTE
43162  
43163         m_D0
43164  :1;
43165
43166 8095 }
43167         mb\99
43168 ;
43169
43170 8096 }
43171         tPODR22STR
43172 ;
43173
43174 8098 
43175 IO_BYTE
43176  
43177         mby\8b
43178 ;
43179
43180 8100 
43181 IO_BYTE
43182  
43183         m_D7
43184  :1;
43185
43186 8101 
43187 IO_BYTE
43188  
43189         m_D6
43190  :1;
43191
43192 8102 
43193 IO_BYTE
43194  
43195         m_D5
43196  :1;
43197
43198 8103 
43199 IO_BYTE
43200  
43201         m_D4
43202  :1;
43203
43204 8104 
43205 IO_BYTE
43206  
43207         m_D3
43208  :1;
43209
43210 8105 
43211 IO_BYTE
43212  
43213         m_D2
43214  :1;
43215
43216 8106 
43217 IO_BYTE
43218  
43219         m_D1
43220  :1;
43221
43222 8107 
43223 IO_BYTE
43224  
43225         m_D0
43226  :1;
43227
43228 8108 }
43229         mb\99
43230 ;
43231
43232 8109 }
43233         tPODR24STR
43234 ;
43235
43236 8111 
43237 IO_BYTE
43238  
43239         mby\8b
43240 ;
43241
43242 8113 
43243         mIO_BYTE
43244  :1;
43245
43246 8114 
43247         mIO_BYTE
43248  :1;
43249
43250 8115 
43251         mIO_BYTE
43252  :1;
43253
43254 8116 
43255         mIO_BYTE
43256  :1;
43257
43258 8117 
43259         mIO_BYTE
43260  :1;
43261
43262 8118 
43263         mIO_BYTE
43264  :1;
43265
43266 8119 
43267 IO_BYTE
43268  
43269         m_D1
43270  :1;
43271
43272 8120 
43273 IO_BYTE
43274  
43275         m_D0
43276  :1;
43277
43278 8121 }
43279         mb\99
43280 ;
43281
43282 8122 }
43283         tPODR26STR
43284 ;
43285
43286 8124 
43287 IO_BYTE
43288  
43289         mby\8b
43290 ;
43291
43292 8126 
43293 IO_BYTE
43294  
43295         m_D7
43296  :1;
43297
43298 8127 
43299 IO_BYTE
43300  
43301         m_D6
43302  :1;
43303
43304 8128 
43305 IO_BYTE
43306  
43307         m_D5
43308  :1;
43309
43310 8129 
43311 IO_BYTE
43312  
43313         m_D4
43314  :1;
43315
43316 8130 
43317 IO_BYTE
43318  
43319         m_D3
43320  :1;
43321
43322 8131 
43323 IO_BYTE
43324  
43325         m_D2
43326  :1;
43327
43328 8132 
43329 IO_BYTE
43330  
43331         m_D1
43332  :1;
43333
43334 8133 
43335 IO_BYTE
43336  
43337         m_D0
43338  :1;
43339
43340 8134 }
43341         mb\99
43342 ;
43343
43344 8135 }
43345         tPODR27STR
43346 ;
43347
43348 8137 
43349 IO_BYTE
43350  
43351         mby\8b
43352 ;
43353
43354 8139 
43355 IO_BYTE
43356  
43357         m_D7
43358  :1;
43359
43360 8140 
43361 IO_BYTE
43362  
43363         m_D6
43364  :1;
43365
43366 8141 
43367 IO_BYTE
43368  
43369         m_D5
43370  :1;
43371
43372 8142 
43373 IO_BYTE
43374  
43375         m_D4
43376  :1;
43377
43378 8143 
43379 IO_BYTE
43380  
43381         m_D3
43382  :1;
43383
43384 8144 
43385 IO_BYTE
43386  
43387         m_D2
43388  :1;
43389
43390 8145 
43391 IO_BYTE
43392  
43393         m_D1
43394  :1;
43395
43396 8146 
43397 IO_BYTE
43398  
43399         m_D0
43400  :1;
43401
43402 8147 }
43403         mb\99
43404 ;
43405
43406 8148 }
43407         tPODR28STR
43408 ;
43409
43410 8150 
43411 IO_BYTE
43412  
43413         mby\8b
43414 ;
43415
43416 8152 
43417 IO_BYTE
43418  
43419         m_D7
43420  :1;
43421
43422 8153 
43423 IO_BYTE
43424  
43425         m_D6
43426  :1;
43427
43428 8154 
43429 IO_BYTE
43430  
43431         m_D5
43432  :1;
43433
43434 8155 
43435 IO_BYTE
43436  
43437         m_D4
43438  :1;
43439
43440 8156 
43441 IO_BYTE
43442  
43443         m_D3
43444  :1;
43445
43446 8157 
43447 IO_BYTE
43448  
43449         m_D2
43450  :1;
43451
43452 8158 
43453 IO_BYTE
43454  
43455         m_D1
43456  :1;
43457
43458 8159 
43459 IO_BYTE
43460  
43461         m_D0
43462  :1;
43463
43464 8160 }
43465         mb\99
43466 ;
43467
43468 8161 }
43469         tPODR29STR
43470 ;
43471
43472 8163 
43473 IO_BYTE
43474  
43475         mby\8b
43476 ;
43477
43478 8165 
43479 IO_BYTE
43480  
43481         m_D7
43482  :1;
43483
43484 8166 
43485 IO_BYTE
43486  
43487         m_D6
43488  :1;
43489
43490 8167 
43491 IO_BYTE
43492  
43493         m_D5
43494  :1;
43495
43496 8168 
43497 IO_BYTE
43498  
43499         m_D4
43500  :1;
43501
43502 8169 
43503 IO_BYTE
43504  
43505         m_D3
43506  :1;
43507
43508 8170 
43509 IO_BYTE
43510  
43511         m_D2
43512  :1;
43513
43514 8171 
43515 IO_BYTE
43516  
43517         m_D1
43518  :1;
43519
43520 8172 
43521 IO_BYTE
43522  
43523         m_D0
43524  :1;
43525
43526 8173 }
43527         mb\99
43528 ;
43529
43530 8174 }
43531         tPILR14STR
43532 ;
43533
43534 8176 
43535 IO_BYTE
43536  
43537         mby\8b
43538 ;
43539
43540 8178 
43541 IO_BYTE
43542  
43543         m_D7
43544  :1;
43545
43546 8179 
43547 IO_BYTE
43548  
43549         m_D6
43550  :1;
43551
43552 8180 
43553 IO_BYTE
43554  
43555         m_D5
43556  :1;
43557
43558 8181 
43559 IO_BYTE
43560  
43561         m_D4
43562  :1;
43563
43564 8182 
43565 IO_BYTE
43566  
43567         m_D3
43568  :1;
43569
43570 8183 
43571 IO_BYTE
43572  
43573         m_D2
43574  :1;
43575
43576 8184 
43577 IO_BYTE
43578  
43579         m_D1
43580  :1;
43581
43582 8185 
43583 IO_BYTE
43584  
43585         m_D0
43586  :1;
43587
43588 8186 }
43589         mb\99
43590 ;
43591
43592 8187 }
43593         tPILR15STR
43594 ;
43595
43596 8189 
43597 IO_BYTE
43598  
43599         mby\8b
43600 ;
43601
43602 8191 
43603 IO_BYTE
43604  
43605         m_D7
43606  :1;
43607
43608 8192 
43609 IO_BYTE
43610  
43611         m_D6
43612  :1;
43613
43614 8193 
43615 IO_BYTE
43616  
43617         m_D5
43618  :1;
43619
43620 8194 
43621 IO_BYTE
43622  
43623         m_D4
43624  :1;
43625
43626 8195 
43627 IO_BYTE
43628  
43629         m_D3
43630  :1;
43631
43632 8196 
43633 IO_BYTE
43634  
43635         m_D2
43636  :1;
43637
43638 8197 
43639 IO_BYTE
43640  
43641         m_D1
43642  :1;
43643
43644 8198 
43645 IO_BYTE
43646  
43647         m_D0
43648  :1;
43649
43650 8199 }
43651         mb\99
43652 ;
43653
43654 8200 }
43655         tPILR16STR
43656 ;
43657
43658 8202 
43659 IO_BYTE
43660  
43661         mby\8b
43662 ;
43663
43664 8204 
43665 IO_BYTE
43666  
43667         m_D7
43668  :1;
43669
43670 8205 
43671 IO_BYTE
43672  
43673         m_D6
43674  :1;
43675
43676 8206 
43677 IO_BYTE
43678  
43679         m_D5
43680  :1;
43681
43682 8207 
43683 IO_BYTE
43684  
43685         m_D4
43686  :1;
43687
43688 8208 
43689 IO_BYTE
43690  
43691         m_D3
43692  :1;
43693
43694 8209 
43695 IO_BYTE
43696  
43697         m_D2
43698  :1;
43699
43700 8210 
43701 IO_BYTE
43702  
43703         m_D1
43704  :1;
43705
43706 8211 
43707 IO_BYTE
43708  
43709         m_D0
43710  :1;
43711
43712 8212 }
43713         mb\99
43714 ;
43715
43716 8213 }
43717         tPILR17STR
43718 ;
43719
43720 8215 
43721 IO_BYTE
43722  
43723         mby\8b
43724 ;
43725
43726 8217 
43727         mIO_BYTE
43728  :1;
43729
43730 8218 
43731 IO_BYTE
43732  
43733         m_D6
43734  :1;
43735
43736 8219 
43737         mIO_BYTE
43738  :1;
43739
43740 8220 
43741         mIO_BYTE
43742  :1;
43743
43744 8221 
43745         mIO_BYTE
43746  :1;
43747
43748 8222 
43749 IO_BYTE
43750  
43751         m_D2
43752  :1;
43753
43754 8223 
43755         mIO_BYTE
43756  :1;
43757
43758 8224 
43759         mIO_BYTE
43760  :1;
43761
43762 8225 }
43763         mb\99
43764 ;
43765
43766 8226 }
43767         tPILR18STR
43768 ;
43769
43770 8228 
43771 IO_BYTE
43772  
43773         mby\8b
43774 ;
43775
43776 8230 
43777         mIO_BYTE
43778  :1;
43779
43780 8231 
43781 IO_BYTE
43782  
43783         m_D6
43784  :1;
43785
43786 8232 
43787         mIO_BYTE
43788  :1;
43789
43790 8233 
43791         mIO_BYTE
43792  :1;
43793
43794 8234 
43795         mIO_BYTE
43796  :1;
43797
43798 8235 
43799 IO_BYTE
43800  
43801         m_D2
43802  :1;
43803
43804 8236 
43805 IO_BYTE
43806  
43807         m_D1
43808  :1;
43809
43810 8237 
43811 IO_BYTE
43812  
43813         m_D0
43814  :1;
43815
43816 8238 }
43817         mb\99
43818 ;
43819
43820 8239 }
43821         tPILR19STR
43822 ;
43823
43824 8241 
43825 IO_BYTE
43826  
43827         mby\8b
43828 ;
43829
43830 8243 
43831 IO_BYTE
43832  
43833         m_D7
43834  :1;
43835
43836 8244 
43837 IO_BYTE
43838  
43839         m_D6
43840  :1;
43841
43842 8245 
43843 IO_BYTE
43844  
43845         m_D5
43846  :1;
43847
43848 8246 
43849 IO_BYTE
43850  
43851         m_D4
43852  :1;
43853
43854 8247 
43855 IO_BYTE
43856  
43857         m_D3
43858  :1;
43859
43860 8248 
43861 IO_BYTE
43862  
43863         m_D2
43864  :1;
43865
43866 8249 
43867 IO_BYTE
43868  
43869         m_D1
43870  :1;
43871
43872 8250 
43873 IO_BYTE
43874  
43875         m_D0
43876  :1;
43877
43878 8251 }
43879         mb\99
43880 ;
43881
43882 8252 }
43883         tPILR20STR
43884 ;
43885
43886 8254 
43887 IO_BYTE
43888  
43889         mby\8b
43890 ;
43891
43892 8256 
43893 IO_BYTE
43894  
43895         m_D7
43896  :1;
43897
43898 8257 
43899 IO_BYTE
43900  
43901         m_D6
43902  :1;
43903
43904 8258 
43905 IO_BYTE
43906  
43907         m_D5
43908  :1;
43909
43910 8259 
43911 IO_BYTE
43912  
43913         m_D4
43914  :1;
43915
43916 8260 
43917 IO_BYTE
43918  
43919         m_D3
43920  :1;
43921
43922 8261 
43923 IO_BYTE
43924  
43925         m_D2
43926  :1;
43927
43928 8262 
43929 IO_BYTE
43930  
43931         m_D1
43932  :1;
43933
43934 8263 
43935 IO_BYTE
43936  
43937         m_D0
43938  :1;
43939
43940 8264 }
43941         mb\99
43942 ;
43943
43944 8265 }
43945         tPILR21STR
43946 ;
43947
43948 8267 
43949 IO_BYTE
43950  
43951         mby\8b
43952 ;
43953
43954 8269 
43955         mIO_BYTE
43956  :1;
43957
43958 8270 
43959         mIO_BYTE
43960  :1;
43961
43962 8271 
43963 IO_BYTE
43964  
43965         m_D5
43966  :1;
43967
43968 8272 
43969 IO_BYTE
43970  
43971         m_D4
43972  :1;
43973
43974 8273 
43975         mIO_BYTE
43976  :1;
43977
43978 8274 
43979         mIO_BYTE
43980  :1;
43981
43982 8275 
43983 IO_BYTE
43984  
43985         m_D1
43986  :1;
43987
43988 8276 
43989 IO_BYTE
43990  
43991         m_D0
43992  :1;
43993
43994 8277 }
43995         mb\99
43996 ;
43997
43998 8278 }
43999         tPILR22STR
44000 ;
44001
44002 8280 
44003 IO_BYTE
44004  
44005         mby\8b
44006 ;
44007
44008 8282 
44009 IO_BYTE
44010  
44011         m_D7
44012  :1;
44013
44014 8283 
44015 IO_BYTE
44016  
44017         m_D6
44018  :1;
44019
44020 8284 
44021 IO_BYTE
44022  
44023         m_D5
44024  :1;
44025
44026 8285 
44027 IO_BYTE
44028  
44029         m_D4
44030  :1;
44031
44032 8286 
44033 IO_BYTE
44034  
44035         m_D3
44036  :1;
44037
44038 8287 
44039 IO_BYTE
44040  
44041         m_D2
44042  :1;
44043
44044 8288 
44045 IO_BYTE
44046  
44047         m_D1
44048  :1;
44049
44050 8289 
44051 IO_BYTE
44052  
44053         m_D0
44054  :1;
44055
44056 8290 }
44057         mb\99
44058 ;
44059
44060 8291 }
44061         tPILR24STR
44062 ;
44063
44064 8293 
44065 IO_BYTE
44066  
44067         mby\8b
44068 ;
44069
44070 8295 
44071         mIO_BYTE
44072  :1;
44073
44074 8296 
44075         mIO_BYTE
44076  :1;
44077
44078 8297 
44079         mIO_BYTE
44080  :1;
44081
44082 8298 
44083         mIO_BYTE
44084  :1;
44085
44086 8299 
44087         mIO_BYTE
44088  :1;
44089
44090 8300 
44091         mIO_BYTE
44092  :1;
44093
44094 8301 
44095 IO_BYTE
44096  
44097         m_D1
44098  :1;
44099
44100 8302 
44101 IO_BYTE
44102  
44103         m_D0
44104  :1;
44105
44106 8303 }
44107         mb\99
44108 ;
44109
44110 8304 }
44111         tPILR26STR
44112 ;
44113
44114 8306 
44115 IO_BYTE
44116  
44117         mby\8b
44118 ;
44119
44120 8308 
44121 IO_BYTE
44122  
44123         m_D7
44124  :1;
44125
44126 8309 
44127 IO_BYTE
44128  
44129         m_D6
44130  :1;
44131
44132 8310 
44133 IO_BYTE
44134  
44135         m_D5
44136  :1;
44137
44138 8311 
44139 IO_BYTE
44140  
44141         m_D4
44142  :1;
44143
44144 8312 
44145 IO_BYTE
44146  
44147         m_D3
44148  :1;
44149
44150 8313 
44151 IO_BYTE
44152  
44153         m_D2
44154  :1;
44155
44156 8314 
44157 IO_BYTE
44158  
44159         m_D1
44160  :1;
44161
44162 8315 
44163 IO_BYTE
44164  
44165         m_D0
44166  :1;
44167
44168 8316 }
44169         mb\99
44170 ;
44171
44172 8317 }
44173         tPILR27STR
44174 ;
44175
44176 8319 
44177 IO_BYTE
44178  
44179         mby\8b
44180 ;
44181
44182 8321 
44183 IO_BYTE
44184  
44185         m_D7
44186  :1;
44187
44188 8322 
44189 IO_BYTE
44190  
44191         m_D6
44192  :1;
44193
44194 8323 
44195 IO_BYTE
44196  
44197         m_D5
44198  :1;
44199
44200 8324 
44201 IO_BYTE
44202  
44203         m_D4
44204  :1;
44205
44206 8325 
44207 IO_BYTE
44208  
44209         m_D3
44210  :1;
44211
44212 8326 
44213 IO_BYTE
44214  
44215         m_D2
44216  :1;
44217
44218 8327 
44219 IO_BYTE
44220  
44221         m_D1
44222  :1;
44223
44224 8328 
44225 IO_BYTE
44226  
44227         m_D0
44228  :1;
44229
44230 8329 }
44231         mb\99
44232 ;
44233
44234 8330 }
44235         tPILR28STR
44236 ;
44237
44238 8332 
44239 IO_BYTE
44240  
44241         mby\8b
44242 ;
44243
44244 8334 
44245 IO_BYTE
44246  
44247         m_D7
44248  :1;
44249
44250 8335 
44251 IO_BYTE
44252  
44253         m_D6
44254  :1;
44255
44256 8336 
44257 IO_BYTE
44258  
44259         m_D5
44260  :1;
44261
44262 8337 
44263 IO_BYTE
44264  
44265         m_D4
44266  :1;
44267
44268 8338 
44269 IO_BYTE
44270  
44271         m_D3
44272  :1;
44273
44274 8339 
44275 IO_BYTE
44276  
44277         m_D2
44278  :1;
44279
44280 8340 
44281 IO_BYTE
44282  
44283         m_D1
44284  :1;
44285
44286 8341 
44287 IO_BYTE
44288  
44289         m_D0
44290  :1;
44291
44292 8342 }
44293         mb\99
44294 ;
44295
44296 8343 }
44297         tPILR29STR
44298 ;
44299
44300 8345 
44301 IO_BYTE
44302  
44303         mby\8b
44304 ;
44305
44306 8347 
44307 IO_BYTE
44308  
44309         m_D7
44310  :1;
44311
44312 8348 
44313 IO_BYTE
44314  
44315         m_D6
44316  :1;
44317
44318 8349 
44319 IO_BYTE
44320  
44321         m_D5
44322  :1;
44323
44324 8350 
44325 IO_BYTE
44326  
44327         m_D4
44328  :1;
44329
44330 8351 
44331 IO_BYTE
44332  
44333         m_D3
44334  :1;
44335
44336 8352 
44337 IO_BYTE
44338  
44339         m_D2
44340  :1;
44341
44342 8353 
44343 IO_BYTE
44344  
44345         m_D1
44346  :1;
44347
44348 8354 
44349 IO_BYTE
44350  
44351         m_D0
44352  :1;
44353
44354 8355 }
44355         mb\99
44356 ;
44357
44358 8356 }
44359         tEPILR14STR
44360 ;
44361
44362 8358 
44363 IO_BYTE
44364  
44365         mby\8b
44366 ;
44367
44368 8360 
44369 IO_BYTE
44370  
44371         m_D7
44372  :1;
44373
44374 8361 
44375 IO_BYTE
44376  
44377         m_D6
44378  :1;
44379
44380 8362 
44381 IO_BYTE
44382  
44383         m_D5
44384  :1;
44385
44386 8363 
44387 IO_BYTE
44388  
44389         m_D4
44390  :1;
44391
44392 8364 
44393 IO_BYTE
44394  
44395         m_D3
44396  :1;
44397
44398 8365 
44399 IO_BYTE
44400  
44401         m_D2
44402  :1;
44403
44404 8366 
44405 IO_BYTE
44406  
44407         m_D1
44408  :1;
44409
44410 8367 
44411 IO_BYTE
44412  
44413         m_D0
44414  :1;
44415
44416 8368 }
44417         mb\99
44418 ;
44419
44420 8369 }
44421         tEPILR15STR
44422 ;
44423
44424 8371 
44425 IO_BYTE
44426  
44427         mby\8b
44428 ;
44429
44430 8373 
44431 IO_BYTE
44432  
44433         m_D7
44434  :1;
44435
44436 8374 
44437 IO_BYTE
44438  
44439         m_D6
44440  :1;
44441
44442 8375 
44443 IO_BYTE
44444  
44445         m_D5
44446  :1;
44447
44448 8376 
44449 IO_BYTE
44450  
44451         m_D4
44452  :1;
44453
44454 8377 
44455 IO_BYTE
44456  
44457         m_D3
44458  :1;
44459
44460 8378 
44461 IO_BYTE
44462  
44463         m_D2
44464  :1;
44465
44466 8379 
44467 IO_BYTE
44468  
44469         m_D1
44470  :1;
44471
44472 8380 
44473 IO_BYTE
44474  
44475         m_D0
44476  :1;
44477
44478 8381 }
44479         mb\99
44480 ;
44481
44482 8382 }
44483         tEPILR16STR
44484 ;
44485
44486 8384 
44487 IO_BYTE
44488  
44489         mby\8b
44490 ;
44491
44492 8386 
44493 IO_BYTE
44494  
44495         m_D7
44496  :1;
44497
44498 8387 
44499 IO_BYTE
44500  
44501         m_D6
44502  :1;
44503
44504 8388 
44505 IO_BYTE
44506  
44507         m_D5
44508  :1;
44509
44510 8389 
44511 IO_BYTE
44512  
44513         m_D4
44514  :1;
44515
44516 8390 
44517 IO_BYTE
44518  
44519         m_D3
44520  :1;
44521
44522 8391 
44523 IO_BYTE
44524  
44525         m_D2
44526  :1;
44527
44528 8392 
44529 IO_BYTE
44530  
44531         m_D1
44532  :1;
44533
44534 8393 
44535 IO_BYTE
44536  
44537         m_D0
44538  :1;
44539
44540 8394 }
44541         mb\99
44542 ;
44543
44544 8395 }
44545         tEPILR17STR
44546 ;
44547
44548 8397 
44549 IO_BYTE
44550  
44551         mby\8b
44552 ;
44553
44554 8399 
44555         mIO_BYTE
44556  :1;
44557
44558 8400 
44559 IO_BYTE
44560  
44561         m_D6
44562  :1;
44563
44564 8401 
44565         mIO_BYTE
44566  :1;
44567
44568 8402 
44569         mIO_BYTE
44570  :1;
44571
44572 8403 
44573         mIO_BYTE
44574  :1;
44575
44576 8404 
44577 IO_BYTE
44578  
44579         m_D2
44580  :1;
44581
44582 8405 
44583         mIO_BYTE
44584  :1;
44585
44586 8406 
44587         mIO_BYTE
44588  :1;
44589
44590 8407 }
44591         mb\99
44592 ;
44593
44594 8408 }
44595         tEPILR18STR
44596 ;
44597
44598 8410 
44599 IO_BYTE
44600  
44601         mby\8b
44602 ;
44603
44604 8412 
44605         mIO_BYTE
44606  :1;
44607
44608 8413 
44609 IO_BYTE
44610  
44611         m_D6
44612  :1;
44613
44614 8414 
44615         mIO_BYTE
44616  :1;
44617
44618 8415 
44619         mIO_BYTE
44620  :1;
44621
44622 8416 
44623         mIO_BYTE
44624  :1;
44625
44626 8417 
44627 IO_BYTE
44628  
44629         m_D2
44630  :1;
44631
44632 8418 
44633 IO_BYTE
44634  
44635         m_D1
44636  :1;
44637
44638 8419 
44639 IO_BYTE
44640  
44641         m_D0
44642  :1;
44643
44644 8420 }
44645         mb\99
44646 ;
44647
44648 8421 }
44649         tEPILR19STR
44650 ;
44651
44652 8423 
44653 IO_BYTE
44654  
44655         mby\8b
44656 ;
44657
44658 8425 
44659 IO_BYTE
44660  
44661         m_D7
44662  :1;
44663
44664 8426 
44665 IO_BYTE
44666  
44667         m_D6
44668  :1;
44669
44670 8427 
44671 IO_BYTE
44672  
44673         m_D5
44674  :1;
44675
44676 8428 
44677 IO_BYTE
44678  
44679         m_D4
44680  :1;
44681
44682 8429 
44683 IO_BYTE
44684  
44685         m_D3
44686  :1;
44687
44688 8430 
44689 IO_BYTE
44690  
44691         m_D2
44692  :1;
44693
44694 8431 
44695 IO_BYTE
44696  
44697         m_D1
44698  :1;
44699
44700 8432 
44701 IO_BYTE
44702  
44703         m_D0
44704  :1;
44705
44706 8433 }
44707         mb\99
44708 ;
44709
44710 8434 }
44711         tEPILR20STR
44712 ;
44713
44714 8436 
44715 IO_BYTE
44716  
44717         mby\8b
44718 ;
44719
44720 8438 
44721 IO_BYTE
44722  
44723         m_D7
44724  :1;
44725
44726 8439 
44727 IO_BYTE
44728  
44729         m_D6
44730  :1;
44731
44732 8440 
44733 IO_BYTE
44734  
44735         m_D5
44736  :1;
44737
44738 8441 
44739 IO_BYTE
44740  
44741         m_D4
44742  :1;
44743
44744 8442 
44745 IO_BYTE
44746  
44747         m_D3
44748  :1;
44749
44750 8443 
44751 IO_BYTE
44752  
44753         m_D2
44754  :1;
44755
44756 8444 
44757 IO_BYTE
44758  
44759         m_D1
44760  :1;
44761
44762 8445 
44763 IO_BYTE
44764  
44765         m_D0
44766  :1;
44767
44768 8446 }
44769         mb\99
44770 ;
44771
44772 8447 }
44773         tEPILR21STR
44774 ;
44775
44776 8449 
44777 IO_BYTE
44778  
44779         mby\8b
44780 ;
44781
44782 8451 
44783         mIO_BYTE
44784  :1;
44785
44786 8452 
44787         mIO_BYTE
44788  :1;
44789
44790 8453 
44791 IO_BYTE
44792  
44793         m_D5
44794  :1;
44795
44796 8454 
44797 IO_BYTE
44798  
44799         m_D4
44800  :1;
44801
44802 8455 
44803         mIO_BYTE
44804  :1;
44805
44806 8456 
44807         mIO_BYTE
44808  :1;
44809
44810 8457 
44811 IO_BYTE
44812  
44813         m_D1
44814  :1;
44815
44816 8458 
44817 IO_BYTE
44818  
44819         m_D0
44820  :1;
44821
44822 8459 }
44823         mb\99
44824 ;
44825
44826 8460 }
44827         tEPILR22STR
44828 ;
44829
44830 8462 
44831 IO_BYTE
44832  
44833         mby\8b
44834 ;
44835
44836 8464 
44837 IO_BYTE
44838  
44839         m_D7
44840  :1;
44841
44842 8465 
44843 IO_BYTE
44844  
44845         m_D6
44846  :1;
44847
44848 8466 
44849 IO_BYTE
44850  
44851         m_D5
44852  :1;
44853
44854 8467 
44855 IO_BYTE
44856  
44857         m_D4
44858  :1;
44859
44860 8468 
44861 IO_BYTE
44862  
44863         m_D3
44864  :1;
44865
44866 8469 
44867 IO_BYTE
44868  
44869         m_D2
44870  :1;
44871
44872 8470 
44873 IO_BYTE
44874  
44875         m_D1
44876  :1;
44877
44878 8471 
44879 IO_BYTE
44880  
44881         m_D0
44882  :1;
44883
44884 8472 }
44885         mb\99
44886 ;
44887
44888 8473 }
44889         tEPILR24STR
44890 ;
44891
44892 8475 
44893 IO_BYTE
44894  
44895         mby\8b
44896 ;
44897
44898 8477 
44899         mIO_BYTE
44900  :1;
44901
44902 8478 
44903         mIO_BYTE
44904  :1;
44905
44906 8479 
44907         mIO_BYTE
44908  :1;
44909
44910 8480 
44911         mIO_BYTE
44912  :1;
44913
44914 8481 
44915         mIO_BYTE
44916  :1;
44917
44918 8482 
44919         mIO_BYTE
44920  :1;
44921
44922 8483 
44923 IO_BYTE
44924  
44925         m_D1
44926  :1;
44927
44928 8484 
44929 IO_BYTE
44930  
44931         m_D0
44932  :1;
44933
44934 8485 }
44935         mb\99
44936 ;
44937
44938 8486 }
44939         tEPILR26STR
44940 ;
44941
44942 8488 
44943 IO_BYTE
44944  
44945         mby\8b
44946 ;
44947
44948 8490 
44949 IO_BYTE
44950  
44951         m_D7
44952  :1;
44953
44954 8491 
44955 IO_BYTE
44956  
44957         m_D6
44958  :1;
44959
44960 8492 
44961 IO_BYTE
44962  
44963         m_D5
44964  :1;
44965
44966 8493 
44967 IO_BYTE
44968  
44969         m_D4
44970  :1;
44971
44972 8494 
44973 IO_BYTE
44974  
44975         m_D3
44976  :1;
44977
44978 8495 
44979 IO_BYTE
44980  
44981         m_D2
44982  :1;
44983
44984 8496 
44985 IO_BYTE
44986  
44987         m_D1
44988  :1;
44989
44990 8497 
44991 IO_BYTE
44992  
44993         m_D0
44994  :1;
44995
44996 8498 }
44997         mb\99
44998 ;
44999
45000 8499 }
45001         tEPILR27STR
45002 ;
45003
45004 8501 
45005 IO_BYTE
45006  
45007         mby\8b
45008 ;
45009
45010 8503 
45011 IO_BYTE
45012  
45013         m_D7
45014  :1;
45015
45016 8504 
45017 IO_BYTE
45018  
45019         m_D6
45020  :1;
45021
45022 8505 
45023 IO_BYTE
45024  
45025         m_D5
45026  :1;
45027
45028 8506 
45029 IO_BYTE
45030  
45031         m_D4
45032  :1;
45033
45034 8507 
45035 IO_BYTE
45036  
45037         m_D3
45038  :1;
45039
45040 8508 
45041 IO_BYTE
45042  
45043         m_D2
45044  :1;
45045
45046 8509 
45047 IO_BYTE
45048  
45049         m_D1
45050  :1;
45051
45052 8510 
45053 IO_BYTE
45054  
45055         m_D0
45056  :1;
45057
45058 8511 }
45059         mb\99
45060 ;
45061
45062 8512 }
45063         tEPILR28STR
45064 ;
45065
45066 8514 
45067 IO_BYTE
45068  
45069         mby\8b
45070 ;
45071
45072 8516 
45073 IO_BYTE
45074  
45075         m_D7
45076  :1;
45077
45078 8517 
45079 IO_BYTE
45080  
45081         m_D6
45082  :1;
45083
45084 8518 
45085 IO_BYTE
45086  
45087         m_D5
45088  :1;
45089
45090 8519 
45091 IO_BYTE
45092  
45093         m_D4
45094  :1;
45095
45096 8520 
45097 IO_BYTE
45098  
45099         m_D3
45100  :1;
45101
45102 8521 
45103 IO_BYTE
45104  
45105         m_D2
45106  :1;
45107
45108 8522 
45109 IO_BYTE
45110  
45111         m_D1
45112  :1;
45113
45114 8523 
45115 IO_BYTE
45116  
45117         m_D0
45118  :1;
45119
45120 8524 }
45121         mb\99
45122 ;
45123
45124 8525 }
45125         tEPILR29STR
45126 ;
45127
45128 8527 
45129 IO_BYTE
45130  
45131         mby\8b
45132 ;
45133
45134 8529 
45135 IO_BYTE
45136  
45137         m_D7
45138  :1;
45139
45140 8530 
45141 IO_BYTE
45142  
45143         m_D6
45144  :1;
45145
45146 8531 
45147 IO_BYTE
45148  
45149         m_D5
45150  :1;
45151
45152 8532 
45153 IO_BYTE
45154  
45155         m_D4
45156  :1;
45157
45158 8533 
45159 IO_BYTE
45160  
45161         m_D3
45162  :1;
45163
45164 8534 
45165 IO_BYTE
45166  
45167         m_D2
45168  :1;
45169
45170 8535 
45171 IO_BYTE
45172  
45173         m_D1
45174  :1;
45175
45176 8536 
45177 IO_BYTE
45178  
45179         m_D0
45180  :1;
45181
45182 8537 }
45183         mb\99
45184 ;
45185
45186 8538 }
45187         tPPER14STR
45188 ;
45189
45190 8540 
45191 IO_BYTE
45192  
45193         mby\8b
45194 ;
45195
45196 8542 
45197 IO_BYTE
45198  
45199         m_D7
45200  :1;
45201
45202 8543 
45203 IO_BYTE
45204  
45205         m_D6
45206  :1;
45207
45208 8544 
45209 IO_BYTE
45210  
45211         m_D5
45212  :1;
45213
45214 8545 
45215 IO_BYTE
45216  
45217         m_D4
45218  :1;
45219
45220 8546 
45221 IO_BYTE
45222  
45223         m_D3
45224  :1;
45225
45226 8547 
45227 IO_BYTE
45228  
45229         m_D2
45230  :1;
45231
45232 8548 
45233 IO_BYTE
45234  
45235         m_D1
45236  :1;
45237
45238 8549 
45239 IO_BYTE
45240  
45241         m_D0
45242  :1;
45243
45244 8550 }
45245         mb\99
45246 ;
45247
45248 8551 }
45249         tPPER15STR
45250 ;
45251
45252 8553 
45253 IO_BYTE
45254  
45255         mby\8b
45256 ;
45257
45258 8555 
45259 IO_BYTE
45260  
45261         m_D7
45262  :1;
45263
45264 8556 
45265 IO_BYTE
45266  
45267         m_D6
45268  :1;
45269
45270 8557 
45271 IO_BYTE
45272  
45273         m_D5
45274  :1;
45275
45276 8558 
45277 IO_BYTE
45278  
45279         m_D4
45280  :1;
45281
45282 8559 
45283 IO_BYTE
45284  
45285         m_D3
45286  :1;
45287
45288 8560 
45289 IO_BYTE
45290  
45291         m_D2
45292  :1;
45293
45294 8561 
45295 IO_BYTE
45296  
45297         m_D1
45298  :1;
45299
45300 8562 
45301 IO_BYTE
45302  
45303         m_D0
45304  :1;
45305
45306 8563 }
45307         mb\99
45308 ;
45309
45310 8564 }
45311         tPPER16STR
45312 ;
45313
45314 8566 
45315 IO_BYTE
45316  
45317         mby\8b
45318 ;
45319
45320 8568 
45321 IO_BYTE
45322  
45323         m_D7
45324  :1;
45325
45326 8569 
45327 IO_BYTE
45328  
45329         m_D6
45330  :1;
45331
45332 8570 
45333 IO_BYTE
45334  
45335         m_D5
45336  :1;
45337
45338 8571 
45339 IO_BYTE
45340  
45341         m_D4
45342  :1;
45343
45344 8572 
45345 IO_BYTE
45346  
45347         m_D3
45348  :1;
45349
45350 8573 
45351 IO_BYTE
45352  
45353         m_D2
45354  :1;
45355
45356 8574 
45357 IO_BYTE
45358  
45359         m_D1
45360  :1;
45361
45362 8575 
45363 IO_BYTE
45364  
45365         m_D0
45366  :1;
45367
45368 8576 }
45369         mb\99
45370 ;
45371
45372 8577 }
45373         tPPER17STR
45374 ;
45375
45376 8579 
45377 IO_BYTE
45378  
45379         mby\8b
45380 ;
45381
45382 8581 
45383         mIO_BYTE
45384  :1;
45385
45386 8582 
45387 IO_BYTE
45388  
45389         m_D6
45390  :1;
45391
45392 8583 
45393         mIO_BYTE
45394  :1;
45395
45396 8584 
45397         mIO_BYTE
45398  :1;
45399
45400 8585 
45401         mIO_BYTE
45402  :1;
45403
45404 8586 
45405 IO_BYTE
45406  
45407         m_D2
45408  :1;
45409
45410 8587 
45411         mIO_BYTE
45412  :1;
45413
45414 8588 
45415         mIO_BYTE
45416  :1;
45417
45418 8589 }
45419         mb\99
45420 ;
45421
45422 8590 }
45423         tPPER18STR
45424 ;
45425
45426 8592 
45427 IO_BYTE
45428  
45429         mby\8b
45430 ;
45431
45432 8594 
45433         mIO_BYTE
45434  :1;
45435
45436 8595 
45437 IO_BYTE
45438  
45439         m_D6
45440  :1;
45441
45442 8596 
45443         mIO_BYTE
45444  :1;
45445
45446 8597 
45447         mIO_BYTE
45448  :1;
45449
45450 8598 
45451         mIO_BYTE
45452  :1;
45453
45454 8599 
45455 IO_BYTE
45456  
45457         m_D2
45458  :1;
45459
45460 8600 
45461 IO_BYTE
45462  
45463         m_D1
45464  :1;
45465
45466 8601 
45467 IO_BYTE
45468  
45469         m_D0
45470  :1;
45471
45472 8602 }
45473         mb\99
45474 ;
45475
45476 8603 }
45477         tPPER19STR
45478 ;
45479
45480 8605 
45481 IO_BYTE
45482  
45483         mby\8b
45484 ;
45485
45486 8607 
45487 IO_BYTE
45488  
45489         m_D7
45490  :1;
45491
45492 8608 
45493 IO_BYTE
45494  
45495         m_D6
45496  :1;
45497
45498 8609 
45499 IO_BYTE
45500  
45501         m_D5
45502  :1;
45503
45504 8610 
45505 IO_BYTE
45506  
45507         m_D4
45508  :1;
45509
45510 8611 
45511 IO_BYTE
45512  
45513         m_D3
45514  :1;
45515
45516 8612 
45517 IO_BYTE
45518  
45519         m_D2
45520  :1;
45521
45522 8613 
45523 IO_BYTE
45524  
45525         m_D1
45526  :1;
45527
45528 8614 
45529 IO_BYTE
45530  
45531         m_D0
45532  :1;
45533
45534 8615 }
45535         mb\99
45536 ;
45537
45538 8616 }
45539         tPPER20STR
45540 ;
45541
45542 8618 
45543 IO_BYTE
45544  
45545         mby\8b
45546 ;
45547
45548 8620 
45549 IO_BYTE
45550  
45551         m_D7
45552  :1;
45553
45554 8621 
45555 IO_BYTE
45556  
45557         m_D6
45558  :1;
45559
45560 8622 
45561 IO_BYTE
45562  
45563         m_D5
45564  :1;
45565
45566 8623 
45567 IO_BYTE
45568  
45569         m_D4
45570  :1;
45571
45572 8624 
45573 IO_BYTE
45574  
45575         m_D3
45576  :1;
45577
45578 8625 
45579 IO_BYTE
45580  
45581         m_D2
45582  :1;
45583
45584 8626 
45585 IO_BYTE
45586  
45587         m_D1
45588  :1;
45589
45590 8627 
45591 IO_BYTE
45592  
45593         m_D0
45594  :1;
45595
45596 8628 }
45597         mb\99
45598 ;
45599
45600 8629 }
45601         tPPER21STR
45602 ;
45603
45604 8631 
45605 IO_BYTE
45606  
45607         mby\8b
45608 ;
45609
45610 8633 
45611         mIO_BYTE
45612  :1;
45613
45614 8634 
45615         mIO_BYTE
45616  :1;
45617
45618 8635 
45619 IO_BYTE
45620  
45621         m_D5
45622  :1;
45623
45624 8636 
45625 IO_BYTE
45626  
45627         m_D4
45628  :1;
45629
45630 8637 
45631         mIO_BYTE
45632  :1;
45633
45634 8638 
45635         mIO_BYTE
45636  :1;
45637
45638 8639 
45639 IO_BYTE
45640  
45641         m_D1
45642  :1;
45643
45644 8640 
45645 IO_BYTE
45646  
45647         m_D0
45648  :1;
45649
45650 8641 }
45651         mb\99
45652 ;
45653
45654 8642 }
45655         tPPER22STR
45656 ;
45657
45658 8644 
45659 IO_BYTE
45660  
45661         mby\8b
45662 ;
45663
45664 8646 
45665 IO_BYTE
45666  
45667         m_D7
45668  :1;
45669
45670 8647 
45671 IO_BYTE
45672  
45673         m_D6
45674  :1;
45675
45676 8648 
45677 IO_BYTE
45678  
45679         m_D5
45680  :1;
45681
45682 8649 
45683 IO_BYTE
45684  
45685         m_D4
45686  :1;
45687
45688 8650 
45689 IO_BYTE
45690  
45691         m_D3
45692  :1;
45693
45694 8651 
45695 IO_BYTE
45696  
45697         m_D2
45698  :1;
45699
45700 8652 
45701 IO_BYTE
45702  
45703         m_D1
45704  :1;
45705
45706 8653 
45707 IO_BYTE
45708  
45709         m_D0
45710  :1;
45711
45712 8654 }
45713         mb\99
45714 ;
45715
45716 8655 }
45717         tPPER24STR
45718 ;
45719
45720 8657 
45721 IO_BYTE
45722  
45723         mby\8b
45724 ;
45725
45726 8659 
45727         mIO_BYTE
45728  :1;
45729
45730 8660 
45731         mIO_BYTE
45732  :1;
45733
45734 8661 
45735         mIO_BYTE
45736  :1;
45737
45738 8662 
45739         mIO_BYTE
45740  :1;
45741
45742 8663 
45743         mIO_BYTE
45744  :1;
45745
45746 8664 
45747         mIO_BYTE
45748  :1;
45749
45750 8665 
45751 IO_BYTE
45752  
45753         m_D1
45754  :1;
45755
45756 8666 
45757 IO_BYTE
45758  
45759         m_D0
45760  :1;
45761
45762 8667 }
45763         mb\99
45764 ;
45765
45766 8668 }
45767         tPPER26STR
45768 ;
45769
45770 8670 
45771 IO_BYTE
45772  
45773         mby\8b
45774 ;
45775
45776 8672 
45777 IO_BYTE
45778  
45779         m_D7
45780  :1;
45781
45782 8673 
45783 IO_BYTE
45784  
45785         m_D6
45786  :1;
45787
45788 8674 
45789 IO_BYTE
45790  
45791         m_D5
45792  :1;
45793
45794 8675 
45795 IO_BYTE
45796  
45797         m_D4
45798  :1;
45799
45800 8676 
45801 IO_BYTE
45802  
45803         m_D3
45804  :1;
45805
45806 8677 
45807 IO_BYTE
45808  
45809         m_D2
45810  :1;
45811
45812 8678 
45813 IO_BYTE
45814  
45815         m_D1
45816  :1;
45817
45818 8679 
45819 IO_BYTE
45820  
45821         m_D0
45822  :1;
45823
45824 8680 }
45825         mb\99
45826 ;
45827
45828 8681 }
45829         tPPER27STR
45830 ;
45831
45832 8683 
45833 IO_BYTE
45834  
45835         mby\8b
45836 ;
45837
45838 8685 
45839 IO_BYTE
45840  
45841         m_D7
45842  :1;
45843
45844 8686 
45845 IO_BYTE
45846  
45847         m_D6
45848  :1;
45849
45850 8687 
45851 IO_BYTE
45852  
45853         m_D5
45854  :1;
45855
45856 8688 
45857 IO_BYTE
45858  
45859         m_D4
45860  :1;
45861
45862 8689 
45863 IO_BYTE
45864  
45865         m_D3
45866  :1;
45867
45868 8690 
45869 IO_BYTE
45870  
45871         m_D2
45872  :1;
45873
45874 8691 
45875 IO_BYTE
45876  
45877         m_D1
45878  :1;
45879
45880 8692 
45881 IO_BYTE
45882  
45883         m_D0
45884  :1;
45885
45886 8693 }
45887         mb\99
45888 ;
45889
45890 8694 }
45891         tPPER28STR
45892 ;
45893
45894 8696 
45895 IO_BYTE
45896  
45897         mby\8b
45898 ;
45899
45900 8698 
45901 IO_BYTE
45902  
45903         m_D7
45904  :1;
45905
45906 8699 
45907 IO_BYTE
45908  
45909         m_D6
45910  :1;
45911
45912 8700 
45913 IO_BYTE
45914  
45915         m_D5
45916  :1;
45917
45918 8701 
45919 IO_BYTE
45920  
45921         m_D4
45922  :1;
45923
45924 8702 
45925 IO_BYTE
45926  
45927         m_D3
45928  :1;
45929
45930 8703 
45931 IO_BYTE
45932  
45933         m_D2
45934  :1;
45935
45936 8704 
45937 IO_BYTE
45938  
45939         m_D1
45940  :1;
45941
45942 8705 
45943 IO_BYTE
45944  
45945         m_D0
45946  :1;
45947
45948 8706 }
45949         mb\99
45950 ;
45951
45952 8707 }
45953         tPPER29STR
45954 ;
45955
45956 8709 
45957 IO_BYTE
45958  
45959         mby\8b
45960 ;
45961
45962 8711 
45963 IO_BYTE
45964  
45965         m_D7
45966  :1;
45967
45968 8712 
45969 IO_BYTE
45970  
45971         m_D6
45972  :1;
45973
45974 8713 
45975 IO_BYTE
45976  
45977         m_D5
45978  :1;
45979
45980 8714 
45981 IO_BYTE
45982  
45983         m_D4
45984  :1;
45985
45986 8715 
45987 IO_BYTE
45988  
45989         m_D3
45990  :1;
45991
45992 8716 
45993 IO_BYTE
45994  
45995         m_D2
45996  :1;
45997
45998 8717 
45999 IO_BYTE
46000  
46001         m_D1
46002  :1;
46003
46004 8718 
46005 IO_BYTE
46006  
46007         m_D0
46008  :1;
46009
46010 8719 }
46011         mb\99
46012 ;
46013
46014 8720 }
46015         tPPCR14STR
46016 ;
46017
46018 8722 
46019 IO_BYTE
46020  
46021         mby\8b
46022 ;
46023
46024 8724 
46025 IO_BYTE
46026  
46027         m_D7
46028  :1;
46029
46030 8725 
46031 IO_BYTE
46032  
46033         m_D6
46034  :1;
46035
46036 8726 
46037 IO_BYTE
46038  
46039         m_D5
46040  :1;
46041
46042 8727 
46043 IO_BYTE
46044  
46045         m_D4
46046  :1;
46047
46048 8728 
46049 IO_BYTE
46050  
46051         m_D3
46052  :1;
46053
46054 8729 
46055 IO_BYTE
46056  
46057         m_D2
46058  :1;
46059
46060 8730 
46061 IO_BYTE
46062  
46063         m_D1
46064  :1;
46065
46066 8731 
46067 IO_BYTE
46068  
46069         m_D0
46070  :1;
46071
46072 8732 }
46073         mb\99
46074 ;
46075
46076 8733 }
46077         tPPCR15STR
46078 ;
46079
46080 8735 
46081 IO_BYTE
46082  
46083         mby\8b
46084 ;
46085
46086 8737 
46087 IO_BYTE
46088  
46089         m_D7
46090  :1;
46091
46092 8738 
46093 IO_BYTE
46094  
46095         m_D6
46096  :1;
46097
46098 8739 
46099 IO_BYTE
46100  
46101         m_D5
46102  :1;
46103
46104 8740 
46105 IO_BYTE
46106  
46107         m_D4
46108  :1;
46109
46110 8741 
46111 IO_BYTE
46112  
46113         m_D3
46114  :1;
46115
46116 8742 
46117 IO_BYTE
46118  
46119         m_D2
46120  :1;
46121
46122 8743 
46123 IO_BYTE
46124  
46125         m_D1
46126  :1;
46127
46128 8744 
46129 IO_BYTE
46130  
46131         m_D0
46132  :1;
46133
46134 8745 }
46135         mb\99
46136 ;
46137
46138 8746 }
46139         tPPCR16STR
46140 ;
46141
46142 8748 
46143 IO_BYTE
46144  
46145         mby\8b
46146 ;
46147
46148 8750 
46149 IO_BYTE
46150  
46151         m_D7
46152  :1;
46153
46154 8751 
46155 IO_BYTE
46156  
46157         m_D6
46158  :1;
46159
46160 8752 
46161 IO_BYTE
46162  
46163         m_D5
46164  :1;
46165
46166 8753 
46167 IO_BYTE
46168  
46169         m_D4
46170  :1;
46171
46172 8754 
46173 IO_BYTE
46174  
46175         m_D3
46176  :1;
46177
46178 8755 
46179 IO_BYTE
46180  
46181         m_D2
46182  :1;
46183
46184 8756 
46185 IO_BYTE
46186  
46187         m_D1
46188  :1;
46189
46190 8757 
46191 IO_BYTE
46192  
46193         m_D0
46194  :1;
46195
46196 8758 }
46197         mb\99
46198 ;
46199
46200 8759 }
46201         tPPCR17STR
46202 ;
46203
46204 8761 
46205 IO_BYTE
46206  
46207         mby\8b
46208 ;
46209
46210 8763 
46211         mIO_BYTE
46212  :1;
46213
46214 8764 
46215 IO_BYTE
46216  
46217         m_D6
46218  :1;
46219
46220 8765 
46221         mIO_BYTE
46222  :1;
46223
46224 8766 
46225         mIO_BYTE
46226  :1;
46227
46228 8767 
46229         mIO_BYTE
46230  :1;
46231
46232 8768 
46233 IO_BYTE
46234  
46235         m_D2
46236  :1;
46237
46238 8769 
46239         mIO_BYTE
46240  :1;
46241
46242 8770 
46243         mIO_BYTE
46244  :1;
46245
46246 8771 }
46247         mb\99
46248 ;
46249
46250 8772 }
46251         tPPCR18STR
46252 ;
46253
46254 8774 
46255 IO_BYTE
46256  
46257         mby\8b
46258 ;
46259
46260 8776 
46261         mIO_BYTE
46262  :1;
46263
46264 8777 
46265 IO_BYTE
46266  
46267         m_D6
46268  :1;
46269
46270 8778 
46271         mIO_BYTE
46272  :1;
46273
46274 8779 
46275 IO_BYTE
46276  
46277         m_D2
46278  :1;
46279
46280 8780 
46281 IO_BYTE
46282  
46283         m_D1
46284  :1;
46285
46286 8781 
46287 IO_BYTE
46288  
46289         m_D0
46290  :1;
46291
46292 8782 
46293         mIO_BYTE
46294  :1;
46295
46296 8783 
46297         mIO_BYTE
46298  :1;
46299
46300 8784 }
46301         mb\99
46302 ;
46303
46304 8785 }
46305         tPPCR19STR
46306 ;
46307
46308 8787 
46309 IO_BYTE
46310  
46311         mby\8b
46312 ;
46313
46314 8789 
46315 IO_BYTE
46316  
46317         m_D7
46318  :1;
46319
46320 8790 
46321 IO_BYTE
46322  
46323         m_D6
46324  :1;
46325
46326 8791 
46327 IO_BYTE
46328  
46329         m_D5
46330  :1;
46331
46332 8792 
46333 IO_BYTE
46334  
46335         m_D4
46336  :1;
46337
46338 8793 
46339 IO_BYTE
46340  
46341         m_D3
46342  :1;
46343
46344 8794 
46345 IO_BYTE
46346  
46347         m_D2
46348  :1;
46349
46350 8795 
46351 IO_BYTE
46352  
46353         m_D1
46354  :1;
46355
46356 8796 
46357 IO_BYTE
46358  
46359         m_D0
46360  :1;
46361
46362 8797 }
46363         mb\99
46364 ;
46365
46366 8798 }
46367         tPPCR20STR
46368 ;
46369
46370 8800 
46371 IO_BYTE
46372  
46373         mby\8b
46374 ;
46375
46376 8802 
46377 IO_BYTE
46378  
46379         m_D7
46380  :1;
46381
46382 8803 
46383 IO_BYTE
46384  
46385         m_D6
46386  :1;
46387
46388 8804 
46389 IO_BYTE
46390  
46391         m_D5
46392  :1;
46393
46394 8805 
46395 IO_BYTE
46396  
46397         m_D4
46398  :1;
46399
46400 8806 
46401 IO_BYTE
46402  
46403         m_D3
46404  :1;
46405
46406 8807 
46407 IO_BYTE
46408  
46409         m_D2
46410  :1;
46411
46412 8808 
46413 IO_BYTE
46414  
46415         m_D1
46416  :1;
46417
46418 8809 
46419 IO_BYTE
46420  
46421         m_D0
46422  :1;
46423
46424 8810 }
46425         mb\99
46426 ;
46427
46428 8811 }
46429         tPPCR21STR
46430 ;
46431
46432 8813 
46433 IO_BYTE
46434  
46435         mby\8b
46436 ;
46437
46438 8815 
46439         mIO_BYTE
46440  :1;
46441
46442 8816 
46443         mIO_BYTE
46444  :1;
46445
46446 8817 
46447 IO_BYTE
46448  
46449         m_D5
46450  :1;
46451
46452 8818 
46453 IO_BYTE
46454  
46455         m_D4
46456  :1;
46457
46458 8819 
46459         mIO_BYTE
46460  :1;
46461
46462 8820 
46463         mIO_BYTE
46464  :1;
46465
46466 8821 
46467 IO_BYTE
46468  
46469         m_D1
46470  :1;
46471
46472 8822 
46473 IO_BYTE
46474  
46475         m_D0
46476  :1;
46477
46478 8823 }
46479         mb\99
46480 ;
46481
46482 8824 }
46483         tPPCR22STR
46484 ;
46485
46486 8826 
46487 IO_BYTE
46488  
46489         mby\8b
46490 ;
46491
46492 8828 
46493 IO_BYTE
46494  
46495         m_D7
46496  :1;
46497
46498 8829 
46499 IO_BYTE
46500  
46501         m_D6
46502  :1;
46503
46504 8830 
46505 IO_BYTE
46506  
46507         m_D5
46508  :1;
46509
46510 8831 
46511 IO_BYTE
46512  
46513         m_D4
46514  :1;
46515
46516 8832 
46517 IO_BYTE
46518  
46519         m_D3
46520  :1;
46521
46522 8833 
46523 IO_BYTE
46524  
46525         m_D2
46526  :1;
46527
46528 8834 
46529 IO_BYTE
46530  
46531         m_D1
46532  :1;
46533
46534 8835 
46535 IO_BYTE
46536  
46537         m_D0
46538  :1;
46539
46540 8836 }
46541         mb\99
46542 ;
46543
46544 8837 }
46545         tPPCR24STR
46546 ;
46547
46548 8839 
46549 IO_BYTE
46550  
46551         mby\8b
46552 ;
46553
46554 8841 
46555         mIO_BYTE
46556  :1;
46557
46558 8842 
46559         mIO_BYTE
46560  :1;
46561
46562 8843 
46563         mIO_BYTE
46564  :1;
46565
46566 8844 
46567         mIO_BYTE
46568  :1;
46569
46570 8845 
46571         mIO_BYTE
46572  :1;
46573
46574 8846 
46575         mIO_BYTE
46576  :1;
46577
46578 8847 
46579 IO_BYTE
46580  
46581         m_D1
46582  :1;
46583
46584 8848 
46585 IO_BYTE
46586  
46587         m_D0
46588  :1;
46589
46590 8849 }
46591         mb\99
46592 ;
46593
46594 8850 }
46595         tPPCR26STR
46596 ;
46597
46598 8852 
46599 IO_BYTE
46600  
46601         mby\8b
46602 ;
46603
46604 8854 
46605 IO_BYTE
46606  
46607         m_D7
46608  :1;
46609
46610 8855 
46611 IO_BYTE
46612  
46613         m_D6
46614  :1;
46615
46616 8856 
46617 IO_BYTE
46618  
46619         m_D5
46620  :1;
46621
46622 8857 
46623 IO_BYTE
46624  
46625         m_D4
46626  :1;
46627
46628 8858 
46629 IO_BYTE
46630  
46631         m_D3
46632  :1;
46633
46634 8859 
46635 IO_BYTE
46636  
46637         m_D2
46638  :1;
46639
46640 8860 
46641 IO_BYTE
46642  
46643         m_D1
46644  :1;
46645
46646 8861 
46647 IO_BYTE
46648  
46649         m_D0
46650  :1;
46651
46652 8862 }
46653         mb\99
46654 ;
46655
46656 8863 }
46657         tPPCR27STR
46658 ;
46659
46660 8865 
46661 IO_BYTE
46662  
46663         mby\8b
46664 ;
46665
46666 8867 
46667 IO_BYTE
46668  
46669         m_D7
46670  :1;
46671
46672 8868 
46673 IO_BYTE
46674  
46675         m_D6
46676  :1;
46677
46678 8869 
46679 IO_BYTE
46680  
46681         m_D5
46682  :1;
46683
46684 8870 
46685 IO_BYTE
46686  
46687         m_D4
46688  :1;
46689
46690 8871 
46691 IO_BYTE
46692  
46693         m_D3
46694  :1;
46695
46696 8872 
46697 IO_BYTE
46698  
46699         m_D2
46700  :1;
46701
46702 8873 
46703 IO_BYTE
46704  
46705         m_D1
46706  :1;
46707
46708 8874 
46709 IO_BYTE
46710  
46711         m_D0
46712  :1;
46713
46714 8875 }
46715         mb\99
46716 ;
46717
46718 8876 }
46719         tPPCR28STR
46720 ;
46721
46722 8878 
46723 IO_BYTE
46724  
46725         mby\8b
46726 ;
46727
46728 8880 
46729 IO_BYTE
46730  
46731         m_D7
46732  :1;
46733
46734 8881 
46735 IO_BYTE
46736  
46737         m_D6
46738  :1;
46739
46740 8882 
46741 IO_BYTE
46742  
46743         m_D5
46744  :1;
46745
46746 8883 
46747 IO_BYTE
46748  
46749         m_D4
46750  :1;
46751
46752 8884 
46753 IO_BYTE
46754  
46755         m_D3
46756  :1;
46757
46758 8885 
46759 IO_BYTE
46760  
46761         m_D2
46762  :1;
46763
46764 8886 
46765 IO_BYTE
46766  
46767         m_D1
46768  :1;
46769
46770 8887 
46771 IO_BYTE
46772  
46773         m_D0
46774  :1;
46775
46776 8888 }
46777         mb\99
46778 ;
46779
46780 8889 }
46781         tPPCR29STR
46782 ;
46783
46784 8891 
46785 IO_BYTE
46786  
46787         mby\8b
46788 ;
46789
46790 8893 
46791 IO_BYTE
46792  
46793         m_ASYNC
46794  :1;
46795
46796 8894 
46797 IO_BYTE
46798  
46799         m_FIXE
46800  :1;
46801
46802 8895 
46803 IO_BYTE
46804  
46805         m_BIRE
46806  :1;
46807
46808 8896 
46809 IO_BYTE
46810  
46811         m_RDYEG
46812  :1;
46813
46814 8897 
46815 IO_BYTE
46816  
46817         m_RDY
46818  :1;
46819
46820 8898 
46821 IO_BYTE
46822  
46823         m_RDYI
46824  :1;
46825
46826 8899 
46827 IO_BYTE
46828  
46829         m_RW16
46830  :1;
46831
46832 8900 
46833 IO_BYTE
46834  
46835         m_LPM
46836  :1;
46837
46838 8901 }
46839         mb\99
46840 ;
46841
46842 8902 }
46843         tFMCSSTR
46844 ;
46845
46846 8904 
46847 IO_BYTE
46848  
46849         mby\8b
46850 ;
46851
46852 8906 
46853         mIO_BYTE
46854  :1;
46855
46856 8907 
46857         mIO_BYTE
46858  :1;
46859
46860 8908 
46861         mIO_BYTE
46862  :1;
46863
46864 8909 
46865         mIO_BYTE
46866  :1;
46867
46868 8910 
46869 IO_BYTE
46870  
46871         m_LOCK
46872  :1;
46873
46874 8911 
46875 IO_BYTE
46876  
46877         m_PHASE
46878  :1;
46879
46880 8912 
46881 IO_BYTE
46882  
46883         m_PF2I
46884  :1;
46885
46886 8913 
46887 IO_BYTE
46888  
46889         m_RD64
46890  :1;
46891
46892 8914 }
46893         mb\99
46894 ;
46895
46896 8915 }
46897         tFMCRSTR
46898 ;
46899
46900 8917 
46901 IO_WORD
46902  
46903         mwÜd
46904 ;
46905
46906 8919 
46907         mIO_WORD
46908  :1;
46909
46910 8920 
46911         mIO_WORD
46912  :1;
46913
46914 8921 
46915         mIO_WORD
46916  :1;
46917
46918 8922 
46919         mIO_WORD
46920  :1;
46921
46922 8923 
46923         mIO_WORD
46924  :1;
46925
46926 8924 
46927         mIO_WORD
46928  :1;
46929
46930 8925 
46931 IO_WORD
46932  
46933         m_REN
46934  :1;
46935
46936 8926 
46937 IO_WORD
46938  
46939         m_TAGE
46940  :1;
46941
46942 8927 
46943 IO_WORD
46944  
46945         m_FLUSH
46946  :1;
46947
46948 8928 
46949 IO_WORD
46950  
46951         m_DBEN
46952  :1;
46953
46954 8929 
46955 IO_WORD
46956  
46957         m_PFEN
46958  :1;
46959
46960 8930 
46961 IO_WORD
46962  
46963         m_PFMC
46964  :1;
46965
46966 8931 
46967 IO_WORD
46968  
46969         m_LOCK
46970  :1;
46971
46972 8932 
46973 IO_WORD
46974  
46975         m_ENAB
46976  :1;
46977
46978 8933 
46979 IO_WORD
46980  
46981         m_SIZE1
46982  :1;
46983
46984 8934 
46985 IO_WORD
46986  
46987         m_SIZE0
46988  :1;
46989
46990 8935 }
46991         mb\99
46992 ;
46993
46994 8937 
46995         mIO_WORD
46996  :1;
46997
46998 8938 
46999         mIO_WORD
47000  :1;
47001
47002 8939 
47003         mIO_WORD
47004  :1;
47005
47006 8940 
47007         mIO_WORD
47008  :1;
47009
47010 8941 
47011         mIO_WORD
47012  :1;
47013
47014 8942 
47015         mIO_WORD
47016  :1;
47017
47018 8943 
47019         mIO_WORD
47020  :1;
47021
47022 8944 
47023         mIO_WORD
47024  :1;
47025
47026 8945 
47027         mIO_WORD
47028  :1;
47029
47030 8946 
47031         mIO_WORD
47032  :1;
47033
47034 8947 
47035         mIO_WORD
47036  :1;
47037
47038 8948 
47039         mIO_WORD
47040  :1;
47041
47042 8949 
47043         mIO_WORD
47044  :1;
47045
47046 8950 
47047         mIO_WORD
47048  :1;
47049
47050 8951 
47051 IO_WORD
47052  
47053         m_SIZE
47054  :2;
47055
47056 8952 }
47057         mb\99c
47058 ;
47059
47060 8953 }
47061         tFCHCRSTR
47062 ;
47063
47064 8955 
47065 IO_WORD
47066  
47067         mwÜd
47068 ;
47069
47070 8957 
47071 IO_WORD
47072  
47073         m_WTP1
47074  :1;
47075
47076 8958 
47077 IO_WORD
47078  
47079         m_WTP0
47080  :1;
47081
47082 8959 
47083 IO_WORD
47084  
47085         m_WEXH1
47086  :1;
47087
47088 8960 
47089 IO_WORD
47090  
47091         m_WEXH0
47092  :1;
47093
47094 8961 
47095 IO_WORD
47096  
47097         m_WTC3
47098  :1;
47099
47100 8962 
47101 IO_WORD
47102  
47103         m_WTC2
47104  :1;
47105
47106 8963 
47107 IO_WORD
47108  
47109         m_WTC1
47110  :1;
47111
47112 8964 
47113 IO_WORD
47114  
47115         m_WTC0
47116  :1;
47117
47118 8965 
47119 IO_WORD
47120  
47121         m_FRAM
47122  :1;
47123
47124 8966 
47125 IO_WORD
47126  
47127         m_ATD2
47128  :1;
47129
47130 8967 
47131 IO_WORD
47132  
47133         m_ATD1
47134  :1;
47135
47136 8968 
47137 IO_WORD
47138  
47139         m_ATD0
47140  :1;
47141
47142 8969 
47143 IO_WORD
47144  
47145         m_EQ3
47146  :1;
47147
47148 8970 
47149 IO_WORD
47150  
47151         m_EQ2
47152  :1;
47153
47154 8971 
47155 IO_WORD
47156  
47157         m_EQ1
47158  :1;
47159
47160 8972 
47161 IO_WORD
47162  
47163         m_EQ0
47164  :1;
47165
47166 8973 }
47167         mb\99
47168 ;
47169
47170 8975 
47171 IO_WORD
47172  
47173         m_WTP
47174  :2;
47175
47176 8976 
47177 IO_WORD
47178  
47179         m_WEXH
47180  :2;
47181
47182 8977 
47183 IO_WORD
47184  
47185         m_WTC
47186  :4;
47187
47188 8978 
47189         mIO_WORD
47190  :1;
47191
47192 8979 
47193 IO_WORD
47194  
47195         m_ATD
47196  :3;
47197
47198 8980 
47199 IO_WORD
47200  
47201         m_EQ
47202  :4;
47203
47204 8981 }
47205         mb\99c
47206 ;
47207
47208 8982 }
47209         tFMWTSTR
47210 ;
47211
47212 8984 
47213 IO_BYTE
47214  
47215         mby\8b
47216 ;
47217
47218 8986 
47219         mIO_BYTE
47220  :1;
47221
47222 8987 
47223 IO_BYTE
47224  
47225         m_ALEH2
47226  :1;
47227
47228 8988 
47229 IO_BYTE
47230  
47231         m_ALEH1
47232  :1;
47233
47234 8989 
47235 IO_BYTE
47236  
47237         m_ALEH0
47238  :1;
47239
47240 8990 
47241         mIO_BYTE
47242  :1;
47243
47244 8991 
47245         mIO_BYTE
47246  :1;
47247
47248 8992 
47249         mIO_BYTE
47250  :1;
47251
47252 8993 
47253         mIO_BYTE
47254  :1;
47255
47256 8994 }
47257         mb\99
47258 ;
47259
47260 8996 
47261         mIO_BYTE
47262  :1;
47263
47264 8997 
47265 IO_BYTE
47266  
47267         m_ALEH
47268  :3;
47269
47270 8998 }
47271         mb\99c
47272 ;
47273
47274 8999 }
47275         tFMWT2STR
47276 ;
47277
47278 9001 
47279 IO_BYTE
47280  
47281         mby\8b
47282 ;
47283
47284 9003 
47285         mIO_BYTE
47286  :1;
47287
47288 9004 
47289         mIO_BYTE
47290  :1;
47291
47292 9005 
47293         mIO_BYTE
47294  :1;
47295
47296 9006 
47297         mIO_BYTE
47298  :1;
47299
47300 9007 
47301         mIO_BYTE
47302  :1;
47303
47304 9008 
47305 IO_BYTE
47306  
47307         m_PS2
47308  :1;
47309
47310 9009 
47311 IO_BYTE
47312  
47313         m_PS1
47314  :1;
47315
47316 9010 
47317 IO_BYTE
47318  
47319         m_PS0
47320  :1;
47321
47322 9011 }
47323         mb\99
47324 ;
47325
47326 9013 
47327         mIO_BYTE
47328  :1;
47329
47330 9014 
47331         mIO_BYTE
47332  :1;
47333
47334 9015 
47335         mIO_BYTE
47336  :1;
47337
47338 9016 
47339         mIO_BYTE
47340  :1;
47341
47342 9017 
47343         mIO_BYTE
47344  :1;
47345
47346 9018 
47347 IO_BYTE
47348  
47349         m_PS
47350  :3;
47351
47352 9019 }
47353         mb\99c
47354 ;
47355
47356 9020 }
47357         tFMPSSTR
47358 ;
47359
47360 9022 
47361 IO_LWORD
47362  
47363         mlwÜd
47364 ;
47365
47366 9024 
47367 IO_LWORD
47368  
47369         m_CRC31
47370  :1;
47371
47372 9025 
47373 IO_LWORD
47374  
47375         m_CRC30
47376  :1;
47377
47378 9026 
47379 IO_LWORD
47380  
47381         m_CRC29
47382  :1;
47383
47384 9027 
47385 IO_LWORD
47386  
47387         m_CRC28
47388  :1;
47389
47390 9028 
47391 IO_LWORD
47392  
47393         m_CRC27
47394  :1;
47395
47396 9029 
47397 IO_LWORD
47398  
47399         m_CRC26
47400  :1;
47401
47402 9030 
47403 IO_LWORD
47404  
47405         m_CRC25
47406  :1;
47407
47408 9031 
47409 IO_LWORD
47410  
47411         m_CRC24
47412  :1;
47413
47414 9032 
47415 IO_LWORD
47416  
47417         m_CRC23
47418  :1;
47419
47420 9033 
47421 IO_LWORD
47422  
47423         m_CRC22
47424  :1;
47425
47426 9034 
47427 IO_LWORD
47428  
47429         m_CRC21
47430  :1;
47431
47432 9035 
47433 IO_LWORD
47434  
47435         m_CRC20
47436  :1;
47437
47438 9036 
47439 IO_LWORD
47440  
47441         m_CRC19
47442  :1;
47443
47444 9037 
47445 IO_LWORD
47446  
47447         m_CRC18
47448  :1;
47449
47450 9038 
47451 IO_LWORD
47452  
47453         m_CRC17
47454  :1;
47455
47456 9039 
47457 IO_LWORD
47458  
47459         m_CRC16
47460  :1;
47461
47462 9040 
47463 IO_LWORD
47464  
47465         m_CRC15
47466  :1;
47467
47468 9041 
47469 IO_LWORD
47470  
47471         m_CRC14
47472  :1;
47473
47474 9042 
47475 IO_LWORD
47476  
47477         m_CRC13
47478  :1;
47479
47480 9043 
47481 IO_LWORD
47482  
47483         m_CRC12
47484  :1;
47485
47486 9044 
47487 IO_LWORD
47488  
47489         m_CRC11
47490  :1;
47491
47492 9045 
47493 IO_LWORD
47494  
47495         m_CRC10
47496  :1;
47497
47498 9046 
47499 IO_LWORD
47500  
47501         m_CRC9
47502  :1;
47503
47504 9047 
47505 IO_LWORD
47506  
47507         m_CRC8
47508  :1;
47509
47510 9048 
47511 IO_LWORD
47512  
47513         m_CRC7
47514  :1;
47515
47516 9049 
47517 IO_LWORD
47518  
47519         m_CRC6
47520  :1;
47521
47522 9050 
47523 IO_LWORD
47524  
47525         m_CRC5
47526  :1;
47527
47528 9051 
47529 IO_LWORD
47530  
47531         m_CRC4
47532  :1;
47533
47534 9052 
47535 IO_LWORD
47536  
47537         m_CRC3
47538  :1;
47539
47540 9053 
47541 IO_LWORD
47542  
47543         m_CRC2
47544  :1;
47545
47546 9054 
47547 IO_LWORD
47548  
47549         m_CRC1
47550  :1;
47551
47552 9055 
47553 IO_LWORD
47554  
47555         m_CRC0
47556  :1;
47557
47558 9056 }
47559         mb\99
47560 ;
47561
47562 9057 }
47563         tFSCR0STR
47564 ;
47565
47566 9059 
47567 IO_LWORD
47568  
47569         mlwÜd
47570 ;
47571
47572 9061 
47573         mIO_LWORD
47574  :1;
47575
47576 9062 
47577         mIO_LWORD
47578  :1;
47579
47580 9063 
47581         mIO_LWORD
47582  :1;
47583
47584 9064 
47585         mIO_LWORD
47586  :1;
47587
47588 9065 
47589         mIO_LWORD
47590  :1;
47591
47592 9066 
47593         mIO_LWORD
47594  :1;
47595
47596 9067 
47597         mIO_LWORD
47598  :1;
47599
47600 9068 
47601 IO_LWORD
47602  
47603         m_RDY
47604  :1;
47605
47606 9069 
47607         mIO_LWORD
47608  :1;
47609
47610 9070 
47611         mIO_LWORD
47612  :1;
47613
47614 9071 
47615         mIO_LWORD
47616  :1;
47617
47618 9072 
47619         mIO_LWORD
47620  :1;
47621
47622 9073 
47623 IO_LWORD
47624  
47625         m_CSZ3
47626  :1;
47627
47628 9074 
47629 IO_LWORD
47630  
47631         m_CSZ2
47632  :1;
47633
47634 9075 
47635 IO_LWORD
47636  
47637         m_CSZ1
47638  :1;
47639
47640 9076 
47641 IO_LWORD
47642  
47643         m_CSZ0
47644  :1;
47645
47646 9077 
47647 IO_LWORD
47648  
47649         m_CSA15
47650  :1;
47651
47652 9078 
47653 IO_LWORD
47654  
47655         m_CSA14
47656  :1;
47657
47658 9079 
47659 IO_LWORD
47660  
47661         m_CSA13
47662  :1;
47663
47664 9080 
47665 IO_LWORD
47666  
47667         m_CSA12
47668  :1;
47669
47670 9081 
47671 IO_LWORD
47672  
47673         m_CSA11
47674  :1;
47675
47676 9082 
47677 IO_LWORD
47678  
47679         m_CSA10
47680  :1;
47681
47682 9083 
47683 IO_LWORD
47684  
47685         m_CSA9
47686  :1;
47687
47688 9084 
47689 IO_LWORD
47690  
47691         m_CSA8
47692  :1;
47693
47694 9085 
47695 IO_LWORD
47696  
47697         m_CSA7
47698  :1;
47699
47700 9086 
47701 IO_LWORD
47702  
47703         m_CSA6
47704  :1;
47705
47706 9087 
47707 IO_LWORD
47708  
47709         m_CSA5
47710  :1;
47711
47712 9088 
47713 IO_LWORD
47714  
47715         m_CSA4
47716  :1;
47717
47718 9089 
47719 IO_LWORD
47720  
47721         m_CSA3
47722  :1;
47723
47724 9090 
47725 IO_LWORD
47726  
47727         m_CSA2
47728  :1;
47729
47730 9091 
47731 IO_LWORD
47732  
47733         m_CSA1
47734  :1;
47735
47736 9092 
47737 IO_LWORD
47738  
47739         m_CSA0
47740  :1;
47741
47742 9093 }
47743         mb\99
47744 ;
47745
47746 9095 
47747         mIO_LWORD
47748  :1;
47749
47750 9096 
47751         mIO_LWORD
47752  :1;
47753
47754 9097 
47755         mIO_LWORD
47756  :1;
47757
47758 9098 
47759         mIO_LWORD
47760  :1;
47761
47762 9099 
47763         mIO_LWORD
47764  :1;
47765
47766 9100 
47767         mIO_LWORD
47768  :1;
47769
47770 9101 
47771         mIO_LWORD
47772  :1;
47773
47774 9102 
47775         mIO_LWORD
47776  :1;
47777
47778 9103 
47779         mIO_LWORD
47780  :1;
47781
47782 9104 
47783         mIO_LWORD
47784  :1;
47785
47786 9105 
47787         mIO_LWORD
47788  :1;
47789
47790 9106 
47791         mIO_LWORD
47792  :1;
47793
47794 9107 
47795 IO_LWORD
47796  
47797         m_CSZ
47798  :4;
47799
47800 9108 }
47801         mb\99c
47802 ;
47803
47804 9109 }
47805         tFSCR1STR
47806 ;
47807
47808 9111 
47809 IO_WORD
47810  
47811         mwÜd
47812 ;
47813
47814 9113 
47815         mIO_WORD
47816  :1;
47817
47818 9114 
47819         mIO_WORD
47820  :1;
47821
47822 9115 
47823         mIO_WORD
47824  :1;
47825
47826 9116 
47827         mIO_WORD
47828  :1;
47829
47830 9117 
47831         mIO_WORD
47832  :1;
47833
47834 9118 
47835         mIO_WORD
47836  :1;
47837
47838 9119 
47839         mIO_WORD
47840  :1;
47841
47842 9120 
47843         mIO_WORD
47844  :1;
47845
47846 9121 
47847 IO_WORD
47848  
47849         m_Te¡
47850  :1;
47851
47852 9122 
47853 IO_WORD
47854  
47855         m_CCE
47856  :1;
47857
47858 9123 
47859 IO_WORD
47860  
47861         m_DAR
47862  :1;
47863
47864 9124 
47865         mIO_WORD
47866  :1;
47867
47868 9125 
47869 IO_WORD
47870  
47871         m_EIE
47872  :1;
47873
47874 9126 
47875 IO_WORD
47876  
47877         m_SIE
47878  :1;
47879
47880 9127 
47881 IO_WORD
47882  
47883         m_IE
47884  :1;
47885
47886 9128 
47887 IO_WORD
47888  
47889         m_In\99
47890  :1;
47891
47892 9129 }
47893         mb\99
47894 ;
47895
47896 9130 }
47897         tCTRLR4STR
47898 ;
47899
47900 9132 
47901 IO_WORD
47902  
47903         mwÜd
47904 ;
47905
47906 9134 
47907         mIO_WORD
47908  :1;
47909
47910 9135 
47911         mIO_WORD
47912  :1;
47913
47914 9136 
47915         mIO_WORD
47916  :1;
47917
47918 9137 
47919         mIO_WORD
47920  :1;
47921
47922 9138 
47923         mIO_WORD
47924  :1;
47925
47926 9139 
47927         mIO_WORD
47928  :1;
47929
47930 9140 
47931         mIO_WORD
47932  :1;
47933
47934 9141 
47935         mIO_WORD
47936  :1;
47937
47938 9142 
47939 IO_WORD
47940  
47941         m_BOff
47942  :1;
47943
47944 9143 
47945 IO_WORD
47946  
47947         m_EW¬n
47948  :1;
47949
47950 9144 
47951 IO_WORD
47952  
47953         m_EPass
47954  :1;
47955
47956 9145 
47957 IO_WORD
47958  
47959         m_RxOK
47960  :1;
47961
47962 9146 
47963 IO_WORD
47964  
47965         m_TxOK
47966  :1;
47967
47968 9147 
47969 IO_WORD
47970  
47971         m_LEC2
47972  :1;
47973
47974 9148 
47975 IO_WORD
47976  
47977         m_LEC1
47978  :1;
47979
47980 9149 
47981 IO_WORD
47982  
47983         m_LEC0
47984  :1;
47985
47986 9150 }
47987         mb\99
47988 ;
47989
47990 9152 
47991         mIO_WORD
47992  :1;
47993
47994 9153 
47995         mIO_WORD
47996  :1;
47997
47998 9154 
47999         mIO_WORD
48000  :1;
48001
48002 9155 
48003         mIO_WORD
48004  :1;
48005
48006 9156 
48007         mIO_WORD
48008  :1;
48009
48010 9157 
48011         mIO_WORD
48012  :1;
48013
48014 9158 
48015         mIO_WORD
48016  :1;
48017
48018 9159 
48019         mIO_WORD
48020  :1;
48021
48022 9160 
48023         mIO_WORD
48024  :1;
48025
48026 9161 
48027         mIO_WORD
48028  :1;
48029
48030 9162 
48031         mIO_WORD
48032  :1;
48033
48034 9163 
48035         mIO_WORD
48036  :1;
48037
48038 9164 
48039         mIO_WORD
48040  :1;
48041
48042 9165 
48043 IO_WORD
48044  
48045         m_LEC
48046  :3;
48047
48048 9166 }
48049         mb\99c
48050 ;
48051
48052 9167 }
48053         tSTATR4STR
48054 ;
48055
48056 9169 
48057 IO_WORD
48058  
48059         mwÜd
48060 ;
48061
48062 9171 
48063 IO_WORD
48064  
48065         m_RP
48066  :1;
48067
48068 9172 
48069 IO_WORD
48070  
48071         m_REC6
48072  :1;
48073
48074 9173 
48075 IO_WORD
48076  
48077         m_REC5
48078  :1;
48079
48080 9174 
48081 IO_WORD
48082  
48083         m_REC4
48084  :1;
48085
48086 9175 
48087 IO_WORD
48088  
48089         m_REC3
48090  :1;
48091
48092 9176 
48093 IO_WORD
48094  
48095         m_REC2
48096  :1;
48097
48098 9177 
48099 IO_WORD
48100  
48101         m_REC1
48102  :1;
48103
48104 9178 
48105 IO_WORD
48106  
48107         m_REC0
48108  :1;
48109
48110 9179 
48111 IO_WORD
48112  
48113         m_TEC7
48114  :1;
48115
48116 9180 
48117 IO_WORD
48118  
48119         m_TEC6
48120  :1;
48121
48122 9181 
48123 IO_WORD
48124  
48125         m_TEC5
48126  :1;
48127
48128 9182 
48129 IO_WORD
48130  
48131         m_TEC4
48132  :1;
48133
48134 9183 
48135 IO_WORD
48136  
48137         m_TEC3
48138  :1;
48139
48140 9184 
48141 IO_WORD
48142  
48143         m_TEC2
48144  :1;
48145
48146 9185 
48147 IO_WORD
48148  
48149         m_TEC1
48150  :1;
48151
48152 9186 
48153 IO_WORD
48154  
48155         m_TEC0
48156  :1;
48157
48158 9187 }
48159         mb\99
48160 ;
48161
48162 9189 
48163         mIO_WORD
48164  :1;
48165
48166 9190 
48167 IO_WORD
48168  
48169         m_REC
48170  :7;
48171
48172 9191 
48173 IO_WORD
48174  
48175         m_TEC
48176  :8;
48177
48178 9192 }
48179         mb\99c
48180 ;
48181
48182 9193 }
48183         tERRCNT4STR
48184 ;
48185
48186 9195 
48187 IO_WORD
48188  
48189         mwÜd
48190 ;
48191
48192 9197 
48193         mIO_WORD
48194  :1;
48195
48196 9198 
48197 IO_WORD
48198  
48199         m_T£g22
48200  :1;
48201
48202 9199 
48203 IO_WORD
48204  
48205         m_T£g21
48206  :1;
48207
48208 9200 
48209 IO_WORD
48210  
48211         m_T£g20
48212  :1;
48213
48214 9201 
48215 IO_WORD
48216  
48217         m_T£g13
48218  :1;
48219
48220 9202 
48221 IO_WORD
48222  
48223         m_T£g12
48224  :1;
48225
48226 9203 
48227 IO_WORD
48228  
48229         m_T£g11
48230  :1;
48231
48232 9204 
48233 IO_WORD
48234  
48235         m_T£g10
48236  :1;
48237
48238 9205 
48239 IO_WORD
48240  
48241         m_SJW1
48242  :1;
48243
48244 9206 
48245 IO_WORD
48246  
48247         m_SJW0
48248  :1;
48249
48250 9207 
48251 IO_WORD
48252  
48253         m_BRP5
48254  :1;
48255
48256 9208 
48257 IO_WORD
48258  
48259         m_BRP4
48260  :1;
48261
48262 9209 
48263 IO_WORD
48264  
48265         m_BRP3
48266  :1;
48267
48268 9210 
48269 IO_WORD
48270  
48271         m_BRP2
48272  :1;
48273
48274 9211 
48275 IO_WORD
48276  
48277         m_BRP1
48278  :1;
48279
48280 9212 
48281 IO_WORD
48282  
48283         m_BRP0
48284  :1;
48285
48286 9213 }
48287         mb\99
48288 ;
48289
48290 9215 
48291         mIO_WORD
48292  :1;
48293
48294 9216 
48295 IO_WORD
48296  
48297         m_T£g2
48298  :3;
48299
48300 9217 
48301 IO_WORD
48302  
48303         m_T£g1
48304  :4;
48305
48306 9218 
48307 IO_WORD
48308  
48309         m_SJW
48310  :2;
48311
48312 9219 
48313 IO_WORD
48314  
48315         m_BRP
48316  :6;
48317
48318 9220 }
48319         mb\99c
48320 ;
48321
48322 9221 }
48323         tBTR4STR
48324 ;
48325
48326 9223 
48327 IO_WORD
48328  
48329         mwÜd
48330 ;
48331
48332 9225 
48333         mIO_WORD
48334  :1;
48335
48336 9226 
48337         mIO_WORD
48338  :1;
48339
48340 9227 
48341         mIO_WORD
48342  :1;
48343
48344 9228 
48345         mIO_WORD
48346  :1;
48347
48348 9229 
48349         mIO_WORD
48350  :1;
48351
48352 9230 
48353         mIO_WORD
48354  :1;
48355
48356 9231 
48357         mIO_WORD
48358  :1;
48359
48360 9232 
48361         mIO_WORD
48362  :1;
48363
48364 9233 
48365 IO_WORD
48366  
48367         m_Rx
48368  :1;
48369
48370 9234 
48371 IO_WORD
48372  
48373         m_Tx1
48374  :1;
48375
48376 9235 
48377 IO_WORD
48378  
48379         m_Tx0
48380  :1;
48381
48382 9236 
48383 IO_WORD
48384  
48385         m_LBack
48386  :1;
48387
48388 9237 
48389 IO_WORD
48390  
48391         m_S\9e\92t
48392  :1;
48393
48394 9238 
48395 IO_WORD
48396  
48397         m_Basic
48398  :1;
48399
48400 9239 
48401         mIO_WORD
48402  :1;
48403
48404 9240 
48405         mIO_WORD
48406  :1;
48407
48408 9241 }
48409         mb\99
48410 ;
48411
48412 9243 
48413         mIO_WORD
48414  :1;
48415
48416 9244 
48417         mIO_WORD
48418  :1;
48419
48420 9245 
48421         mIO_WORD
48422  :1;
48423
48424 9246 
48425         mIO_WORD
48426  :1;
48427
48428 9247 
48429         mIO_WORD
48430  :1;
48431
48432 9248 
48433         mIO_WORD
48434  :1;
48435
48436 9249 
48437         mIO_WORD
48438  :1;
48439
48440 9250 
48441         mIO_WORD
48442  :1;
48443
48444 9251 
48445         mIO_WORD
48446  :1;
48447
48448 9252 
48449 IO_WORD
48450  
48451         m_Tx
48452  :2;
48453
48454 9253 }
48455         mb\99c
48456 ;
48457
48458 9254 }
48459         tTESTR4STR
48460 ;
48461
48462 9256 
48463 IO_WORD
48464  
48465         mwÜd
48466 ;
48467
48468 9258 
48469         mIO_WORD
48470  :1;
48471
48472 9259 
48473         mIO_WORD
48474  :1;
48475
48476 9260 
48477         mIO_WORD
48478  :1;
48479
48480 9261 
48481         mIO_WORD
48482  :1;
48483
48484 9262 
48485         mIO_WORD
48486  :1;
48487
48488 9263 
48489         mIO_WORD
48490  :1;
48491
48492 9264 
48493         mIO_WORD
48494  :1;
48495
48496 9265 
48497         mIO_WORD
48498  :1;
48499
48500 9266 
48501         mIO_WORD
48502  :1;
48503
48504 9267 
48505         mIO_WORD
48506  :1;
48507
48508 9268 
48509         mIO_WORD
48510  :1;
48511
48512 9269 
48513         mIO_WORD
48514  :1;
48515
48516 9270 
48517 IO_WORD
48518  
48519         m_BRPE3
48520  :1;
48521
48522 9271 
48523 IO_WORD
48524  
48525         m_BRPE2
48526  :1;
48527
48528 9272 
48529 IO_WORD
48530  
48531         m_BRPE1
48532  :1;
48533
48534 9273 
48535 IO_WORD
48536  
48537         m_BRPE0
48538  :1;
48539
48540 9274 }
48541         mb\99
48542 ;
48543
48544 9276 
48545         mIO_WORD
48546  :1;
48547
48548 9277 
48549         mIO_WORD
48550  :1;
48551
48552 9278 
48553         mIO_WORD
48554  :1;
48555
48556 9279 
48557         mIO_WORD
48558  :1;
48559
48560 9280 
48561         mIO_WORD
48562  :1;
48563
48564 9281 
48565         mIO_WORD
48566  :1;
48567
48568 9282 
48569         mIO_WORD
48570  :1;
48571
48572 9283 
48573         mIO_WORD
48574  :1;
48575
48576 9284 
48577         mIO_WORD
48578  :1;
48579
48580 9285 
48581         mIO_WORD
48582  :1;
48583
48584 9286 
48585         mIO_WORD
48586  :1;
48587
48588 9287 
48589         mIO_WORD
48590  :1;
48591
48592 9288 
48593 IO_WORD
48594  
48595         m_BRPE
48596  :4;
48597
48598 9289 }
48599         mb\99c
48600 ;
48601
48602 9290 }
48603         tBRPER4STR
48604 ;
48605
48606 9292 
48607 IO_WORD
48608  
48609         mwÜd
48610 ;
48611
48612 9294 
48613         mIO_WORD
48614  :1;
48615
48616 9295 
48617         mIO_WORD
48618  :1;
48619
48620 9296 
48621         mIO_WORD
48622  :1;
48623
48624 9297 
48625         mIO_WORD
48626  :1;
48627
48628 9298 
48629         mIO_WORD
48630  :1;
48631
48632 9299 
48633         mIO_WORD
48634  :1;
48635
48636 9300 
48637         mIO_WORD
48638  :1;
48639
48640 9301 
48641         mIO_WORD
48642  :1;
48643
48644 9302 
48645         mIO_WORD
48646  :1;
48647
48648 9303 
48649         mIO_WORD
48650  :1;
48651
48652 9304 
48653         mIO_WORD
48654  :1;
48655
48656 9305 
48657         mIO_WORD
48658  :1;
48659
48660 9306 
48661         mIO_WORD
48662  :1;
48663
48664 9307 
48665         mIO_WORD
48666  :1;
48667
48668 9308 
48669         mIO_WORD
48670  :1;
48671
48672 9309 
48673         mIO_WORD
48674  :1;
48675
48676 9310 }
48677         mb\99
48678 ;
48679
48680 9311 }
48681         tBRPE4STR
48682 ;
48683
48684 9313 
48685 IO_WORD
48686  
48687         mwÜd
48688 ;
48689
48690 9315 
48691 IO_WORD
48692  
48693         m_Busy
48694  :1;
48695
48696 9316 
48697         mIO_WORD
48698  :1;
48699
48700 9317 
48701         mIO_WORD
48702  :1;
48703
48704 9318 
48705         mIO_WORD
48706  :1;
48707
48708 9319 
48709         mIO_WORD
48710  :1;
48711
48712 9320 
48713         mIO_WORD
48714  :1;
48715
48716 9321 
48717         mIO_WORD
48718  :1;
48719
48720 9322 
48721         mIO_WORD
48722  :1;
48723
48724 9323 
48725         mIO_WORD
48726  :1;
48727
48728 9324 
48729         mIO_WORD
48730  :1;
48731
48732 9325 
48733 IO_WORD
48734  
48735         m_MN5
48736  :1;
48737
48738 9326 
48739 IO_WORD
48740  
48741         m_MN4
48742  :1;
48743
48744 9327 
48745 IO_WORD
48746  
48747         m_MN3
48748  :1;
48749
48750 9328 
48751 IO_WORD
48752  
48753         m_MN2
48754  :1;
48755
48756 9329 
48757 IO_WORD
48758  
48759         m_MN1
48760  :1;
48761
48762 9330 
48763 IO_WORD
48764  
48765         m_MN0
48766  :1;
48767
48768 9331 }
48769         mb\99
48770 ;
48771
48772 9333 
48773         mIO_WORD
48774  :1;
48775
48776 9334 
48777         mIO_WORD
48778  :1;
48779
48780 9335 
48781         mIO_WORD
48782  :1;
48783
48784 9336 
48785         mIO_WORD
48786  :1;
48787
48788 9337 
48789         mIO_WORD
48790  :1;
48791
48792 9338 
48793         mIO_WORD
48794  :1;
48795
48796 9339 
48797         mIO_WORD
48798  :1;
48799
48800 9340 
48801         mIO_WORD
48802  :1;
48803
48804 9341 
48805         mIO_WORD
48806  :1;
48807
48808 9342 
48809         mIO_WORD
48810  :1;
48811
48812 9343 
48813 IO_WORD
48814  
48815         m_MN
48816  :6;
48817
48818 9344 }
48819         mb\99c
48820 ;
48821
48822 9345 }
48823         tIF1CREQ4STR
48824 ;
48825
48826 9347 
48827 IO_WORD
48828  
48829         mwÜd
48830 ;
48831
48832 9349 
48833         mIO_WORD
48834  :1;
48835
48836 9350 
48837         mIO_WORD
48838  :1;
48839
48840 9351 
48841         mIO_WORD
48842  :1;
48843
48844 9352 
48845         mIO_WORD
48846  :1;
48847
48848 9353 
48849         mIO_WORD
48850  :1;
48851
48852 9354 
48853         mIO_WORD
48854  :1;
48855
48856 9355 
48857         mIO_WORD
48858  :1;
48859
48860 9356 
48861         mIO_WORD
48862  :1;
48863
48864 9357 
48865 IO_WORD
48866  
48867         m_WR
48868  :1;
48869
48870 9358 
48871 IO_WORD
48872  
48873         m_Mask
48874  :1;
48875
48876 9359 
48877 IO_WORD
48878  
48879         m_Arb
48880  :1;
48881
48882 9360 
48883 IO_WORD
48884  
48885         m_CÚ\8cÞ
48886  :1;
48887
48888 9361 
48889 IO_WORD
48890  
48891         m_CIP
48892  :1;
48893
48894 9362 
48895 IO_WORD
48896  
48897         m_TxReq
48898  :1;
48899
48900 9363 
48901 IO_WORD
48902  
48903         m_D©aA
48904  :1;
48905
48906 9364 
48907 IO_WORD
48908  
48909         m_D©aB
48910  :1;
48911
48912 9365 }
48913         mb\99
48914 ;
48915
48916 9366 }
48917         tIF1CMSK4STR
48918 ;
48919
48920 9368 
48921 IO_WORD
48922  
48923         mwÜd
48924 ;
48925
48926 9370 
48927 IO_WORD
48928  
48929         m_MXtd
48930  :1;
48931
48932 9371 
48933 IO_WORD
48934  
48935         m_MD\9c
48936  :1;
48937
48938 9372 
48939         mIO_WORD
48940  :1;
48941
48942 9373 
48943         mIO_WORD
48944  :1;
48945
48946 9374 
48947         mIO_WORD
48948  :1;
48949
48950 9375 
48951         mIO_WORD
48952  :1;
48953
48954 9376 
48955         mIO_WORD
48956  :1;
48957
48958 9377 
48959         mIO_WORD
48960  :1;
48961
48962 9378 
48963         mIO_WORD
48964  :1;
48965
48966 9379 
48967         mIO_WORD
48968  :1;
48969
48970 9380 
48971         mIO_WORD
48972  :1;
48973
48974 9381 
48975         mIO_WORD
48976  :1;
48977
48978 9382 
48979         mIO_WORD
48980  :1;
48981
48982 9383 
48983         mIO_WORD
48984  :1;
48985
48986 9384 
48987         mIO_WORD
48988  :1;
48989
48990 9385 
48991         mIO_WORD
48992  :1;
48993
48994 9386 }
48995         mb\99
48996 ;
48997
48998 9387 }
48999         tIF1MSK24STR
49000 ;
49001
49002 9389 
49003 IO_WORD
49004  
49005         mwÜd
49006 ;
49007
49008 9391 
49009 IO_WORD
49010  
49011         m_MsgV®
49012  :1;
49013
49014 9392 
49015 IO_WORD
49016  
49017         m_Xtd
49018  :1;
49019
49020 9393 
49021 IO_WORD
49022  
49023         m_DIR
49024  :1;
49025
49026 9394 
49027         mIO_WORD
49028  :1;
49029
49030 9395 
49031         mIO_WORD
49032  :1;
49033
49034 9396 
49035         mIO_WORD
49036  :1;
49037
49038 9397 
49039         mIO_WORD
49040  :1;
49041
49042 9398 
49043         mIO_WORD
49044  :1;
49045
49046 9399 
49047         mIO_WORD
49048  :1;
49049
49050 9400 
49051         mIO_WORD
49052  :1;
49053
49054 9401 
49055         mIO_WORD
49056  :1;
49057
49058 9402 
49059         mIO_WORD
49060  :1;
49061
49062 9403 
49063         mIO_WORD
49064  :1;
49065
49066 9404 
49067         mIO_WORD
49068  :1;
49069
49070 9405 
49071         mIO_WORD
49072  :1;
49073
49074 9406 
49075         mIO_WORD
49076  :1;
49077
49078 9407 }
49079         mb\99
49080 ;
49081
49082 9408 }
49083         tIF1ARB24STR
49084 ;
49085
49086 9410 
49087 IO_WORD
49088  
49089         mwÜd
49090 ;
49091
49092 9412 
49093 IO_WORD
49094  
49095         m_NewD©
49096  :1;
49097
49098 9413 
49099 IO_WORD
49100  
49101         m_MsgL¡
49102  :1;
49103
49104 9414 
49105 IO_WORD
49106  
49107         m_IÁPnd
49108  :1;
49109
49110 9415 
49111 IO_WORD
49112  
49113         m_UMask
49114  :1;
49115
49116 9416 
49117 IO_WORD
49118  
49119         m_TxIE
49120  :1;
49121
49122 9417 
49123 IO_WORD
49124  
49125         m_RxIE
49126  :1;
49127
49128 9418 
49129 IO_WORD
49130  
49131         m_RmtEn
49132  :1;
49133
49134 9419 
49135 IO_WORD
49136  
49137         m_TxRq¡
49138  :1;
49139
49140 9420 
49141 IO_WORD
49142  
49143         m_EoB
49144  :1;
49145
49146 9421 
49147         mIO_WORD
49148  :1;
49149
49150 9422 
49151         mIO_WORD
49152  :1;
49153
49154 9423 
49155         mIO_WORD
49156  :1;
49157
49158 9424 
49159 IO_WORD
49160  
49161         m_DLC3
49162  :1;
49163
49164 9425 
49165 IO_WORD
49166  
49167         m_DLC2
49168  :1;
49169
49170 9426 
49171 IO_WORD
49172  
49173         m_DLC1
49174  :1;
49175
49176 9427 
49177 IO_WORD
49178  
49179         m_DLC0
49180  :1;
49181
49182 9428 }
49183         mb\99
49184 ;
49185
49186 9430 
49187         mIO_WORD
49188  :1;
49189
49190 9431 
49191         mIO_WORD
49192  :1;
49193
49194 9432 
49195         mIO_WORD
49196  :1;
49197
49198 9433 
49199         mIO_WORD
49200  :1;
49201
49202 9434 
49203         mIO_WORD
49204  :1;
49205
49206 9435 
49207         mIO_WORD
49208  :1;
49209
49210 9436 
49211         mIO_WORD
49212  :1;
49213
49214 9437 
49215         mIO_WORD
49216  :1;
49217
49218 9438 
49219         mIO_WORD
49220  :1;
49221
49222 9439 
49223         mIO_WORD
49224  :1;
49225
49226 9440 
49227         mIO_WORD
49228  :1;
49229
49230 9441 
49231         mIO_WORD
49232  :1;
49233
49234 9442 
49235 IO_WORD
49236  
49237         m_DLC
49238  :4;
49239
49240 9443 }
49241         mb\99c
49242 ;
49243
49244 9444 }
49245         tIF1MCTR4STR
49246 ;
49247
49248 9446 
49249 IO_WORD
49250  
49251         mwÜd
49252 ;
49253
49254 9448 
49255 IO_WORD
49256  
49257         m_Busy
49258  :1;
49259
49260 9449 
49261         mIO_WORD
49262  :1;
49263
49264 9450 
49265         mIO_WORD
49266  :1;
49267
49268 9451 
49269         mIO_WORD
49270  :1;
49271
49272 9452 
49273         mIO_WORD
49274  :1;
49275
49276 9453 
49277         mIO_WORD
49278  :1;
49279
49280 9454 
49281         mIO_WORD
49282  :1;
49283
49284 9455 
49285         mIO_WORD
49286  :1;
49287
49288 9456 
49289         mIO_WORD
49290  :1;
49291
49292 9457 
49293         mIO_WORD
49294  :1;
49295
49296 9458 
49297 IO_WORD
49298  
49299         m_MN5
49300  :1;
49301
49302 9459 
49303 IO_WORD
49304  
49305         m_MN4
49306  :1;
49307
49308 9460 
49309 IO_WORD
49310  
49311         m_MN3
49312  :1;
49313
49314 9461 
49315 IO_WORD
49316  
49317         m_MN2
49318  :1;
49319
49320 9462 
49321 IO_WORD
49322  
49323         m_MN1
49324  :1;
49325
49326 9463 
49327 IO_WORD
49328  
49329         m_MN0
49330  :1;
49331
49332 9464 }
49333         mb\99
49334 ;
49335
49336 9466 
49337         mIO_WORD
49338  :1;
49339
49340 9467 
49341         mIO_WORD
49342  :1;
49343
49344 9468 
49345         mIO_WORD
49346  :1;
49347
49348 9469 
49349         mIO_WORD
49350  :1;
49351
49352 9470 
49353         mIO_WORD
49354  :1;
49355
49356 9471 
49357         mIO_WORD
49358  :1;
49359
49360 9472 
49361         mIO_WORD
49362  :1;
49363
49364 9473 
49365         mIO_WORD
49366  :1;
49367
49368 9474 
49369         mIO_WORD
49370  :1;
49371
49372 9475 
49373         mIO_WORD
49374  :1;
49375
49376 9476 
49377 IO_WORD
49378  
49379         m_MN
49380  :6;
49381
49382 9477 }
49383         mb\99c
49384 ;
49385
49386 9478 }
49387         tIF2CREQ4STR
49388 ;
49389
49390 9480 
49391 IO_WORD
49392  
49393         mwÜd
49394 ;
49395
49396 9482 
49397         mIO_WORD
49398  :1;
49399
49400 9483 
49401         mIO_WORD
49402  :1;
49403
49404 9484 
49405         mIO_WORD
49406  :1;
49407
49408 9485 
49409         mIO_WORD
49410  :1;
49411
49412 9486 
49413         mIO_WORD
49414  :1;
49415
49416 9487 
49417         mIO_WORD
49418  :1;
49419
49420 9488 
49421         mIO_WORD
49422  :1;
49423
49424 9489 
49425         mIO_WORD
49426  :1;
49427
49428 9490 
49429 IO_WORD
49430  
49431         m_WR
49432  :1;
49433
49434 9491 
49435 IO_WORD
49436  
49437         m_Mask
49438  :1;
49439
49440 9492 
49441 IO_WORD
49442  
49443         m_Arb
49444  :1;
49445
49446 9493 
49447 IO_WORD
49448  
49449         m_CÚ\8cÞ
49450  :1;
49451
49452 9494 
49453 IO_WORD
49454  
49455         m_CIP
49456  :1;
49457
49458 9495 
49459 IO_WORD
49460  
49461         m_TxReq
49462  :1;
49463
49464 9496 
49465 IO_WORD
49466  
49467         m_D©aA
49468  :1;
49469
49470 9497 
49471 IO_WORD
49472  
49473         m_D©aB
49474  :1;
49475
49476 9498 }
49477         mb\99
49478 ;
49479
49480 9499 }
49481         tIF2CMSK4STR
49482 ;
49483
49484 9501 
49485 IO_WORD
49486  
49487         mwÜd
49488 ;
49489
49490 9503 
49491 IO_WORD
49492  
49493         m_MXtd
49494  :1;
49495
49496 9504 
49497 IO_WORD
49498  
49499         m_MD\9c
49500  :1;
49501
49502 9505 
49503         mIO_WORD
49504  :1;
49505
49506 9506 
49507         mIO_WORD
49508  :1;
49509
49510 9507 
49511         mIO_WORD
49512  :1;
49513
49514 9508 
49515         mIO_WORD
49516  :1;
49517
49518 9509 
49519         mIO_WORD
49520  :1;
49521
49522 9510 
49523         mIO_WORD
49524  :1;
49525
49526 9511 
49527         mIO_WORD
49528  :1;
49529
49530 9512 
49531         mIO_WORD
49532  :1;
49533
49534 9513 
49535         mIO_WORD
49536  :1;
49537
49538 9514 
49539         mIO_WORD
49540  :1;
49541
49542 9515 
49543         mIO_WORD
49544  :1;
49545
49546 9516 
49547         mIO_WORD
49548  :1;
49549
49550 9517 
49551         mIO_WORD
49552  :1;
49553
49554 9518 
49555         mIO_WORD
49556  :1;
49557
49558 9519 }
49559         mb\99
49560 ;
49561
49562 9520 }
49563         tIF2MSK24STR
49564 ;
49565
49566 9522 
49567 IO_WORD
49568  
49569         mwÜd
49570 ;
49571
49572 9524 
49573 IO_WORD
49574  
49575         m_MsgV®
49576  :1;
49577
49578 9525 
49579 IO_WORD
49580  
49581         m_Xtd
49582  :1;
49583
49584 9526 
49585 IO_WORD
49586  
49587         m_DIR
49588  :1;
49589
49590 9527 
49591         mIO_WORD
49592  :1;
49593
49594 9528 
49595         mIO_WORD
49596  :1;
49597
49598 9529 
49599         mIO_WORD
49600  :1;
49601
49602 9530 
49603         mIO_WORD
49604  :1;
49605
49606 9531 
49607         mIO_WORD
49608  :1;
49609
49610 9532 
49611         mIO_WORD
49612  :1;
49613
49614 9533 
49615         mIO_WORD
49616  :1;
49617
49618 9534 
49619         mIO_WORD
49620  :1;
49621
49622 9535 
49623         mIO_WORD
49624  :1;
49625
49626 9536 
49627         mIO_WORD
49628  :1;
49629
49630 9537 
49631         mIO_WORD
49632  :1;
49633
49634 9538 
49635         mIO_WORD
49636  :1;
49637
49638 9539 
49639         mIO_WORD
49640  :1;
49641
49642 9540 }
49643         mb\99
49644 ;
49645
49646 9541 }
49647         tIF2ARB24STR
49648 ;
49649
49650 9543 
49651 IO_WORD
49652  
49653         mwÜd
49654 ;
49655
49656 9545 
49657 IO_WORD
49658  
49659         m_NewD©
49660  :1;
49661
49662 9546 
49663 IO_WORD
49664  
49665         m_MsgL¡
49666  :1;
49667
49668 9547 
49669 IO_WORD
49670  
49671         m_IÁPnd
49672  :1;
49673
49674 9548 
49675 IO_WORD
49676  
49677         m_UMask
49678  :1;
49679
49680 9549 
49681 IO_WORD
49682  
49683         m_TxIE
49684  :1;
49685
49686 9550 
49687 IO_WORD
49688  
49689         m_RxIE
49690  :1;
49691
49692 9551 
49693 IO_WORD
49694  
49695         m_RmtEn
49696  :1;
49697
49698 9552 
49699 IO_WORD
49700  
49701         m_TxRq¡
49702  :1;
49703
49704 9553 
49705 IO_WORD
49706  
49707         m_EoB
49708  :1;
49709
49710 9554 
49711         mIO_WORD
49712  :1;
49713
49714 9555 
49715         mIO_WORD
49716  :1;
49717
49718 9556 
49719         mIO_WORD
49720  :1;
49721
49722 9557 
49723 IO_WORD
49724  
49725         m_DLC3
49726  :1;
49727
49728 9558 
49729 IO_WORD
49730  
49731         m_DLC2
49732  :1;
49733
49734 9559 
49735 IO_WORD
49736  
49737         m_DLC1
49738  :1;
49739
49740 9560 
49741 IO_WORD
49742  
49743         m_DLC0
49744  :1;
49745
49746 9561 }
49747         mb\99
49748 ;
49749
49750 9563 
49751         mIO_WORD
49752  :1;
49753
49754 9564 
49755         mIO_WORD
49756  :1;
49757
49758 9565 
49759         mIO_WORD
49760  :1;
49761
49762 9566 
49763         mIO_WORD
49764  :1;
49765
49766 9567 
49767         mIO_WORD
49768  :1;
49769
49770 9568 
49771         mIO_WORD
49772  :1;
49773
49774 9569 
49775         mIO_WORD
49776  :1;
49777
49778 9570 
49779         mIO_WORD
49780  :1;
49781
49782 9571 
49783         mIO_WORD
49784  :1;
49785
49786 9572 
49787         mIO_WORD
49788  :1;
49789
49790 9573 
49791         mIO_WORD
49792  :1;
49793
49794 9574 
49795         mIO_WORD
49796  :1;
49797
49798 9575 
49799 IO_WORD
49800  
49801         m_DLC
49802  :4;
49803
49804 9576 }
49805         mb\99c
49806 ;
49807
49808 9577 }
49809         tIF2MCTR4STR
49810 ;
49811
49812 9579 
49813 IO_LWORD
49814  
49815         mlwÜd
49816 ;
49817
49818 9581 
49819         mIO_LWORD
49820  :1;
49821
49822 9582 
49823         mIO_LWORD
49824  :1;
49825
49826 9583 
49827         mIO_LWORD
49828  :1;
49829
49830 9584 
49831         mIO_LWORD
49832  :1;
49833
49834 9585 
49835         mIO_LWORD
49836  :1;
49837
49838 9586 
49839         mIO_LWORD
49840  :1;
49841
49842 9587 
49843         mIO_LWORD
49844  :1;
49845
49846 9588 
49847         mIO_LWORD
49848  :1;
49849
49850 9589 
49851         mIO_LWORD
49852  :1;
49853
49854 9590 
49855         mIO_LWORD
49856  :1;
49857
49858 9591 
49859         mIO_LWORD
49860  :1;
49861
49862 9592 
49863         mIO_LWORD
49864  :1;
49865
49866 9593 
49867         mIO_LWORD
49868  :1;
49869
49870 9594 
49871         mIO_LWORD
49872  :1;
49873
49874 9595 
49875         mIO_LWORD
49876  :1;
49877
49878 9596 
49879         mIO_LWORD
49880  :1;
49881
49882 9597 
49883 IO_LWORD
49884  
49885         m_SR
49886  :1;
49887
49888 9598 
49889 IO_LWORD
49890  
49891         m_SW
49892  :1;
49893
49894 9599 
49895 IO_LWORD
49896  
49897         m_SX
49898  :1;
49899
49900 9600 
49901 IO_LWORD
49902  
49903         m_UR
49904  :1;
49905
49906 9601 
49907 IO_LWORD
49908  
49909         m_UW
49910  :1;
49911
49912 9602 
49913 IO_LWORD
49914  
49915         m_UX
49916  :1;
49917
49918 9603 
49919 IO_LWORD
49920  
49921         m_FCPU
49922  :1;
49923
49924 9604 
49925 IO_LWORD
49926  
49927         m_FDMA
49928  :1;
49929
49930 9605 
49931 IO_LWORD
49932  
49933         m_EEMM
49934  :1;
49935
49936 9606 
49937 IO_LWORD
49938  
49939         m_PFD
49940  :1;
49941
49942 9607 
49943 IO_LWORD
49944  
49945         m_SINT1
49946  :1;
49947
49948 9608 
49949 IO_LWORD
49950  
49951         m_SINT0
49952  :1;
49953
49954 9609 
49955 IO_LWORD
49956  
49957         m_EINT1
49958  :1;
49959
49960 9610 
49961 IO_LWORD
49962  
49963         m_EINT0
49964  :1;
49965
49966 9611 
49967 IO_LWORD
49968  
49969         m_EINTT
49970  :1;
49971
49972 9612 
49973 IO_LWORD
49974  
49975         m_EINTR
49976  :1;
49977
49978 9613 }
49979         mb\99
49980 ;
49981
49982 9615 
49983         mIO_LWORD
49984  :1;
49985
49986 9616 
49987         mIO_LWORD
49988  :1;
49989
49990 9617 
49991         mIO_LWORD
49992  :1;
49993
49994 9618 
49995         mIO_LWORD
49996  :1;
49997
49998 9619 
49999         mIO_LWORD
50000  :1;
50001
50002 9620 
50003         mIO_LWORD
50004  :1;
50005
50006 9621 
50007         mIO_LWORD
50008  :1;
50009
50010 9622 
50011         mIO_LWORD
50012  :1;
50013
50014 9623 
50015         mIO_LWORD
50016  :1;
50017
50018 9624 
50019         mIO_LWORD
50020  :1;
50021
50022 9625 
50023         mIO_LWORD
50024  :1;
50025
50026 9626 
50027         mIO_LWORD
50028  :1;
50029
50030 9627 
50031         mIO_LWORD
50032  :1;
50033
50034 9628 
50035         mIO_LWORD
50036  :1;
50037
50038 9629 
50039         mIO_LWORD
50040  :1;
50041
50042 9630 
50043         mIO_LWORD
50044  :1;
50045
50046 9631 
50047         mIO_LWORD
50048  :1;
50049
50050 9632 
50051         mIO_LWORD
50052  :1;
50053
50054 9633 
50055         mIO_LWORD
50056  :1;
50057
50058 9634 
50059         mIO_LWORD
50060  :1;
50061
50062 9635 
50063         mIO_LWORD
50064  :1;
50065
50066 9636 
50067         mIO_LWORD
50068  :1;
50069
50070 9637 
50071         mIO_LWORD
50072  :1;
50073
50074 9638 
50075         mIO_LWORD
50076  :1;
50077
50078 9639 
50079         mIO_LWORD
50080  :1;
50081
50082 9640 
50083         mIO_LWORD
50084  :1;
50085
50086 9641 
50087 IO_LWORD
50088  
50089         m_SINT
50090  :2;
50091
50092 9642 
50093 IO_LWORD
50094  
50095         m_EINT
50096  :2;
50097
50098 9643 }
50099         mb\99c
50100 ;
50101
50102 9644 }
50103         tBCTRLSTR
50104 ;
50105
50106 9646 
50107 IO_LWORD
50108  
50109         mlwÜd
50110 ;
50111
50112 9648 
50113         mIO_LWORD
50114  :1;
50115
50116 9649 
50117         mIO_LWORD
50118  :1;
50119
50120 9650 
50121         mIO_LWORD
50122  :1;
50123
50124 9651 
50125         mIO_LWORD
50126  :1;
50127
50128 9652 
50129         mIO_LWORD
50130  :1;
50131
50132 9653 
50133         mIO_LWORD
50134  :1;
50135
50136 9654 
50137         mIO_LWORD
50138  :1;
50139
50140 9655 
50141         mIO_LWORD
50142  :1;
50143
50144 9656 
50145         mIO_LWORD
50146  :1;
50147
50148 9657 
50149         mIO_LWORD
50150  :1;
50151
50152 9658 
50153         mIO_LWORD
50154  :1;
50155
50156 9659 
50157         mIO_LWORD
50158  :1;
50159
50160 9660 
50161         mIO_LWORD
50162  :1;
50163
50164 9661 
50165         mIO_LWORD
50166  :1;
50167
50168 9662 
50169         mIO_LWORD
50170  :1;
50171
50172 9663 
50173         mIO_LWORD
50174  :1;
50175
50176 9664 
50177 IO_LWORD
50178  
50179         m_IDX4
50180  :1;
50181
50182 9665 
50183 IO_LWORD
50184  
50185         m_IDX3
50186  :1;
50187
50188 9666 
50189 IO_LWORD
50190  
50191         m_IDX2
50192  :1;
50193
50194 9667 
50195 IO_LWORD
50196  
50197         m_IDX1
50198  :1;
50199
50200 9668 
50201 IO_LWORD
50202  
50203         m_IDX0
50204  :1;
50205
50206 9669 
50207 IO_LWORD
50208  
50209         m_CDMA
50210  :1;
50211
50212 9670 
50213 IO_LWORD
50214  
50215         m_CSZ1
50216  :1;
50217
50218 9671 
50219 IO_LWORD
50220  
50221         m_CSZ0
50222  :1;
50223
50224 9672 
50225 IO_LWORD
50226  
50227         m_CRW1
50228  :1;
50229
50230 9673 
50231 IO_LWORD
50232  
50233         m_CRW0
50234  :1;
50235
50236 9674 
50237 IO_LWORD
50238  
50239         m_PV
50240  :1;
50241
50242 9675 
50243 IO_LWORD
50244  
50245         m_RST
50246  :1;
50247
50248 9676 
50249 IO_LWORD
50250  
50251         m_INT1
50252  :1;
50253
50254 9677 
50255 IO_LWORD
50256  
50257         m_INT0
50258  :1;
50259
50260 9678 
50261 IO_LWORD
50262  
50263         m_INTT
50264  :1;
50265
50266 9679 
50267 IO_LWORD
50268  
50269         m_INTR
50270  :1;
50271
50272 9680 }
50273         mb\99
50274 ;
50275
50276 9682 
50277         mIO_LWORD
50278  :1;
50279
50280 9683 
50281         mIO_LWORD
50282  :1;
50283
50284 9684 
50285         mIO_LWORD
50286  :1;
50287
50288 9685 
50289         mIO_LWORD
50290  :1;
50291
50292 9686 
50293         mIO_LWORD
50294  :1;
50295
50296 9687 
50297         mIO_LWORD
50298  :1;
50299
50300 9688 
50301         mIO_LWORD
50302  :1;
50303
50304 9689 
50305         mIO_LWORD
50306  :1;
50307
50308 9690 
50309         mIO_LWORD
50310  :1;
50311
50312 9691 
50313         mIO_LWORD
50314  :1;
50315
50316 9692 
50317         mIO_LWORD
50318  :1;
50319
50320 9693 
50321         mIO_LWORD
50322  :1;
50323
50324 9694 
50325         mIO_LWORD
50326  :1;
50327
50328 9695 
50329         mIO_LWORD
50330  :1;
50331
50332 9696 
50333         mIO_LWORD
50334  :1;
50335
50336 9697 
50337         mIO_LWORD
50338  :1;
50339
50340 9698 
50341 IO_LWORD
50342  
50343         m_IDX
50344  :5;
50345
50346 9699 
50347         mIO_LWORD
50348  :1;
50349
50350 9700 
50351 IO_LWORD
50352  
50353         m_CSZ
50354  :2;
50355
50356 9701 
50357 IO_LWORD
50358  
50359         m_CRW
50360  :2;
50361
50362 9702 
50363         mIO_LWORD
50364  :1;
50365
50366 9703 
50367         mIO_LWORD
50368  :1;
50369
50370 9704 
50371 IO_LWORD
50372  
50373         m_INT
50374  :2;
50375
50376 9705 }
50377         mb\99c
50378 ;
50379
50380 9706 }
50381         tBSTATSTR
50382 ;
50383
50384 9708 
50385 IO_LWORD
50386  
50387         mlwÜd
50388 ;
50389
50390 9710 
50391 IO_LWORD
50392  
50393         m_BD31
50394  :1;
50395
50396 9711 
50397 IO_LWORD
50398  
50399         m_BD30
50400  :1;
50401
50402 9712 
50403 IO_LWORD
50404  
50405         m_BD29
50406  :1;
50407
50408 9713 
50409 IO_LWORD
50410  
50411         m_BD28
50412  :1;
50413
50414 9714 
50415 IO_LWORD
50416  
50417         m_BD27
50418  :1;
50419
50420 9715 
50421 IO_LWORD
50422  
50423         m_BD26
50424  :1;
50425
50426 9716 
50427 IO_LWORD
50428  
50429         m_BD25
50430  :1;
50431
50432 9717 
50433 IO_LWORD
50434  
50435         m_BD24
50436  :1;
50437
50438 9718 
50439 IO_LWORD
50440  
50441         m_BD23
50442  :1;
50443
50444 9719 
50445 IO_LWORD
50446  
50447         m_BD22
50448  :1;
50449
50450 9720 
50451 IO_LWORD
50452  
50453         m_BD21
50454  :1;
50455
50456 9721 
50457 IO_LWORD
50458  
50459         m_BD20
50460  :1;
50461
50462 9722 
50463 IO_LWORD
50464  
50465         m_BD19
50466  :1;
50467
50468 9723 
50469 IO_LWORD
50470  
50471         m_BD18
50472  :1;
50473
50474 9724 
50475 IO_LWORD
50476  
50477         m_BD17
50478  :1;
50479
50480 9725 
50481 IO_LWORD
50482  
50483         m_BD16
50484  :1;
50485
50486 9726 
50487 IO_LWORD
50488  
50489         m_BD15
50490  :1;
50491
50492 9727 
50493 IO_LWORD
50494  
50495         m_BD14
50496  :1;
50497
50498 9728 
50499 IO_LWORD
50500  
50501         m_BD13
50502  :1;
50503
50504 9729 
50505 IO_LWORD
50506  
50507         m_BD12
50508  :1;
50509
50510 9730 
50511 IO_LWORD
50512  
50513         m_BD11
50514  :1;
50515
50516 9731 
50517 IO_LWORD
50518  
50519         m_BD10
50520  :1;
50521
50522 9732 
50523 IO_LWORD
50524  
50525         m_BD9
50526  :1;
50527
50528 9733 
50529 IO_LWORD
50530  
50531         m_BD8
50532  :1;
50533
50534 9734 
50535 IO_LWORD
50536  
50537         m_BD7
50538  :1;
50539
50540 9735 
50541 IO_LWORD
50542  
50543         m_BD6
50544  :1;
50545
50546 9736 
50547 IO_LWORD
50548  
50549         m_BD5
50550  :1;
50551
50552 9737 
50553 IO_LWORD
50554  
50555         m_BD4
50556  :1;
50557
50558 9738 
50559 IO_LWORD
50560  
50561         m_BD3
50562  :1;
50563
50564 9739 
50565 IO_LWORD
50566  
50567         m_BD2
50568  :1;
50569
50570 9740 
50571 IO_LWORD
50572  
50573         m_BD1
50574  :1;
50575
50576 9741 
50577 IO_LWORD
50578  
50579         m_BD0
50580  :1;
50581
50582 9742 }
50583         mb\99
50584 ;
50585
50586 9743 }
50587         tBIRQSTR
50588 ;
50589
50590 9745 
50591 IO_LWORD
50592  
50593         mlwÜd
50594 ;
50595
50596 9747 
50597         mIO_LWORD
50598  :1;
50599
50600 9748 
50601         mIO_LWORD
50602  :1;
50603
50604 9749 
50605         mIO_LWORD
50606  :1;
50607
50608 9750 
50609         mIO_LWORD
50610  :1;
50611
50612 9751 
50613         mIO_LWORD
50614  :1;
50615
50616 9752 
50617         mIO_LWORD
50618  :1;
50619
50620 9753 
50621         mIO_LWORD
50622  :1;
50623
50624 9754 
50625         mIO_LWORD
50626  :1;
50627
50628 9755 
50629 IO_LWORD
50630  
50631         m_SRX1
50632  :1;
50633
50634 9756 
50635 IO_LWORD
50636  
50637         m_SW1
50638  :1;
50639
50640 9757 
50641 IO_LWORD
50642  
50643         m_SRX0
50644  :1;
50645
50646 9758 
50647 IO_LWORD
50648  
50649         m_SW0
50650  :1;
50651
50652 9759 
50653 IO_LWORD
50654  
50655         m_URX1
50656  :1;
50657
50658 9760 
50659 IO_LWORD
50660  
50661         m_UW1
50662  :1;
50663
50664 9761 
50665 IO_LWORD
50666  
50667         m_URX0
50668  :1;
50669
50670 9762 
50671 IO_LWORD
50672  
50673         m_UW0
50674  :1;
50675
50676 9763 
50677 IO_LWORD
50678  
50679         m_MPE
50680  :1;
50681
50682 9764 
50683 IO_LWORD
50684  
50685         m_COMB
50686  :1;
50687
50688 9765 
50689 IO_LWORD
50690  
50691         m_CTC1
50692  :1;
50693
50694 9766 
50695 IO_LWORD
50696  
50697         m_CTC0
50698  :1;
50699
50700 9767 
50701 IO_LWORD
50702  
50703         m_OBS1
50704  :1;
50705
50706 9768 
50707 IO_LWORD
50708  
50709         m_OBS0
50710  :1;
50711
50712 9769 
50713 IO_LWORD
50714  
50715         m_OBT1
50716  :1;
50717
50718 9770 
50719 IO_LWORD
50720  
50721         m_OBT0
50722  :1;
50723
50724 9771 
50725 IO_LWORD
50726  
50727         m_EP3
50728  :1;
50729
50730 9772 
50731 IO_LWORD
50732  
50733         m_EP2
50734  :1;
50735
50736 9773 
50737 IO_LWORD
50738  
50739         m_EP1
50740  :1;
50741
50742 9774 
50743 IO_LWORD
50744  
50745         m_EP0
50746  :1;
50747
50748 9775 
50749 IO_LWORD
50750  
50751         m_EM1
50752  :1;
50753
50754 9776 
50755 IO_LWORD
50756  
50757         m_EM0
50758  :1;
50759
50760 9777 
50761 IO_LWORD
50762  
50763         m_ER1
50764  :1;
50765
50766 9778 
50767 IO_LWORD
50768  
50769         m_ER0
50770  :1;
50771
50772 9779 }
50773         mb\99
50774 ;
50775
50776 9781 
50777         mIO_LWORD
50778  :1;
50779
50780 9782 
50781         mIO_LWORD
50782  :1;
50783
50784 9783 
50785         mIO_LWORD
50786  :1;
50787
50788 9784 
50789         mIO_LWORD
50790  :1;
50791
50792 9785 
50793         mIO_LWORD
50794  :1;
50795
50796 9786 
50797         mIO_LWORD
50798  :1;
50799
50800 9787 
50801         mIO_LWORD
50802  :1;
50803
50804 9788 
50805         mIO_LWORD
50806  :1;
50807
50808 9789 
50809         mIO_LWORD
50810  :1;
50811
50812 9790 
50813         mIO_LWORD
50814  :1;
50815
50816 9791 
50817         mIO_LWORD
50818  :1;
50819
50820 9792 
50821         mIO_LWORD
50822  :1;
50823
50824 9793 
50825         mIO_LWORD
50826  :1;
50827
50828 9794 
50829         mIO_LWORD
50830  :1;
50831
50832 9795 
50833         mIO_LWORD
50834  :1;
50835
50836 9796 
50837         mIO_LWORD
50838  :1;
50839
50840 9797 
50841         mIO_LWORD
50842  :1;
50843
50844 9798 
50845         mIO_LWORD
50846  :1;
50847
50848 9799 
50849 IO_LWORD
50850  
50851         m_CTC
50852  :2;
50853
50854 9800 
50855 IO_LWORD
50856  
50857         m_OBS
50858  :2;
50859
50860 9801 
50861 IO_LWORD
50862  
50863         m_OBT
50864  :2;
50865
50866 9802 
50867 IO_LWORD
50868  
50869         m_EP
50870  :4;
50871
50872 9803 
50873 IO_LWORD
50874  
50875         m_EM
50876  :2;
50877
50878 9804 
50879 IO_LWORD
50880  
50881         m_ER
50882  :2;
50883
50884 9805 }
50885         mb\99c
50886 ;
50887
50888 9806 }
50889         tBCR0STR
50890 ;
50891
50892 9808 
50893 IO_LWORD
50894  
50895         mlwÜd
50896 ;
50897
50898 9810 
50899         mIO_LWORD
50900  :1;
50901
50902 9811 
50903         mIO_LWORD
50904  :1;
50905
50906 9812 
50907         mIO_LWORD
50908  :1;
50909
50910 9813 
50911         mIO_LWORD
50912  :1;
50913
50914 9814 
50915         mIO_LWORD
50916  :1;
50917
50918 9815 
50919         mIO_LWORD
50920  :1;
50921
50922 9816 
50923         mIO_LWORD
50924  :1;
50925
50926 9817 
50927         mIO_LWORD
50928  :1;
50929
50930 9818 
50931 IO_LWORD
50932  
50933         m_SRX1
50934  :1;
50935
50936 9819 
50937 IO_LWORD
50938  
50939         m_SW1
50940  :1;
50941
50942 9820 
50943 IO_LWORD
50944  
50945         m_SRX0
50946  :1;
50947
50948 9821 
50949 IO_LWORD
50950  
50951         m_SW0
50952  :1;
50953
50954 9822 
50955 IO_LWORD
50956  
50957         m_URX1
50958  :1;
50959
50960 9823 
50961 IO_LWORD
50962  
50963         m_UW1
50964  :1;
50965
50966 9824 
50967 IO_LWORD
50968  
50969         m_URX0
50970  :1;
50971
50972 9825 
50973 IO_LWORD
50974  
50975         m_UW0
50976  :1;
50977
50978 9826 
50979 IO_LWORD
50980  
50981         m_MPE
50982  :1;
50983
50984 9827 
50985 IO_LWORD
50986  
50987         m_COMB
50988  :1;
50989
50990 9828 
50991 IO_LWORD
50992  
50993         m_CTC1
50994  :1;
50995
50996 9829 
50997 IO_LWORD
50998  
50999         m_CTC0
51000  :1;
51001
51002 9830 
51003 IO_LWORD
51004  
51005         m_OBS1
51006  :1;
51007
51008 9831 
51009 IO_LWORD
51010  
51011         m_OBS0
51012  :1;
51013
51014 9832 
51015 IO_LWORD
51016  
51017         m_OBT1
51018  :1;
51019
51020 9833 
51021 IO_LWORD
51022  
51023         m_OBT0
51024  :1;
51025
51026 9834 
51027 IO_LWORD
51028  
51029         m_EP3
51030  :1;
51031
51032 9835 
51033 IO_LWORD
51034  
51035         m_EP2
51036  :1;
51037
51038 9836 
51039 IO_LWORD
51040  
51041         m_EP1
51042  :1;
51043
51044 9837 
51045 IO_LWORD
51046  
51047         m_EP0
51048  :1;
51049
51050 9838 
51051 IO_LWORD
51052  
51053         m_EM1
51054  :1;
51055
51056 9839 
51057 IO_LWORD
51058  
51059         m_EM0
51060  :1;
51061
51062 9840 
51063 IO_LWORD
51064  
51065         m_ER1
51066  :1;
51067
51068 9841 
51069 IO_LWORD
51070  
51071         m_ER0
51072  :1;
51073
51074 9842 }
51075         mb\99
51076 ;
51077
51078 9844 
51079         mIO_LWORD
51080  :1;
51081
51082 9845 
51083         mIO_LWORD
51084  :1;
51085
51086 9846 
51087         mIO_LWORD
51088  :1;
51089
51090 9847 
51091         mIO_LWORD
51092  :1;
51093
51094 9848 
51095         mIO_LWORD
51096  :1;
51097
51098 9849 
51099         mIO_LWORD
51100  :1;
51101
51102 9850 
51103         mIO_LWORD
51104  :1;
51105
51106 9851 
51107         mIO_LWORD
51108  :1;
51109
51110 9852 
51111         mIO_LWORD
51112  :1;
51113
51114 9853 
51115         mIO_LWORD
51116  :1;
51117
51118 9854 
51119         mIO_LWORD
51120  :1;
51121
51122 9855 
51123         mIO_LWORD
51124  :1;
51125
51126 9856 
51127         mIO_LWORD
51128  :1;
51129
51130 9857 
51131         mIO_LWORD
51132  :1;
51133
51134 9858 
51135         mIO_LWORD
51136  :1;
51137
51138 9859 
51139         mIO_LWORD
51140  :1;
51141
51142 9860 
51143         mIO_LWORD
51144  :1;
51145
51146 9861 
51147         mIO_LWORD
51148  :1;
51149
51150 9862 
51151 IO_LWORD
51152  
51153         m_CTC
51154  :2;
51155
51156 9863 
51157 IO_LWORD
51158  
51159         m_OBS
51160  :2;
51161
51162 9864 
51163 IO_LWORD
51164  
51165         m_OBT
51166  :2;
51167
51168 9865 
51169 IO_LWORD
51170  
51171         m_EP
51172  :4;
51173
51174 9866 
51175 IO_LWORD
51176  
51177         m_EM
51178  :2;
51179
51180 9867 
51181 IO_LWORD
51182  
51183         m_ER
51184  :2;
51185
51186 9868 }
51187         mb\99c
51188 ;
51189
51190 9869 }
51191         tBCR1STR
51192 ;
51193
51194 9873 
51195 __IO_EXTERN
51196  
51197 __io
51198  
51199 PDR14STR
51200  
51201         gpdr14
51202 ;
51203
51204 9874 \ 1
51205         #PDR14
51206  
51207 pdr14
51208 .
51209 by\8b
51210
51211
51212         )
51213
51214 9875 \ 1
51215         #PDR14_D7
51216  
51217 pdr14
51218 .
51219 b\99
51220 .
51221 _D7
51222
51223
51224         )
51225
51226 9876 \ 1
51227         #PDR14_D6
51228  
51229 pdr14
51230 .
51231 b\99
51232 .
51233 _D6
51234
51235
51236         )
51237
51238 9877 \ 1
51239         #PDR14_D5
51240  
51241 pdr14
51242 .
51243 b\99
51244 .
51245 _D5
51246
51247
51248         )
51249
51250 9878 \ 1
51251         #PDR14_D4
51252  
51253 pdr14
51254 .
51255 b\99
51256 .
51257 _D4
51258
51259
51260         )
51261
51262 9879 \ 1
51263         #PDR14_D3
51264  
51265 pdr14
51266 .
51267 b\99
51268 .
51269 _D3
51270
51271
51272         )
51273
51274 9880 \ 1
51275         #PDR14_D2
51276  
51277 pdr14
51278 .
51279 b\99
51280 .
51281 _D2
51282
51283
51284         )
51285
51286 9881 \ 1
51287         #PDR14_D1
51288  
51289 pdr14
51290 .
51291 b\99
51292 .
51293 _D1
51294
51295
51296         )
51297
51298 9882 \ 1
51299         #PDR14_D0
51300  
51301 pdr14
51302 .
51303 b\99
51304 .
51305 _D0
51306
51307
51308         )
51309
51310 9883 
51311 __IO_EXTERN
51312  
51313 __io
51314  
51315 PDR15STR
51316  
51317         gpdr15
51318 ;
51319
51320 9884 \ 1
51321         #PDR15
51322  
51323 pdr15
51324 .
51325 by\8b
51326
51327
51328         )
51329
51330 9885 \ 1
51331         #PDR15_D7
51332  
51333 pdr15
51334 .
51335 b\99
51336 .
51337 _D7
51338
51339
51340         )
51341
51342 9886 \ 1
51343         #PDR15_D6
51344  
51345 pdr15
51346 .
51347 b\99
51348 .
51349 _D6
51350
51351
51352         )
51353
51354 9887 \ 1
51355         #PDR15_D5
51356  
51357 pdr15
51358 .
51359 b\99
51360 .
51361 _D5
51362
51363
51364         )
51365
51366 9888 \ 1
51367         #PDR15_D4
51368  
51369 pdr15
51370 .
51371 b\99
51372 .
51373 _D4
51374
51375
51376         )
51377
51378 9889 \ 1
51379         #PDR15_D3
51380  
51381 pdr15
51382 .
51383 b\99
51384 .
51385 _D3
51386
51387
51388         )
51389
51390 9890 \ 1
51391         #PDR15_D2
51392  
51393 pdr15
51394 .
51395 b\99
51396 .
51397 _D2
51398
51399
51400         )
51401
51402 9891 \ 1
51403         #PDR15_D1
51404  
51405 pdr15
51406 .
51407 b\99
51408 .
51409 _D1
51410
51411
51412         )
51413
51414 9892 \ 1
51415         #PDR15_D0
51416  
51417 pdr15
51418 .
51419 b\99
51420 .
51421 _D0
51422
51423
51424         )
51425
51426 9893 
51427 __IO_EXTERN
51428  
51429 __io
51430  
51431 PDR16STR
51432  
51433         gpdr16
51434 ;
51435
51436 9894 \ 1
51437         #PDR16
51438  
51439 pdr16
51440 .
51441 by\8b
51442
51443
51444         )
51445
51446 9895 \ 1
51447         #PDR16_D7
51448  
51449 pdr16
51450 .
51451 b\99
51452 .
51453 _D7
51454
51455
51456         )
51457
51458 9896 \ 1
51459         #PDR16_D6
51460  
51461 pdr16
51462 .
51463 b\99
51464 .
51465 _D6
51466
51467
51468         )
51469
51470 9897 \ 1
51471         #PDR16_D5
51472  
51473 pdr16
51474 .
51475 b\99
51476 .
51477 _D5
51478
51479
51480         )
51481
51482 9898 \ 1
51483         #PDR16_D4
51484  
51485 pdr16
51486 .
51487 b\99
51488 .
51489 _D4
51490
51491
51492         )
51493
51494 9899 \ 1
51495         #PDR16_D3
51496  
51497 pdr16
51498 .
51499 b\99
51500 .
51501 _D3
51502
51503
51504         )
51505
51506 9900 \ 1
51507         #PDR16_D2
51508  
51509 pdr16
51510 .
51511 b\99
51512 .
51513 _D2
51514
51515
51516         )
51517
51518 9901 \ 1
51519         #PDR16_D1
51520  
51521 pdr16
51522 .
51523 b\99
51524 .
51525 _D1
51526
51527
51528         )
51529
51530 9902 \ 1
51531         #PDR16_D0
51532  
51533 pdr16
51534 .
51535 b\99
51536 .
51537 _D0
51538
51539
51540         )
51541
51542 9903 
51543 __IO_EXTERN
51544  
51545 __io
51546  
51547 PDR17STR
51548  
51549         gpdr17
51550 ;
51551
51552 9904 \ 1
51553         #PDR17
51554  
51555 pdr17
51556 .
51557 by\8b
51558
51559
51560         )
51561
51562 9905 \ 1
51563         #PDR17_D7
51564  
51565 pdr17
51566 .
51567 b\99
51568 .
51569 _D7
51570
51571
51572         )
51573
51574 9906 \ 1
51575         #PDR17_D6
51576  
51577 pdr17
51578 .
51579 b\99
51580 .
51581 _D6
51582
51583
51584         )
51585
51586 9907 \ 1
51587         #PDR17_D5
51588  
51589 pdr17
51590 .
51591 b\99
51592 .
51593 _D5
51594
51595
51596         )
51597
51598 9908 \ 1
51599         #PDR17_D4
51600  
51601 pdr17
51602 .
51603 b\99
51604 .
51605 _D4
51606
51607
51608         )
51609
51610 9909 \ 1
51611         #PDR17_D3
51612  
51613 pdr17
51614 .
51615 b\99
51616 .
51617 _D3
51618
51619
51620         )
51621
51622 9910 \ 1
51623         #PDR17_D2
51624  
51625 pdr17
51626 .
51627 b\99
51628 .
51629 _D2
51630
51631
51632         )
51633
51634 9911 \ 1
51635         #PDR17_D1
51636  
51637 pdr17
51638 .
51639 b\99
51640 .
51641 _D1
51642
51643
51644         )
51645
51646 9912 \ 1
51647         #PDR17_D0
51648  
51649 pdr17
51650 .
51651 b\99
51652 .
51653 _D0
51654
51655
51656         )
51657
51658 9913 
51659 __IO_EXTERN
51660  
51661 __io
51662  
51663 PDR18STR
51664  
51665         gpdr18
51666 ;
51667
51668 9914 \ 1
51669         #PDR18
51670  
51671 pdr18
51672 .
51673 by\8b
51674
51675
51676         )
51677
51678 9915 \ 1
51679         #PDR18_D6
51680  
51681 pdr18
51682 .
51683 b\99
51684 .
51685 _D6
51686
51687
51688         )
51689
51690 9916 \ 1
51691         #PDR18_D2
51692  
51693 pdr18
51694 .
51695 b\99
51696 .
51697 _D2
51698
51699
51700         )
51701
51702 9917 
51703 __IO_EXTERN
51704  
51705 __io
51706  
51707 PDR19STR
51708  
51709         gpdr19
51710 ;
51711
51712 9918 \ 1
51713         #PDR19
51714  
51715 pdr19
51716 .
51717 by\8b
51718
51719
51720         )
51721
51722 9919 \ 1
51723         #PDR19_D6
51724  
51725 pdr19
51726 .
51727 b\99
51728 .
51729 _D6
51730
51731
51732         )
51733
51734 9920 \ 1
51735         #PDR19_D2
51736  
51737 pdr19
51738 .
51739 b\99
51740 .
51741 _D2
51742
51743
51744         )
51745
51746 9921 \ 1
51747         #PDR19_D1
51748  
51749 pdr19
51750 .
51751 b\99
51752 .
51753 _D1
51754
51755
51756         )
51757
51758 9922 \ 1
51759         #PDR19_D0
51760  
51761 pdr19
51762 .
51763 b\99
51764 .
51765 _D0
51766
51767
51768         )
51769
51770 9923 
51771 __IO_EXTERN
51772  
51773 __io
51774  
51775 PDR20STR
51776  
51777         gpdr20
51778 ;
51779
51780 9924 \ 1
51781         #PDR20
51782  
51783 pdr20
51784 .
51785 by\8b
51786
51787
51788         )
51789
51790 9925 \ 1
51791         #PDR20_D7
51792  
51793 pdr20
51794 .
51795 b\99
51796 .
51797 _D7
51798
51799
51800         )
51801
51802 9926 \ 1
51803         #PDR20_D6
51804  
51805 pdr20
51806 .
51807 b\99
51808 .
51809 _D6
51810
51811
51812         )
51813
51814 9927 \ 1
51815         #PDR20_D5
51816  
51817 pdr20
51818 .
51819 b\99
51820 .
51821 _D5
51822
51823
51824         )
51825
51826 9928 \ 1
51827         #PDR20_D4
51828  
51829 pdr20
51830 .
51831 b\99
51832 .
51833 _D4
51834
51835
51836         )
51837
51838 9929 \ 1
51839         #PDR20_D3
51840  
51841 pdr20
51842 .
51843 b\99
51844 .
51845 _D3
51846
51847
51848         )
51849
51850 9930 \ 1
51851         #PDR20_D2
51852  
51853 pdr20
51854 .
51855 b\99
51856 .
51857 _D2
51858
51859
51860         )
51861
51862 9931 \ 1
51863         #PDR20_D1
51864  
51865 pdr20
51866 .
51867 b\99
51868 .
51869 _D1
51870
51871
51872         )
51873
51874 9932 \ 1
51875         #PDR20_D0
51876  
51877 pdr20
51878 .
51879 b\99
51880 .
51881 _D0
51882
51883
51884         )
51885
51886 9933 
51887 __IO_EXTERN
51888  
51889 __io
51890  
51891 PDR21STR
51892  
51893         gpdr21
51894 ;
51895
51896 9934 \ 1
51897         #PDR21
51898  
51899 pdr21
51900 .
51901 by\8b
51902
51903
51904         )
51905
51906 9935 \ 1
51907         #PDR21_D7
51908  
51909 pdr21
51910 .
51911 b\99
51912 .
51913 _D7
51914
51915
51916         )
51917
51918 9936 \ 1
51919         #PDR21_D6
51920  
51921 pdr21
51922 .
51923 b\99
51924 .
51925 _D6
51926
51927
51928         )
51929
51930 9937 \ 1
51931         #PDR21_D5
51932  
51933 pdr21
51934 .
51935 b\99
51936 .
51937 _D5
51938
51939
51940         )
51941
51942 9938 \ 1
51943         #PDR21_D4
51944  
51945 pdr21
51946 .
51947 b\99
51948 .
51949 _D4
51950
51951
51952         )
51953
51954 9939 \ 1
51955         #PDR21_D3
51956  
51957 pdr21
51958 .
51959 b\99
51960 .
51961 _D3
51962
51963
51964         )
51965
51966 9940 \ 1
51967         #PDR21_D2
51968  
51969 pdr21
51970 .
51971 b\99
51972 .
51973 _D2
51974
51975
51976         )
51977
51978 9941 \ 1
51979         #PDR21_D1
51980  
51981 pdr21
51982 .
51983 b\99
51984 .
51985 _D1
51986
51987
51988         )
51989
51990 9942 \ 1
51991         #PDR21_D0
51992  
51993 pdr21
51994 .
51995 b\99
51996 .
51997 _D0
51998
51999
52000         )
52001
52002 9943 
52003 __IO_EXTERN
52004  
52005 __io
52006  
52007 PDR22STR
52008  
52009         gpdr22
52010 ;
52011
52012 9944 \ 1
52013         #PDR22
52014  
52015 pdr22
52016 .
52017 by\8b
52018
52019
52020         )
52021
52022 9945 \ 1
52023         #PDR22_D5
52024  
52025 pdr22
52026 .
52027 b\99
52028 .
52029 _D5
52030
52031
52032         )
52033
52034 9946 \ 1
52035         #PDR22_D4
52036  
52037 pdr22
52038 .
52039 b\99
52040 .
52041 _D4
52042
52043
52044         )
52045
52046 9947 \ 1
52047         #PDR22_D1
52048  
52049 pdr22
52050 .
52051 b\99
52052 .
52053 _D1
52054
52055
52056         )
52057
52058 9948 \ 1
52059         #PDR22_D0
52060  
52061 pdr22
52062 .
52063 b\99
52064 .
52065 _D0
52066
52067
52068         )
52069
52070 9949 
52071 __IO_EXTERN
52072  
52073 __io
52074  
52075 PDR24STR
52076  
52077         gpdr24
52078 ;
52079
52080 9950 \ 1
52081         #PDR24
52082  
52083 pdr24
52084 .
52085 by\8b
52086
52087
52088         )
52089
52090 9951 \ 1
52091         #PDR24_D7
52092  
52093 pdr24
52094 .
52095 b\99
52096 .
52097 _D7
52098
52099
52100         )
52101
52102 9952 \ 1
52103         #PDR24_D6
52104  
52105 pdr24
52106 .
52107 b\99
52108 .
52109 _D6
52110
52111
52112         )
52113
52114 9953 \ 1
52115         #PDR24_D5
52116  
52117 pdr24
52118 .
52119 b\99
52120 .
52121 _D5
52122
52123
52124         )
52125
52126 9954 \ 1
52127         #PDR24_D4
52128  
52129 pdr24
52130 .
52131 b\99
52132 .
52133 _D4
52134
52135
52136         )
52137
52138 9955 \ 1
52139         #PDR24_D3
52140  
52141 pdr24
52142 .
52143 b\99
52144 .
52145 _D3
52146
52147
52148         )
52149
52150 9956 \ 1
52151         #PDR24_D2
52152  
52153 pdr24
52154 .
52155 b\99
52156 .
52157 _D2
52158
52159
52160         )
52161
52162 9957 \ 1
52163         #PDR24_D1
52164  
52165 pdr24
52166 .
52167 b\99
52168 .
52169 _D1
52170
52171
52172         )
52173
52174 9958 \ 1
52175         #PDR24_D0
52176  
52177 pdr24
52178 .
52179 b\99
52180 .
52181 _D0
52182
52183
52184         )
52185
52186 9959 
52187 __IO_EXTERN
52188  
52189 __io
52190  
52191 PDR26STR
52192  
52193         gpdr26
52194 ;
52195
52196 9960 \ 1
52197         #PDR26
52198  
52199 pdr26
52200 .
52201 by\8b
52202
52203
52204         )
52205
52206 9961 \ 1
52207         #PDR26_D1
52208  
52209 pdr26
52210 .
52211 b\99
52212 .
52213 _D1
52214
52215
52216         )
52217
52218 9962 \ 1
52219         #PDR26_D0
52220  
52221 pdr26
52222 .
52223 b\99
52224 .
52225 _D0
52226
52227
52228         )
52229
52230 9963 
52231 __IO_EXTERN
52232  
52233 __io
52234  
52235 PDR27STR
52236  
52237         gpdr27
52238 ;
52239
52240 9964 \ 1
52241         #PDR27
52242  
52243 pdr27
52244 .
52245 by\8b
52246
52247
52248         )
52249
52250 9965 \ 1
52251         #PDR27_D7
52252  
52253 pdr27
52254 .
52255 b\99
52256 .
52257 _D7
52258
52259
52260         )
52261
52262 9966 \ 1
52263         #PDR27_D6
52264  
52265 pdr27
52266 .
52267 b\99
52268 .
52269 _D6
52270
52271
52272         )
52273
52274 9967 \ 1
52275         #PDR27_D5
52276  
52277 pdr27
52278 .
52279 b\99
52280 .
52281 _D5
52282
52283
52284         )
52285
52286 9968 \ 1
52287         #PDR27_D4
52288  
52289 pdr27
52290 .
52291 b\99
52292 .
52293 _D4
52294
52295
52296         )
52297
52298 9969 \ 1
52299         #PDR27_D3
52300  
52301 pdr27
52302 .
52303 b\99
52304 .
52305 _D3
52306
52307
52308         )
52309
52310 9970 \ 1
52311         #PDR27_D2
52312  
52313 pdr27
52314 .
52315 b\99
52316 .
52317 _D2
52318
52319
52320         )
52321
52322 9971 \ 1
52323         #PDR27_D1
52324  
52325 pdr27
52326 .
52327 b\99
52328 .
52329 _D1
52330
52331
52332         )
52333
52334 9972 \ 1
52335         #PDR27_D0
52336  
52337 pdr27
52338 .
52339 b\99
52340 .
52341 _D0
52342
52343
52344         )
52345
52346 9973 
52347 __IO_EXTERN
52348  
52349 __io
52350  
52351 PDR28STR
52352  
52353         gpdr28
52354 ;
52355
52356 9974 \ 1
52357         #PDR28
52358  
52359 pdr28
52360 .
52361 by\8b
52362
52363
52364         )
52365
52366 9975 \ 1
52367         #PDR28_D7
52368  
52369 pdr28
52370 .
52371 b\99
52372 .
52373 _D7
52374
52375
52376         )
52377
52378 9976 \ 1
52379         #PDR28_D6
52380  
52381 pdr28
52382 .
52383 b\99
52384 .
52385 _D6
52386
52387
52388         )
52389
52390 9977 \ 1
52391         #PDR28_D5
52392  
52393 pdr28
52394 .
52395 b\99
52396 .
52397 _D5
52398
52399
52400         )
52401
52402 9978 \ 1
52403         #PDR28_D4
52404  
52405 pdr28
52406 .
52407 b\99
52408 .
52409 _D4
52410
52411
52412         )
52413
52414 9979 \ 1
52415         #PDR28_D3
52416  
52417 pdr28
52418 .
52419 b\99
52420 .
52421 _D3
52422
52423
52424         )
52425
52426 9980 \ 1
52427         #PDR28_D2
52428  
52429 pdr28
52430 .
52431 b\99
52432 .
52433 _D2
52434
52435
52436         )
52437
52438 9981 \ 1
52439         #PDR28_D1
52440  
52441 pdr28
52442 .
52443 b\99
52444 .
52445 _D1
52446
52447
52448         )
52449
52450 9982 \ 1
52451         #PDR28_D0
52452  
52453 pdr28
52454 .
52455 b\99
52456 .
52457 _D0
52458
52459
52460         )
52461
52462 9983 
52463 __IO_EXTERN
52464  
52465 __io
52466  
52467 PDR29STR
52468  
52469         gpdr29
52470 ;
52471
52472 9984 \ 1
52473         #PDR29
52474  
52475 pdr29
52476 .
52477 by\8b
52478
52479
52480         )
52481
52482 9985 \ 1
52483         #PDR29_D7
52484  
52485 pdr29
52486 .
52487 b\99
52488 .
52489 _D7
52490
52491
52492         )
52493
52494 9986 \ 1
52495         #PDR29_D6
52496  
52497 pdr29
52498 .
52499 b\99
52500 .
52501 _D6
52502
52503
52504         )
52505
52506 9987 \ 1
52507         #PDR29_D5
52508  
52509 pdr29
52510 .
52511 b\99
52512 .
52513 _D5
52514
52515
52516         )
52517
52518 9988 \ 1
52519         #PDR29_D4
52520  
52521 pdr29
52522 .
52523 b\99
52524 .
52525 _D4
52526
52527
52528         )
52529
52530 9989 \ 1
52531         #PDR29_D3
52532  
52533 pdr29
52534 .
52535 b\99
52536 .
52537 _D3
52538
52539
52540         )
52541
52542 9990 \ 1
52543         #PDR29_D2
52544  
52545 pdr29
52546 .
52547 b\99
52548 .
52549 _D2
52550
52551
52552         )
52553
52554 9991 \ 1
52555         #PDR29_D1
52556  
52557 pdr29
52558 .
52559 b\99
52560 .
52561 _D1
52562
52563
52564         )
52565
52566 9992 \ 1
52567         #PDR29_D0
52568  
52569 pdr29
52570 .
52571 b\99
52572 .
52573 _D0
52574
52575
52576         )
52577
52578 9993 
52579 __IO_EXTERN
52580  
52581 __io
52582  
52583 EIRR0STR
52584  
52585         ge\9cr0
52586 ;
52587
52588 9994 \ 1
52589         #EIRR0
52590  
52591 e\9cr0
52592 .
52593 by\8b
52594
52595
52596         )
52597
52598 9995 \ 1
52599         #EIRR0_ER7
52600  
52601 e\9cr0
52602 .
52603 b\99
52604 .
52605 _ER7
52606
52607
52608         )
52609
52610 9996 \ 1
52611         #EIRR0_ER6
52612  
52613 e\9cr0
52614 .
52615 b\99
52616 .
52617 _ER6
52618
52619
52620         )
52621
52622 9997 \ 1
52623         #EIRR0_ER5
52624  
52625 e\9cr0
52626 .
52627 b\99
52628 .
52629 _ER5
52630
52631
52632         )
52633
52634 9998 \ 1
52635         #EIRR0_ER4
52636  
52637 e\9cr0
52638 .
52639 b\99
52640 .
52641 _ER4
52642
52643
52644         )
52645
52646 9999 \ 1
52647         #EIRR0_ER3
52648  
52649 e\9cr0
52650 .
52651 b\99
52652 .
52653 _ER3
52654
52655
52656         )
52657
52658 10000 \ 1
52659         #EIRR0_ER2
52660  
52661 e\9cr0
52662 .
52663 b\99
52664 .
52665 _ER2
52666
52667
52668         )
52669
52670 10001 \ 1
52671         #EIRR0_ER1
52672  
52673 e\9cr0
52674 .
52675 b\99
52676 .
52677 _ER1
52678
52679
52680         )
52681
52682 10002 \ 1
52683         #EIRR0_ER0
52684  
52685 e\9cr0
52686 .
52687 b\99
52688 .
52689 _ER0
52690
52691
52692         )
52693
52694 10003 
52695 __IO_EXTERN
52696  
52697 __io
52698  
52699 ENIR0STR
52700  
52701         g\92\9c0
52702 ;
52703
52704 10004 \ 1
52705         #ENIR0
52706  
52707 \92\9c0
52708 .
52709 by\8b
52710
52711
52712         )
52713
52714 10005 \ 1
52715         #ENIR0_EN7
52716  
52717 \92\9c0
52718 .
52719 b\99
52720 .
52721 _EN7
52722
52723
52724         )
52725
52726 10006 \ 1
52727         #ENIR0_EN6
52728  
52729 \92\9c0
52730 .
52731 b\99
52732 .
52733 _EN6
52734
52735
52736         )
52737
52738 10007 \ 1
52739         #ENIR0_EN5
52740  
52741 \92\9c0
52742 .
52743 b\99
52744 .
52745 _EN5
52746
52747
52748         )
52749
52750 10008 \ 1
52751         #ENIR0_EN4
52752  
52753 \92\9c0
52754 .
52755 b\99
52756 .
52757 _EN4
52758
52759
52760         )
52761
52762 10009 \ 1
52763         #ENIR0_EN3
52764  
52765 \92\9c0
52766 .
52767 b\99
52768 .
52769 _EN3
52770
52771
52772         )
52773
52774 10010 \ 1
52775         #ENIR0_EN2
52776  
52777 \92\9c0
52778 .
52779 b\99
52780 .
52781 _EN2
52782
52783
52784         )
52785
52786 10011 \ 1
52787         #ENIR0_EN1
52788  
52789 \92\9c0
52790 .
52791 b\99
52792 .
52793 _EN1
52794
52795
52796         )
52797
52798 10012 \ 1
52799         #ENIR0_EN0
52800  
52801 \92\9c0
52802 .
52803 b\99
52804 .
52805 _EN0
52806
52807
52808         )
52809
52810 10013 
52811 __IO_EXTERN
52812  
52813 __io
52814  
52815 ELVR0STR
52816  
52817         g\96vr0
52818 ;
52819
52820 10014 \ 1
52821         #ELVR0
52822  
52823 \96vr0
52824 .
52825 wÜd
52826
52827
52828         )
52829
52830 10015 \ 1
52831         #ELVR0_LB7
52832  
52833 \96vr0
52834 .
52835 b\99
52836 .
52837 _LB7
52838
52839
52840         )
52841
52842 10016 \ 1
52843         #ELVR0_LA7
52844  
52845 \96vr0
52846 .
52847 b\99
52848 .
52849 _LA7
52850
52851
52852         )
52853
52854 10017 \ 1
52855         #ELVR0_LB6
52856  
52857 \96vr0
52858 .
52859 b\99
52860 .
52861 _LB6
52862
52863
52864         )
52865
52866 10018 \ 1
52867         #ELVR0_LA6
52868  
52869 \96vr0
52870 .
52871 b\99
52872 .
52873 _LA6
52874
52875
52876         )
52877
52878 10019 \ 1
52879         #ELVR0_LB5
52880  
52881 \96vr0
52882 .
52883 b\99
52884 .
52885 _LB5
52886
52887
52888         )
52889
52890 10020 \ 1
52891         #ELVR0_LA5
52892  
52893 \96vr0
52894 .
52895 b\99
52896 .
52897 _LA5
52898
52899
52900         )
52901
52902 10021 \ 1
52903         #ELVR0_LB4
52904  
52905 \96vr0
52906 .
52907 b\99
52908 .
52909 _LB4
52910
52911
52912         )
52913
52914 10022 \ 1
52915         #ELVR0_LA4
52916  
52917 \96vr0
52918 .
52919 b\99
52920 .
52921 _LA4
52922
52923
52924         )
52925
52926 10023 \ 1
52927         #ELVR0_LB3
52928  
52929 \96vr0
52930 .
52931 b\99
52932 .
52933 _LB3
52934
52935
52936         )
52937
52938 10024 \ 1
52939         #ELVR0_LA3
52940  
52941 \96vr0
52942 .
52943 b\99
52944 .
52945 _LA3
52946
52947
52948         )
52949
52950 10025 \ 1
52951         #ELVR0_LB2
52952  
52953 \96vr0
52954 .
52955 b\99
52956 .
52957 _LB2
52958
52959
52960         )
52961
52962 10026 \ 1
52963         #ELVR0_LA2
52964  
52965 \96vr0
52966 .
52967 b\99
52968 .
52969 _LA2
52970
52971
52972         )
52973
52974 10027 \ 1
52975         #ELVR0_LB1
52976  
52977 \96vr0
52978 .
52979 b\99
52980 .
52981 _LB1
52982
52983
52984         )
52985
52986 10028 \ 1
52987         #ELVR0_LA1
52988  
52989 \96vr0
52990 .
52991 b\99
52992 .
52993 _LA1
52994
52995
52996         )
52997
52998 10029 \ 1
52999         #ELVR0_LB0
53000  
53001 \96vr0
53002 .
53003 b\99
53004 .
53005 _LB0
53006
53007
53008         )
53009
53010 10030 \ 1
53011         #ELVR0_LA0
53012  
53013 \96vr0
53014 .
53015 b\99
53016 .
53017 _LA0
53018
53019
53020         )
53021
53022 10031 
53023 __IO_EXTERN
53024  
53025 __io
53026  
53027 EIRR1STR
53028  
53029         ge\9cr1
53030 ;
53031
53032 10032 \ 1
53033         #EIRR1
53034  
53035 e\9cr1
53036 .
53037 by\8b
53038
53039
53040         )
53041
53042 10033 \ 1
53043         #EIRR1_ER15
53044  
53045 e\9cr1
53046 .
53047 b\99
53048 .
53049 _ER15
53050
53051
53052         )
53053
53054 10034 \ 1
53055         #EIRR1_ER14
53056  
53057 e\9cr1
53058 .
53059 b\99
53060 .
53061 _ER14
53062
53063
53064         )
53065
53066 10035 \ 1
53067         #EIRR1_ER13
53068  
53069 e\9cr1
53070 .
53071 b\99
53072 .
53073 _ER13
53074
53075
53076         )
53077
53078 10036 \ 1
53079         #EIRR1_ER12
53080  
53081 e\9cr1
53082 .
53083 b\99
53084 .
53085 _ER12
53086
53087
53088         )
53089
53090 10037 \ 1
53091         #EIRR1_ER11
53092  
53093 e\9cr1
53094 .
53095 b\99
53096 .
53097 _ER11
53098
53099
53100         )
53101
53102 10038 \ 1
53103         #EIRR1_ER10
53104  
53105 e\9cr1
53106 .
53107 b\99
53108 .
53109 _ER10
53110
53111
53112         )
53113
53114 10039 \ 1
53115         #EIRR1_ER9
53116  
53117 e\9cr1
53118 .
53119 b\99
53120 .
53121 _ER9
53122
53123
53124         )
53125
53126 10040 \ 1
53127         #EIRR1_ER8
53128  
53129 e\9cr1
53130 .
53131 b\99
53132 .
53133 _ER8
53134
53135
53136         )
53137
53138 10041 
53139 __IO_EXTERN
53140  
53141 __io
53142  
53143 ENIR1STR
53144  
53145         g\92\9c1
53146 ;
53147
53148 10042 \ 1
53149         #ENIR1
53150  
53151 \92\9c1
53152 .
53153 by\8b
53154
53155
53156         )
53157
53158 10043 \ 1
53159         #ENIR1_EN15
53160  
53161 \92\9c1
53162 .
53163 b\99
53164 .
53165 _EN15
53166
53167
53168         )
53169
53170 10044 \ 1
53171         #ENIR1_EN14
53172  
53173 \92\9c1
53174 .
53175 b\99
53176 .
53177 _EN14
53178
53179
53180         )
53181
53182 10045 \ 1
53183         #ENIR1_EN13
53184  
53185 \92\9c1
53186 .
53187 b\99
53188 .
53189 _EN13
53190
53191
53192         )
53193
53194 10046 \ 1
53195         #ENIR1_EN12
53196  
53197 \92\9c1
53198 .
53199 b\99
53200 .
53201 _EN12
53202
53203
53204         )
53205
53206 10047 \ 1
53207         #ENIR1_EN11
53208  
53209 \92\9c1
53210 .
53211 b\99
53212 .
53213 _EN11
53214
53215
53216         )
53217
53218 10048 \ 1
53219         #ENIR1_EN10
53220  
53221 \92\9c1
53222 .
53223 b\99
53224 .
53225 _EN10
53226
53227
53228         )
53229
53230 10049 \ 1
53231         #ENIR1_EN9
53232  
53233 \92\9c1
53234 .
53235 b\99
53236 .
53237 _EN9
53238
53239
53240         )
53241
53242 10050 \ 1
53243         #ENIR1_EN8
53244  
53245 \92\9c1
53246 .
53247 b\99
53248 .
53249 _EN8
53250
53251
53252         )
53253
53254 10051 
53255 __IO_EXTERN
53256  
53257 __io
53258  
53259 ELVR1STR
53260  
53261         g\96vr1
53262 ;
53263
53264 10052 \ 1
53265         #ELVR1
53266  
53267 \96vr1
53268 .
53269 wÜd
53270
53271
53272         )
53273
53274 10053 \ 1
53275         #ELVR1_LB15
53276  
53277 \96vr1
53278 .
53279 b\99
53280 .
53281 _LB15
53282
53283
53284         )
53285
53286 10054 \ 1
53287         #ELVR1_LA15
53288  
53289 \96vr1
53290 .
53291 b\99
53292 .
53293 _LA15
53294
53295
53296         )
53297
53298 10055 \ 1
53299         #ELVR1_LB14
53300  
53301 \96vr1
53302 .
53303 b\99
53304 .
53305 _LB14
53306
53307
53308         )
53309
53310 10056 \ 1
53311         #ELVR1_LA14
53312  
53313 \96vr1
53314 .
53315 b\99
53316 .
53317 _LA14
53318
53319
53320         )
53321
53322 10057 \ 1
53323         #ELVR1_LB13
53324  
53325 \96vr1
53326 .
53327 b\99
53328 .
53329 _LB13
53330
53331
53332         )
53333
53334 10058 \ 1
53335         #ELVR1_LA13
53336  
53337 \96vr1
53338 .
53339 b\99
53340 .
53341 _LA13
53342
53343
53344         )
53345
53346 10059 \ 1
53347         #ELVR1_LB12
53348  
53349 \96vr1
53350 .
53351 b\99
53352 .
53353 _LB12
53354
53355
53356         )
53357
53358 10060 \ 1
53359         #ELVR1_LA12
53360  
53361 \96vr1
53362 .
53363 b\99
53364 .
53365 _LA12
53366
53367
53368         )
53369
53370 10061 \ 1
53371         #ELVR1_LB11
53372  
53373 \96vr1
53374 .
53375 b\99
53376 .
53377 _LB11
53378
53379
53380         )
53381
53382 10062 \ 1
53383         #ELVR1_LA11
53384  
53385 \96vr1
53386 .
53387 b\99
53388 .
53389 _LA11
53390
53391
53392         )
53393
53394 10063 \ 1
53395         #ELVR1_LB10
53396  
53397 \96vr1
53398 .
53399 b\99
53400 .
53401 _LB10
53402
53403
53404         )
53405
53406 10064 \ 1
53407         #ELVR1_LA10
53408  
53409 \96vr1
53410 .
53411 b\99
53412 .
53413 _LA10
53414
53415
53416         )
53417
53418 10065 \ 1
53419         #ELVR1_LB9
53420  
53421 \96vr1
53422 .
53423 b\99
53424 .
53425 _LB9
53426
53427
53428         )
53429
53430 10066 \ 1
53431         #ELVR1_LA9
53432  
53433 \96vr1
53434 .
53435 b\99
53436 .
53437 _LA9
53438
53439
53440         )
53441
53442 10067 \ 1
53443         #ELVR1_LB8
53444  
53445 \96vr1
53446 .
53447 b\99
53448 .
53449 _LB8
53450
53451
53452         )
53453
53454 10068 \ 1
53455         #ELVR1_LA8
53456  
53457 \96vr1
53458 .
53459 b\99
53460 .
53461 _LA8
53462
53463
53464         )
53465
53466 10069 
53467 __IO_EXTERN
53468  
53469 __io
53470  
53471 DICRSTR
53472  
53473         gdiü
53474 ;
53475
53476 10070 \ 1
53477         #DICR
53478  
53479 diü
53480 .
53481 by\8b
53482
53483
53484         )
53485
53486 10071 \ 1
53487         #DICR_DLYI
53488  
53489 diü
53490 .
53491 b\99
53492 .
53493 _DLYI
53494
53495
53496         )
53497
53498 10072 
53499 __IO_EXTERN
53500  
53501 __io
53502  
53503 HRCLSTR
53504  
53505         ghrþ
53506 ;
53507
53508 10073 \ 1
53509         #HRCL
53510  
53511 hrþ
53512 .
53513 by\8b
53514
53515
53516         )
53517
53518 10074 \ 1
53519         #HRCL_MHALTI
53520  
53521 hrþ
53522 .
53523 b\99
53524 .
53525 _MHALTI
53526
53527
53528         )
53529
53530 10075 \ 1
53531         #HRCL_LVL4
53532  
53533 hrþ
53534 .
53535 b\99
53536 .
53537 _LVL4
53538
53539
53540         )
53541
53542 10076 \ 1
53543         #HRCL_LVL3
53544  
53545 hrþ
53546 .
53547 b\99
53548 .
53549 _LVL3
53550
53551
53552         )
53553
53554 10077 \ 1
53555         #HRCL_LVL2
53556  
53557 hrþ
53558 .
53559 b\99
53560 .
53561 _LVL2
53562
53563
53564         )
53565
53566 10078 \ 1
53567         #HRCL_LVL1
53568  
53569 hrþ
53570 .
53571 b\99
53572 .
53573 _LVL1
53574
53575
53576         )
53577
53578 10079 \ 1
53579         #HRCL_LVL0
53580  
53581 hrþ
53582 .
53583 b\99
53584 .
53585 _LVL0
53586
53587
53588         )
53589
53590 10080 \ 1
53591         #HRCL_LVL
53592  
53593 hrþ
53594 .
53595 b\99c
53596 .
53597 _LVL
53598
53599
53600         )
53601
53602 10081 
53603 __IO_EXTERN
53604  
53605 __io
53606  
53607 IO_WORD
53608  
53609         grbsync
53610 ;
53611
53612 10082 \ 1
53613         #RBSYNC
53614  
53615 rbsync
53616
53617
53618         )
53619
53620 10083 
53621 __IO_EXTERN
53622  
53623 __io
53624  
53625 SCR00STR
53626  
53627         gsü00
53628 ;
53629
53630 10084 \ 1
53631         #SCR00
53632  
53633 sü00
53634 .
53635 by\8b
53636
53637
53638         )
53639
53640 10085 \ 1
53641         #SCR00_PEN
53642  
53643 sü00
53644 .
53645 b\99
53646 .
53647 _PEN
53648
53649
53650         )
53651
53652 10086 \ 1
53653         #SCR00_P
53654  
53655 sü00
53656 .
53657 b\99
53658 .
53659 _P
53660
53661
53662         )
53663
53664 10087 \ 1
53665         #SCR00_SBL
53666  
53667 sü00
53668 .
53669 b\99
53670 .
53671 _SBL
53672
53673
53674         )
53675
53676 10088 \ 1
53677         #SCR00_CL
53678  
53679 sü00
53680 .
53681 b\99
53682 .
53683 _CL
53684
53685
53686         )
53687
53688 10089 \ 1
53689         #SCR00_AD
53690  
53691 sü00
53692 .
53693 b\99
53694 .
53695 _AD
53696
53697
53698         )
53699
53700 10090 \ 1
53701         #SCR00_CRE
53702  
53703 sü00
53704 .
53705 b\99
53706 .
53707 _CRE
53708
53709
53710         )
53711
53712 10091 \ 1
53713         #SCR00_RXE
53714  
53715 sü00
53716 .
53717 b\99
53718 .
53719 _RXE
53720
53721
53722         )
53723
53724 10092 \ 1
53725         #SCR00_TXE
53726  
53727 sü00
53728 .
53729 b\99
53730 .
53731 _TXE
53732
53733
53734         )
53735
53736 10093 
53737 __IO_EXTERN
53738  
53739 __io
53740  
53741 SMR00STR
53742  
53743         gsmr00
53744 ;
53745
53746 10094 \ 1
53747         #SMR00
53748  
53749 smr00
53750 .
53751 by\8b
53752
53753
53754         )
53755
53756 10095 \ 1
53757         #SMR00_MD1
53758  
53759 smr00
53760 .
53761 b\99
53762 .
53763 _MD1
53764
53765
53766         )
53767
53768 10096 \ 1
53769         #SMR00_MD0
53770  
53771 smr00
53772 .
53773 b\99
53774 .
53775 _MD0
53776
53777
53778         )
53779
53780 10097 \ 1
53781         #SMR00_OTO
53782  
53783 smr00
53784 .
53785 b\99
53786 .
53787 _OTO
53788
53789
53790         )
53791
53792 10098 \ 1
53793         #SMR00_EXT
53794  
53795 smr00
53796 .
53797 b\99
53798 .
53799 _EXT
53800
53801
53802         )
53803
53804 10099 \ 1
53805         #SMR00_REST
53806  
53807 smr00
53808 .
53809 b\99
53810 .
53811 _REST
53812
53813
53814         )
53815
53816 10100 \ 1
53817         #SMR00_UPCL
53818  
53819 smr00
53820 .
53821 b\99
53822 .
53823 _UPCL
53824
53825
53826         )
53827
53828 10101 \ 1
53829         #SMR00_SCKE
53830  
53831 smr00
53832 .
53833 b\99
53834 .
53835 _SCKE
53836
53837
53838         )
53839
53840 10102 \ 1
53841         #SMR00_SOE
53842  
53843 smr00
53844 .
53845 b\99
53846 .
53847 _SOE
53848
53849
53850         )
53851
53852 10103 \ 1
53853         #SMR00_MD
53854  
53855 smr00
53856 .
53857 b\99c
53858 .
53859 _MD
53860
53861
53862         )
53863
53864 10104 
53865 __IO_EXTERN
53866  
53867 __io
53868  
53869 SSR00STR
53870  
53871         gs¤00
53872 ;
53873
53874 10105 \ 1
53875         #SSR00
53876  
53877 s¤00
53878 .
53879 by\8b
53880
53881
53882         )
53883
53884 10106 \ 1
53885         #SSR00_PE
53886  
53887 s¤00
53888 .
53889 b\99
53890 .
53891 _PE
53892
53893
53894         )
53895
53896 10107 \ 1
53897         #SSR00_ORE
53898  
53899 s¤00
53900 .
53901 b\99
53902 .
53903 _ORE
53904
53905
53906         )
53907
53908 10108 \ 1
53909         #SSR00_FRE
53910  
53911 s¤00
53912 .
53913 b\99
53914 .
53915 _FRE
53916
53917
53918         )
53919
53920 10109 \ 1
53921         #SSR00_RDRF
53922  
53923 s¤00
53924 .
53925 b\99
53926 .
53927 _RDRF
53928
53929
53930         )
53931
53932 10110 \ 1
53933         #SSR00_TDRE
53934  
53935 s¤00
53936 .
53937 b\99
53938 .
53939 _TDRE
53940
53941
53942         )
53943
53944 10111 \ 1
53945         #SSR00_BDS
53946  
53947 s¤00
53948 .
53949 b\99
53950 .
53951 _BDS
53952
53953
53954         )
53955
53956 10112 \ 1
53957         #SSR00_RIE
53958  
53959 s¤00
53960 .
53961 b\99
53962 .
53963 _RIE
53964
53965
53966         )
53967
53968 10113 \ 1
53969         #SSR00_TIE
53970  
53971 s¤00
53972 .
53973 b\99
53974 .
53975 _TIE
53976
53977
53978         )
53979
53980 10114 
53981 __IO_EXTERN
53982  
53983 __io
53984  
53985 IO_BYTE
53986  
53987         grdr00
53988 ;
53989
53990 10115 \ 1
53991         #RDR00
53992  
53993 rdr00
53994
53995
53996         )
53997
53998 10116 
53999 __IO_EXTERN
54000  
54001 __io
54002  
54003 IO_BYTE
54004  
54005         gtdr00
54006 ;
54007
54008 10117 \ 1
54009         #TDR00
54010  
54011 tdr00
54012
54013
54014         )
54015
54016 10118 
54017 __IO_EXTERN
54018  
54019 __io
54020  
54021 ESCR00STR
54022  
54023         gesü00
54024 ;
54025
54026 10119 \ 1
54027         #ESCR00
54028  
54029 esü00
54030 .
54031 by\8b
54032
54033
54034         )
54035
54036 10120 \ 1
54037         #ESCR00_LBIE
54038  
54039 esü00
54040 .
54041 b\99
54042 .
54043 _LBIE
54044
54045
54046         )
54047
54048 10121 \ 1
54049         #ESCR00_LBD
54050  
54051 esü00
54052 .
54053 b\99
54054 .
54055 _LBD
54056
54057
54058         )
54059
54060 10122 \ 1
54061         #ESCR00_LBL1
54062  
54063 esü00
54064 .
54065 b\99
54066 .
54067 _LBL1
54068
54069
54070         )
54071
54072 10123 \ 1
54073         #ESCR00_LBL0
54074  
54075 esü00
54076 .
54077 b\99
54078 .
54079 _LBL0
54080
54081
54082         )
54083
54084 10124 \ 1
54085         #ESCR00_SOPE
54086  
54087 esü00
54088 .
54089 b\99
54090 .
54091 _SOPE
54092
54093
54094         )
54095
54096 10125 \ 1
54097         #ESCR00_SIOP
54098  
54099 esü00
54100 .
54101 b\99
54102 .
54103 _SIOP
54104
54105
54106         )
54107
54108 10126 \ 1
54109         #ESCR00_CCO
54110  
54111 esü00
54112 .
54113 b\99
54114 .
54115 _CCO
54116
54117
54118         )
54119
54120 10127 \ 1
54121         #ESCR00_SCES
54122  
54123 esü00
54124 .
54125 b\99
54126 .
54127 _SCES
54128
54129
54130         )
54131
54132 10128 \ 1
54133         #ESCR00_LBL
54134  
54135 esü00
54136 .
54137 b\99c
54138 .
54139 _LBL
54140
54141
54142         )
54143
54144 10129 
54145 __IO_EXTERN
54146  
54147 __io
54148  
54149 ECCR00STR
54150  
54151         gecü00
54152 ;
54153
54154 10130 \ 1
54155         #ECCR00
54156  
54157 ecü00
54158 .
54159 by\8b
54160
54161
54162         )
54163
54164 10131 \ 1
54165         #ECCR00_INV
54166  
54167 ecü00
54168 .
54169 b\99
54170 .
54171 _INV
54172
54173
54174         )
54175
54176 10132 \ 1
54177         #ECCR00_LBR
54178  
54179 ecü00
54180 .
54181 b\99
54182 .
54183 _LBR
54184
54185
54186         )
54187
54188 10133 \ 1
54189         #ECCR00_MS
54190  
54191 ecü00
54192 .
54193 b\99
54194 .
54195 _MS
54196
54197
54198         )
54199
54200 10134 \ 1
54201         #ECCR00_SCDE
54202  
54203 ecü00
54204 .
54205 b\99
54206 .
54207 _SCDE
54208
54209
54210         )
54211
54212 10135 \ 1
54213         #ECCR00_SSM
54214  
54215 ecü00
54216 .
54217 b\99
54218 .
54219 _SSM
54220
54221
54222         )
54223
54224 10136 \ 1
54225         #ECCR00_BIE
54226  
54227 ecü00
54228 .
54229 b\99
54230 .
54231 _BIE
54232
54233
54234         )
54235
54236 10137 \ 1
54237         #ECCR00_RBI
54238  
54239 ecü00
54240 .
54241 b\99
54242 .
54243 _RBI
54244
54245
54246         )
54247
54248 10138 \ 1
54249         #ECCR00_TBI
54250  
54251 ecü00
54252 .
54253 b\99
54254 .
54255 _TBI
54256
54257
54258         )
54259
54260 10139 
54261 __IO_EXTERN
54262  
54263 __io
54264  
54265 SCR01STR
54266  
54267         gsü01
54268 ;
54269
54270 10140 \ 1
54271         #SCR01
54272  
54273 sü01
54274 .
54275 by\8b
54276
54277
54278         )
54279
54280 10141 \ 1
54281         #SCR01_PEN
54282  
54283 sü01
54284 .
54285 b\99
54286 .
54287 _PEN
54288
54289
54290         )
54291
54292 10142 \ 1
54293         #SCR01_P
54294  
54295 sü01
54296 .
54297 b\99
54298 .
54299 _P
54300
54301
54302         )
54303
54304 10143 \ 1
54305         #SCR01_SBL
54306  
54307 sü01
54308 .
54309 b\99
54310 .
54311 _SBL
54312
54313
54314         )
54315
54316 10144 \ 1
54317         #SCR01_CL
54318  
54319 sü01
54320 .
54321 b\99
54322 .
54323 _CL
54324
54325
54326         )
54327
54328 10145 \ 1
54329         #SCR01_AD
54330  
54331 sü01
54332 .
54333 b\99
54334 .
54335 _AD
54336
54337
54338         )
54339
54340 10146 \ 1
54341         #SCR01_CRE
54342  
54343 sü01
54344 .
54345 b\99
54346 .
54347 _CRE
54348
54349
54350         )
54351
54352 10147 \ 1
54353         #SCR01_RXE
54354  
54355 sü01
54356 .
54357 b\99
54358 .
54359 _RXE
54360
54361
54362         )
54363
54364 10148 \ 1
54365         #SCR01_TXE
54366  
54367 sü01
54368 .
54369 b\99
54370 .
54371 _TXE
54372
54373
54374         )
54375
54376 10149 
54377 __IO_EXTERN
54378  
54379 __io
54380  
54381 SMR01STR
54382  
54383         gsmr01
54384 ;
54385
54386 10150 \ 1
54387         #SMR01
54388  
54389 smr01
54390 .
54391 by\8b
54392
54393
54394         )
54395
54396 10151 \ 1
54397         #SMR01_MD1
54398  
54399 smr01
54400 .
54401 b\99
54402 .
54403 _MD1
54404
54405
54406         )
54407
54408 10152 \ 1
54409         #SMR01_MD0
54410  
54411 smr01
54412 .
54413 b\99
54414 .
54415 _MD0
54416
54417
54418         )
54419
54420 10153 \ 1
54421         #SMR01_OTO
54422  
54423 smr01
54424 .
54425 b\99
54426 .
54427 _OTO
54428
54429
54430         )
54431
54432 10154 \ 1
54433         #SMR01_EXT
54434  
54435 smr01
54436 .
54437 b\99
54438 .
54439 _EXT
54440
54441
54442         )
54443
54444 10155 \ 1
54445         #SMR01_REST
54446  
54447 smr01
54448 .
54449 b\99
54450 .
54451 _REST
54452
54453
54454         )
54455
54456 10156 \ 1
54457         #SMR01_UPCL
54458  
54459 smr01
54460 .
54461 b\99
54462 .
54463 _UPCL
54464
54465
54466         )
54467
54468 10157 \ 1
54469         #SMR01_SCKE
54470  
54471 smr01
54472 .
54473 b\99
54474 .
54475 _SCKE
54476
54477
54478         )
54479
54480 10158 \ 1
54481         #SMR01_SOE
54482  
54483 smr01
54484 .
54485 b\99
54486 .
54487 _SOE
54488
54489
54490         )
54491
54492 10159 \ 1
54493         #SMR01_MD
54494  
54495 smr01
54496 .
54497 b\99c
54498 .
54499 _MD
54500
54501
54502         )
54503
54504 10160 
54505 __IO_EXTERN
54506  
54507 __io
54508  
54509 SSR01STR
54510  
54511         gs¤01
54512 ;
54513
54514 10161 \ 1
54515         #SSR01
54516  
54517 s¤01
54518 .
54519 by\8b
54520
54521
54522         )
54523
54524 10162 \ 1
54525         #SSR01_PE
54526  
54527 s¤01
54528 .
54529 b\99
54530 .
54531 _PE
54532
54533
54534         )
54535
54536 10163 \ 1
54537         #SSR01_ORE
54538  
54539 s¤01
54540 .
54541 b\99
54542 .
54543 _ORE
54544
54545
54546         )
54547
54548 10164 \ 1
54549         #SSR01_FRE
54550  
54551 s¤01
54552 .
54553 b\99
54554 .
54555 _FRE
54556
54557
54558         )
54559
54560 10165 \ 1
54561         #SSR01_RDRF
54562  
54563 s¤01
54564 .
54565 b\99
54566 .
54567 _RDRF
54568
54569
54570         )
54571
54572 10166 \ 1
54573         #SSR01_TDRE
54574  
54575 s¤01
54576 .
54577 b\99
54578 .
54579 _TDRE
54580
54581
54582         )
54583
54584 10167 \ 1
54585         #SSR01_BDS
54586  
54587 s¤01
54588 .
54589 b\99
54590 .
54591 _BDS
54592
54593
54594         )
54595
54596 10168 \ 1
54597         #SSR01_RIE
54598  
54599 s¤01
54600 .
54601 b\99
54602 .
54603 _RIE
54604
54605
54606         )
54607
54608 10169 \ 1
54609         #SSR01_TIE
54610  
54611 s¤01
54612 .
54613 b\99
54614 .
54615 _TIE
54616
54617
54618         )
54619
54620 10170 
54621 __IO_EXTERN
54622  
54623 __io
54624  
54625 IO_BYTE
54626  
54627         grdr01
54628 ;
54629
54630 10171 \ 1
54631         #RDR01
54632  
54633 rdr01
54634
54635
54636         )
54637
54638 10172 
54639 __IO_EXTERN
54640  
54641 __io
54642  
54643 IO_BYTE
54644  
54645         gtdr01
54646 ;
54647
54648 10173 \ 1
54649         #TDR01
54650  
54651 tdr01
54652
54653
54654         )
54655
54656 10174 
54657 __IO_EXTERN
54658  
54659 __io
54660  
54661 ESCR01STR
54662  
54663         gesü01
54664 ;
54665
54666 10175 \ 1
54667         #ESCR01
54668  
54669 esü01
54670 .
54671 by\8b
54672
54673
54674         )
54675
54676 10176 \ 1
54677         #ESCR01_LBIE
54678  
54679 esü01
54680 .
54681 b\99
54682 .
54683 _LBIE
54684
54685
54686         )
54687
54688 10177 \ 1
54689         #ESCR01_LBD
54690  
54691 esü01
54692 .
54693 b\99
54694 .
54695 _LBD
54696
54697
54698         )
54699
54700 10178 \ 1
54701         #ESCR01_LBL1
54702  
54703 esü01
54704 .
54705 b\99
54706 .
54707 _LBL1
54708
54709
54710         )
54711
54712 10179 \ 1
54713         #ESCR01_LBL0
54714  
54715 esü01
54716 .
54717 b\99
54718 .
54719 _LBL0
54720
54721
54722         )
54723
54724 10180 \ 1
54725         #ESCR01_SOPE
54726  
54727 esü01
54728 .
54729 b\99
54730 .
54731 _SOPE
54732
54733
54734         )
54735
54736 10181 \ 1
54737         #ESCR01_SIOP
54738  
54739 esü01
54740 .
54741 b\99
54742 .
54743 _SIOP
54744
54745
54746         )
54747
54748 10182 \ 1
54749         #ESCR01_CCO
54750  
54751 esü01
54752 .
54753 b\99
54754 .
54755 _CCO
54756
54757
54758         )
54759
54760 10183 \ 1
54761         #ESCR01_SCES
54762  
54763 esü01
54764 .
54765 b\99
54766 .
54767 _SCES
54768
54769
54770         )
54771
54772 10184 \ 1
54773         #ESCR01_LBL
54774  
54775 esü01
54776 .
54777 b\99c
54778 .
54779 _LBL
54780
54781
54782         )
54783
54784 10185 
54785 __IO_EXTERN
54786  
54787 __io
54788  
54789 ECCR01STR
54790  
54791         gecü01
54792 ;
54793
54794 10186 \ 1
54795         #ECCR01
54796  
54797 ecü01
54798 .
54799 by\8b
54800
54801
54802         )
54803
54804 10187 \ 1
54805         #ECCR01_INV
54806  
54807 ecü01
54808 .
54809 b\99
54810 .
54811 _INV
54812
54813
54814         )
54815
54816 10188 \ 1
54817         #ECCR01_LBR
54818  
54819 ecü01
54820 .
54821 b\99
54822 .
54823 _LBR
54824
54825
54826         )
54827
54828 10189 \ 1
54829         #ECCR01_MS
54830  
54831 ecü01
54832 .
54833 b\99
54834 .
54835 _MS
54836
54837
54838         )
54839
54840 10190 \ 1
54841         #ECCR01_SCDE
54842  
54843 ecü01
54844 .
54845 b\99
54846 .
54847 _SCDE
54848
54849
54850         )
54851
54852 10191 \ 1
54853         #ECCR01_SSM
54854  
54855 ecü01
54856 .
54857 b\99
54858 .
54859 _SSM
54860
54861
54862         )
54863
54864 10192 \ 1
54865         #ECCR01_BIE
54866  
54867 ecü01
54868 .
54869 b\99
54870 .
54871 _BIE
54872
54873
54874         )
54875
54876 10193 \ 1
54877         #ECCR01_RBI
54878  
54879 ecü01
54880 .
54881 b\99
54882 .
54883 _RBI
54884
54885
54886         )
54887
54888 10194 \ 1
54889         #ECCR01_TBI
54890  
54891 ecü01
54892 .
54893 b\99
54894 .
54895 _TBI
54896
54897
54898         )
54899
54900 10195 
54901 __IO_EXTERN
54902  
54903 __io
54904  
54905 SCR02STR
54906  
54907         gsü02
54908 ;
54909
54910 10196 \ 1
54911         #SCR02
54912  
54913 sü02
54914 .
54915 by\8b
54916
54917
54918         )
54919
54920 10197 \ 1
54921         #SCR02_PEN
54922  
54923 sü02
54924 .
54925 b\99
54926 .
54927 _PEN
54928
54929
54930         )
54931
54932 10198 \ 1
54933         #SCR02_P
54934  
54935 sü02
54936 .
54937 b\99
54938 .
54939 _P
54940
54941
54942         )
54943
54944 10199 \ 1
54945         #SCR02_SBL
54946  
54947 sü02
54948 .
54949 b\99
54950 .
54951 _SBL
54952
54953
54954         )
54955
54956 10200 \ 1
54957         #SCR02_CL
54958  
54959 sü02
54960 .
54961 b\99
54962 .
54963 _CL
54964
54965
54966         )
54967
54968 10201 \ 1
54969         #SCR02_AD
54970  
54971 sü02
54972 .
54973 b\99
54974 .
54975 _AD
54976
54977
54978         )
54979
54980 10202 \ 1
54981         #SCR02_CRE
54982  
54983 sü02
54984 .
54985 b\99
54986 .
54987 _CRE
54988
54989
54990         )
54991
54992 10203 \ 1
54993         #SCR02_RXE
54994  
54995 sü02
54996 .
54997 b\99
54998 .
54999 _RXE
55000
55001
55002         )
55003
55004 10204 \ 1
55005         #SCR02_TXE
55006  
55007 sü02
55008 .
55009 b\99
55010 .
55011 _TXE
55012
55013
55014         )
55015
55016 10205 
55017 __IO_EXTERN
55018  
55019 __io
55020  
55021 SMR02STR
55022  
55023         gsmr02
55024 ;
55025
55026 10206 \ 1
55027         #SMR02
55028  
55029 smr02
55030 .
55031 by\8b
55032
55033
55034         )
55035
55036 10207 \ 1
55037         #SMR02_MD1
55038  
55039 smr02
55040 .
55041 b\99
55042 .
55043 _MD1
55044
55045
55046         )
55047
55048 10208 \ 1
55049         #SMR02_MD0
55050  
55051 smr02
55052 .
55053 b\99
55054 .
55055 _MD0
55056
55057
55058         )
55059
55060 10209 \ 1
55061         #SMR02_OTO
55062  
55063 smr02
55064 .
55065 b\99
55066 .
55067 _OTO
55068
55069
55070         )
55071
55072 10210 \ 1
55073         #SMR02_EXT
55074  
55075 smr02
55076 .
55077 b\99
55078 .
55079 _EXT
55080
55081
55082         )
55083
55084 10211 \ 1
55085         #SMR02_REST
55086  
55087 smr02
55088 .
55089 b\99
55090 .
55091 _REST
55092
55093
55094         )
55095
55096 10212 \ 1
55097         #SMR02_UPCL
55098  
55099 smr02
55100 .
55101 b\99
55102 .
55103 _UPCL
55104
55105
55106         )
55107
55108 10213 \ 1
55109         #SMR02_SCKE
55110  
55111 smr02
55112 .
55113 b\99
55114 .
55115 _SCKE
55116
55117
55118         )
55119
55120 10214 \ 1
55121         #SMR02_SOE
55122  
55123 smr02
55124 .
55125 b\99
55126 .
55127 _SOE
55128
55129
55130         )
55131
55132 10215 \ 1
55133         #SMR02_MD
55134  
55135 smr02
55136 .
55137 b\99c
55138 .
55139 _MD
55140
55141
55142         )
55143
55144 10216 
55145 __IO_EXTERN
55146  
55147 __io
55148  
55149 SSR02STR
55150  
55151         gs¤02
55152 ;
55153
55154 10217 \ 1
55155         #SSR02
55156  
55157 s¤02
55158 .
55159 by\8b
55160
55161
55162         )
55163
55164 10218 \ 1
55165         #SSR02_PE
55166  
55167 s¤02
55168 .
55169 b\99
55170 .
55171 _PE
55172
55173
55174         )
55175
55176 10219 \ 1
55177         #SSR02_ORE
55178  
55179 s¤02
55180 .
55181 b\99
55182 .
55183 _ORE
55184
55185
55186         )
55187
55188 10220 \ 1
55189         #SSR02_FRE
55190  
55191 s¤02
55192 .
55193 b\99
55194 .
55195 _FRE
55196
55197
55198         )
55199
55200 10221 \ 1
55201         #SSR02_RDRF
55202  
55203 s¤02
55204 .
55205 b\99
55206 .
55207 _RDRF
55208
55209
55210         )
55211
55212 10222 \ 1
55213         #SSR02_TDRE
55214  
55215 s¤02
55216 .
55217 b\99
55218 .
55219 _TDRE
55220
55221
55222         )
55223
55224 10223 \ 1
55225         #SSR02_BDS
55226  
55227 s¤02
55228 .
55229 b\99
55230 .
55231 _BDS
55232
55233
55234         )
55235
55236 10224 \ 1
55237         #SSR02_RIE
55238  
55239 s¤02
55240 .
55241 b\99
55242 .
55243 _RIE
55244
55245
55246         )
55247
55248 10225 \ 1
55249         #SSR02_TIE
55250  
55251 s¤02
55252 .
55253 b\99
55254 .
55255 _TIE
55256
55257
55258         )
55259
55260 10226 
55261 __IO_EXTERN
55262  
55263 __io
55264  
55265 IO_BYTE
55266  
55267         grdr02
55268 ;
55269
55270 10227 \ 1
55271         #RDR02
55272  
55273 rdr02
55274
55275
55276         )
55277
55278 10228 
55279 __IO_EXTERN
55280  
55281 __io
55282  
55283 IO_BYTE
55284  
55285         gtdr02
55286 ;
55287
55288 10229 \ 1
55289         #TDR02
55290  
55291 tdr02
55292
55293
55294         )
55295
55296 10230 
55297 __IO_EXTERN
55298  
55299 __io
55300  
55301 ESCR02STR
55302  
55303         gesü02
55304 ;
55305
55306 10231 \ 1
55307         #ESCR02
55308  
55309 esü02
55310 .
55311 by\8b
55312
55313
55314         )
55315
55316 10232 \ 1
55317         #ESCR02_LBIE
55318  
55319 esü02
55320 .
55321 b\99
55322 .
55323 _LBIE
55324
55325
55326         )
55327
55328 10233 \ 1
55329         #ESCR02_LBD
55330  
55331 esü02
55332 .
55333 b\99
55334 .
55335 _LBD
55336
55337
55338         )
55339
55340 10234 \ 1
55341         #ESCR02_LBL1
55342  
55343 esü02
55344 .
55345 b\99
55346 .
55347 _LBL1
55348
55349
55350         )
55351
55352 10235 \ 1
55353         #ESCR02_LBL0
55354  
55355 esü02
55356 .
55357 b\99
55358 .
55359 _LBL0
55360
55361
55362         )
55363
55364 10236 \ 1
55365         #ESCR02_SOPE
55366  
55367 esü02
55368 .
55369 b\99
55370 .
55371 _SOPE
55372
55373
55374         )
55375
55376 10237 \ 1
55377         #ESCR02_SIOP
55378  
55379 esü02
55380 .
55381 b\99
55382 .
55383 _SIOP
55384
55385
55386         )
55387
55388 10238 \ 1
55389         #ESCR02_CCO
55390  
55391 esü02
55392 .
55393 b\99
55394 .
55395 _CCO
55396
55397
55398         )
55399
55400 10239 \ 1
55401         #ESCR02_SCES
55402  
55403 esü02
55404 .
55405 b\99
55406 .
55407 _SCES
55408
55409
55410         )
55411
55412 10240 \ 1
55413         #ESCR02_LBL
55414  
55415 esü02
55416 .
55417 b\99c
55418 .
55419 _LBL
55420
55421
55422         )
55423
55424 10241 
55425 __IO_EXTERN
55426  
55427 __io
55428  
55429 ECCR02STR
55430  
55431         gecü02
55432 ;
55433
55434 10242 \ 1
55435         #ECCR02
55436  
55437 ecü02
55438 .
55439 by\8b
55440
55441
55442         )
55443
55444 10243 \ 1
55445         #ECCR02_INV
55446  
55447 ecü02
55448 .
55449 b\99
55450 .
55451 _INV
55452
55453
55454         )
55455
55456 10244 \ 1
55457         #ECCR02_LBR
55458  
55459 ecü02
55460 .
55461 b\99
55462 .
55463 _LBR
55464
55465
55466         )
55467
55468 10245 \ 1
55469         #ECCR02_MS
55470  
55471 ecü02
55472 .
55473 b\99
55474 .
55475 _MS
55476
55477
55478         )
55479
55480 10246 \ 1
55481         #ECCR02_SCDE
55482  
55483 ecü02
55484 .
55485 b\99
55486 .
55487 _SCDE
55488
55489
55490         )
55491
55492 10247 \ 1
55493         #ECCR02_SSM
55494  
55495 ecü02
55496 .
55497 b\99
55498 .
55499 _SSM
55500
55501
55502         )
55503
55504 10248 \ 1
55505         #ECCR02_BIE
55506  
55507 ecü02
55508 .
55509 b\99
55510 .
55511 _BIE
55512
55513
55514         )
55515
55516 10249 \ 1
55517         #ECCR02_RBI
55518  
55519 ecü02
55520 .
55521 b\99
55522 .
55523 _RBI
55524
55525
55526         )
55527
55528 10250 \ 1
55529         #ECCR02_TBI
55530  
55531 ecü02
55532 .
55533 b\99
55534 .
55535 _TBI
55536
55537
55538         )
55539
55540 10251 
55541 __IO_EXTERN
55542  
55543 __io
55544  
55545 SCR03STR
55546  
55547         gsü03
55548 ;
55549
55550 10252 \ 1
55551         #SCR03
55552  
55553 sü03
55554 .
55555 by\8b
55556
55557
55558         )
55559
55560 10253 \ 1
55561         #SCR03_PEN
55562  
55563 sü03
55564 .
55565 b\99
55566 .
55567 _PEN
55568
55569
55570         )
55571
55572 10254 \ 1
55573         #SCR03_P
55574  
55575 sü03
55576 .
55577 b\99
55578 .
55579 _P
55580
55581
55582         )
55583
55584 10255 \ 1
55585         #SCR03_SBL
55586  
55587 sü03
55588 .
55589 b\99
55590 .
55591 _SBL
55592
55593
55594         )
55595
55596 10256 \ 1
55597         #SCR03_CL
55598  
55599 sü03
55600 .
55601 b\99
55602 .
55603 _CL
55604
55605
55606         )
55607
55608 10257 \ 1
55609         #SCR03_AD
55610  
55611 sü03
55612 .
55613 b\99
55614 .
55615 _AD
55616
55617
55618         )
55619
55620 10258 \ 1
55621         #SCR03_CRE
55622  
55623 sü03
55624 .
55625 b\99
55626 .
55627 _CRE
55628
55629
55630         )
55631
55632 10259 \ 1
55633         #SCR03_RXE
55634  
55635 sü03
55636 .
55637 b\99
55638 .
55639 _RXE
55640
55641
55642         )
55643
55644 10260 \ 1
55645         #SCR03_TXE
55646  
55647 sü03
55648 .
55649 b\99
55650 .
55651 _TXE
55652
55653
55654         )
55655
55656 10261 
55657 __IO_EXTERN
55658  
55659 __io
55660  
55661 SMR03STR
55662  
55663         gsmr03
55664 ;
55665
55666 10262 \ 1
55667         #SMR03
55668  
55669 smr03
55670 .
55671 by\8b
55672
55673
55674         )
55675
55676 10263 \ 1
55677         #SMR03_MD1
55678  
55679 smr03
55680 .
55681 b\99
55682 .
55683 _MD1
55684
55685
55686         )
55687
55688 10264 \ 1
55689         #SMR03_MD0
55690  
55691 smr03
55692 .
55693 b\99
55694 .
55695 _MD0
55696
55697
55698         )
55699
55700 10265 \ 1
55701         #SMR03_OTO
55702  
55703 smr03
55704 .
55705 b\99
55706 .
55707 _OTO
55708
55709
55710         )
55711
55712 10266 \ 1
55713         #SMR03_EXT
55714  
55715 smr03
55716 .
55717 b\99
55718 .
55719 _EXT
55720
55721
55722         )
55723
55724 10267 \ 1
55725         #SMR03_REST
55726  
55727 smr03
55728 .
55729 b\99
55730 .
55731 _REST
55732
55733
55734         )
55735
55736 10268 \ 1
55737         #SMR03_UPCL
55738  
55739 smr03
55740 .
55741 b\99
55742 .
55743 _UPCL
55744
55745
55746         )
55747
55748 10269 \ 1
55749         #SMR03_SCKE
55750  
55751 smr03
55752 .
55753 b\99
55754 .
55755 _SCKE
55756
55757
55758         )
55759
55760 10270 \ 1
55761         #SMR03_SOE
55762  
55763 smr03
55764 .
55765 b\99
55766 .
55767 _SOE
55768
55769
55770         )
55771
55772 10271 \ 1
55773         #SMR03_MD
55774  
55775 smr03
55776 .
55777 b\99c
55778 .
55779 _MD
55780
55781
55782         )
55783
55784 10272 
55785 __IO_EXTERN
55786  
55787 __io
55788  
55789 SSR03STR
55790  
55791         gs¤03
55792 ;
55793
55794 10273 \ 1
55795         #SSR03
55796  
55797 s¤03
55798 .
55799 by\8b
55800
55801
55802         )
55803
55804 10274 \ 1
55805         #SSR03_PE
55806  
55807 s¤03
55808 .
55809 b\99
55810 .
55811 _PE
55812
55813
55814         )
55815
55816 10275 \ 1
55817         #SSR03_ORE
55818  
55819 s¤03
55820 .
55821 b\99
55822 .
55823 _ORE
55824
55825
55826         )
55827
55828 10276 \ 1
55829         #SSR03_FRE
55830  
55831 s¤03
55832 .
55833 b\99
55834 .
55835 _FRE
55836
55837
55838         )
55839
55840 10277 \ 1
55841         #SSR03_RDRF
55842  
55843 s¤03
55844 .
55845 b\99
55846 .
55847 _RDRF
55848
55849
55850         )
55851
55852 10278 \ 1
55853         #SSR03_TDRE
55854  
55855 s¤03
55856 .
55857 b\99
55858 .
55859 _TDRE
55860
55861
55862         )
55863
55864 10279 \ 1
55865         #SSR03_BDS
55866  
55867 s¤03
55868 .
55869 b\99
55870 .
55871 _BDS
55872
55873
55874         )
55875
55876 10280 \ 1
55877         #SSR03_RIE
55878  
55879 s¤03
55880 .
55881 b\99
55882 .
55883 _RIE
55884
55885
55886         )
55887
55888 10281 \ 1
55889         #SSR03_TIE
55890  
55891 s¤03
55892 .
55893 b\99
55894 .
55895 _TIE
55896
55897
55898         )
55899
55900 10282 
55901 __IO_EXTERN
55902  
55903 __io
55904  
55905 IO_BYTE
55906  
55907         grdr03
55908 ;
55909
55910 10283 \ 1
55911         #RDR03
55912  
55913 rdr03
55914
55915
55916         )
55917
55918 10284 
55919 __IO_EXTERN
55920  
55921 __io
55922  
55923 IO_BYTE
55924  
55925         gtdr03
55926 ;
55927
55928 10285 \ 1
55929         #TDR03
55930  
55931 tdr03
55932
55933
55934         )
55935
55936 10286 
55937 __IO_EXTERN
55938  
55939 __io
55940  
55941 ESCR03STR
55942  
55943         gesü03
55944 ;
55945
55946 10287 \ 1
55947         #ESCR03
55948  
55949 esü03
55950 .
55951 by\8b
55952
55953
55954         )
55955
55956 10288 \ 1
55957         #ESCR03_LBIE
55958  
55959 esü03
55960 .
55961 b\99
55962 .
55963 _LBIE
55964
55965
55966         )
55967
55968 10289 \ 1
55969         #ESCR03_LBD
55970  
55971 esü03
55972 .
55973 b\99
55974 .
55975 _LBD
55976
55977
55978         )
55979
55980 10290 \ 1
55981         #ESCR03_LBL1
55982  
55983 esü03
55984 .
55985 b\99
55986 .
55987 _LBL1
55988
55989
55990         )
55991
55992 10291 \ 1
55993         #ESCR03_LBL0
55994  
55995 esü03
55996 .
55997 b\99
55998 .
55999 _LBL0
56000
56001
56002         )
56003
56004 10292 \ 1
56005         #ESCR03_SOPE
56006  
56007 esü03
56008 .
56009 b\99
56010 .
56011 _SOPE
56012
56013
56014         )
56015
56016 10293 \ 1
56017         #ESCR03_SIOP
56018  
56019 esü03
56020 .
56021 b\99
56022 .
56023 _SIOP
56024
56025
56026         )
56027
56028 10294 \ 1
56029         #ESCR03_CCO
56030  
56031 esü03
56032 .
56033 b\99
56034 .
56035 _CCO
56036
56037
56038         )
56039
56040 10295 \ 1
56041         #ESCR03_SCES
56042  
56043 esü03
56044 .
56045 b\99
56046 .
56047 _SCES
56048
56049
56050         )
56051
56052 10296 \ 1
56053         #ESCR03_LBL
56054  
56055 esü03
56056 .
56057 b\99c
56058 .
56059 _LBL
56060
56061
56062         )
56063
56064 10297 
56065 __IO_EXTERN
56066  
56067 __io
56068  
56069 ECCR03STR
56070  
56071         gecü03
56072 ;
56073
56074 10298 \ 1
56075         #ECCR03
56076  
56077 ecü03
56078 .
56079 by\8b
56080
56081
56082         )
56083
56084 10299 \ 1
56085         #ECCR03_INV
56086  
56087 ecü03
56088 .
56089 b\99
56090 .
56091 _INV
56092
56093
56094         )
56095
56096 10300 \ 1
56097         #ECCR03_LBR
56098  
56099 ecü03
56100 .
56101 b\99
56102 .
56103 _LBR
56104
56105
56106         )
56107
56108 10301 \ 1
56109         #ECCR03_MS
56110  
56111 ecü03
56112 .
56113 b\99
56114 .
56115 _MS
56116
56117
56118         )
56119
56120 10302 \ 1
56121         #ECCR03_SCDE
56122  
56123 ecü03
56124 .
56125 b\99
56126 .
56127 _SCDE
56128
56129
56130         )
56131
56132 10303 \ 1
56133         #ECCR03_SSM
56134  
56135 ecü03
56136 .
56137 b\99
56138 .
56139 _SSM
56140
56141
56142         )
56143
56144 10304 \ 1
56145         #ECCR03_BIE
56146  
56147 ecü03
56148 .
56149 b\99
56150 .
56151 _BIE
56152
56153
56154         )
56155
56156 10305 \ 1
56157         #ECCR03_RBI
56158  
56159 ecü03
56160 .
56161 b\99
56162 .
56163 _RBI
56164
56165
56166         )
56167
56168 10306 \ 1
56169         #ECCR03_TBI
56170  
56171 ecü03
56172 .
56173 b\99
56174 .
56175 _TBI
56176
56177
56178         )
56179
56180 10307 
56181 __IO_EXTERN
56182  
56183 __io
56184  
56185 SCR04STR
56186  
56187         gsü04
56188 ;
56189
56190 10308 \ 1
56191         #SCR04
56192  
56193 sü04
56194 .
56195 by\8b
56196
56197
56198         )
56199
56200 10309 \ 1
56201         #SCR04_PEN
56202  
56203 sü04
56204 .
56205 b\99
56206 .
56207 _PEN
56208
56209
56210         )
56211
56212 10310 \ 1
56213         #SCR04_P
56214  
56215 sü04
56216 .
56217 b\99
56218 .
56219 _P
56220
56221
56222         )
56223
56224 10311 \ 1
56225         #SCR04_SBL
56226  
56227 sü04
56228 .
56229 b\99
56230 .
56231 _SBL
56232
56233
56234         )
56235
56236 10312 \ 1
56237         #SCR04_CL
56238  
56239 sü04
56240 .
56241 b\99
56242 .
56243 _CL
56244
56245
56246         )
56247
56248 10313 \ 1
56249         #SCR04_AD
56250  
56251 sü04
56252 .
56253 b\99
56254 .
56255 _AD
56256
56257
56258         )
56259
56260 10314 \ 1
56261         #SCR04_CRE
56262  
56263 sü04
56264 .
56265 b\99
56266 .
56267 _CRE
56268
56269
56270         )
56271
56272 10315 \ 1
56273         #SCR04_RXE
56274  
56275 sü04
56276 .
56277 b\99
56278 .
56279 _RXE
56280
56281
56282         )
56283
56284 10316 \ 1
56285         #SCR04_TXE
56286  
56287 sü04
56288 .
56289 b\99
56290 .
56291 _TXE
56292
56293
56294         )
56295
56296 10317 
56297 __IO_EXTERN
56298  
56299 __io
56300  
56301 SMR04STR
56302  
56303         gsmr04
56304 ;
56305
56306 10318 \ 1
56307         #SMR04
56308  
56309 smr04
56310 .
56311 by\8b
56312
56313
56314         )
56315
56316 10319 \ 1
56317         #SMR04_MD1
56318  
56319 smr04
56320 .
56321 b\99
56322 .
56323 _MD1
56324
56325
56326         )
56327
56328 10320 \ 1
56329         #SMR04_MD0
56330  
56331 smr04
56332 .
56333 b\99
56334 .
56335 _MD0
56336
56337
56338         )
56339
56340 10321 \ 1
56341         #SMR04_OTO
56342  
56343 smr04
56344 .
56345 b\99
56346 .
56347 _OTO
56348
56349
56350         )
56351
56352 10322 \ 1
56353         #SMR04_EXT
56354  
56355 smr04
56356 .
56357 b\99
56358 .
56359 _EXT
56360
56361
56362         )
56363
56364 10323 \ 1
56365         #SMR04_REST
56366  
56367 smr04
56368 .
56369 b\99
56370 .
56371 _REST
56372
56373
56374         )
56375
56376 10324 \ 1
56377         #SMR04_UPCL
56378  
56379 smr04
56380 .
56381 b\99
56382 .
56383 _UPCL
56384
56385
56386         )
56387
56388 10325 \ 1
56389         #SMR04_SCKE
56390  
56391 smr04
56392 .
56393 b\99
56394 .
56395 _SCKE
56396
56397
56398         )
56399
56400 10326 \ 1
56401         #SMR04_SOE
56402  
56403 smr04
56404 .
56405 b\99
56406 .
56407 _SOE
56408
56409
56410         )
56411
56412 10327 \ 1
56413         #SMR04_MD
56414  
56415 smr04
56416 .
56417 b\99c
56418 .
56419 _MD
56420
56421
56422         )
56423
56424 10328 
56425 __IO_EXTERN
56426  
56427 __io
56428  
56429 SSR04STR
56430  
56431         gs¤04
56432 ;
56433
56434 10329 \ 1
56435         #SSR04
56436  
56437 s¤04
56438 .
56439 by\8b
56440
56441
56442         )
56443
56444 10330 \ 1
56445         #SSR04_PE
56446  
56447 s¤04
56448 .
56449 b\99
56450 .
56451 _PE
56452
56453
56454         )
56455
56456 10331 \ 1
56457         #SSR04_ORE
56458  
56459 s¤04
56460 .
56461 b\99
56462 .
56463 _ORE
56464
56465
56466         )
56467
56468 10332 \ 1
56469         #SSR04_FRE
56470  
56471 s¤04
56472 .
56473 b\99
56474 .
56475 _FRE
56476
56477
56478         )
56479
56480 10333 \ 1
56481         #SSR04_RDRF
56482  
56483 s¤04
56484 .
56485 b\99
56486 .
56487 _RDRF
56488
56489
56490         )
56491
56492 10334 \ 1
56493         #SSR04_TDRE
56494  
56495 s¤04
56496 .
56497 b\99
56498 .
56499 _TDRE
56500
56501
56502         )
56503
56504 10335 \ 1
56505         #SSR04_BDS
56506  
56507 s¤04
56508 .
56509 b\99
56510 .
56511 _BDS
56512
56513
56514         )
56515
56516 10336 \ 1
56517         #SSR04_RIE
56518  
56519 s¤04
56520 .
56521 b\99
56522 .
56523 _RIE
56524
56525
56526         )
56527
56528 10337 \ 1
56529         #SSR04_TIE
56530  
56531 s¤04
56532 .
56533 b\99
56534 .
56535 _TIE
56536
56537
56538         )
56539
56540 10338 
56541 __IO_EXTERN
56542  
56543 __io
56544  
56545 IO_BYTE
56546  
56547         grdr04
56548 ;
56549
56550 10339 \ 1
56551         #RDR04
56552  
56553 rdr04
56554
56555
56556         )
56557
56558 10340 
56559 __IO_EXTERN
56560  
56561 __io
56562  
56563 IO_BYTE
56564  
56565         gtdr04
56566 ;
56567
56568 10341 \ 1
56569         #TDR04
56570  
56571 tdr04
56572
56573
56574         )
56575
56576 10342 
56577 __IO_EXTERN
56578  
56579 __io
56580  
56581 ESCR04STR
56582  
56583         gesü04
56584 ;
56585
56586 10343 \ 1
56587         #ESCR04
56588  
56589 esü04
56590 .
56591 by\8b
56592
56593
56594         )
56595
56596 10344 \ 1
56597         #ESCR04_LBIE
56598  
56599 esü04
56600 .
56601 b\99
56602 .
56603 _LBIE
56604
56605
56606         )
56607
56608 10345 \ 1
56609         #ESCR04_LBD
56610  
56611 esü04
56612 .
56613 b\99
56614 .
56615 _LBD
56616
56617
56618         )
56619
56620 10346 \ 1
56621         #ESCR04_LBL1
56622  
56623 esü04
56624 .
56625 b\99
56626 .
56627 _LBL1
56628
56629
56630         )
56631
56632 10347 \ 1
56633         #ESCR04_LBL0
56634  
56635 esü04
56636 .
56637 b\99
56638 .
56639 _LBL0
56640
56641
56642         )
56643
56644 10348 \ 1
56645         #ESCR04_SOPE
56646  
56647 esü04
56648 .
56649 b\99
56650 .
56651 _SOPE
56652
56653
56654         )
56655
56656 10349 \ 1
56657         #ESCR04_SIOP
56658  
56659 esü04
56660 .
56661 b\99
56662 .
56663 _SIOP
56664
56665
56666         )
56667
56668 10350 \ 1
56669         #ESCR04_CCO
56670  
56671 esü04
56672 .
56673 b\99
56674 .
56675 _CCO
56676
56677
56678         )
56679
56680 10351 \ 1
56681         #ESCR04_SCES
56682  
56683 esü04
56684 .
56685 b\99
56686 .
56687 _SCES
56688
56689
56690         )
56691
56692 10352 \ 1
56693         #ESCR04_LBL
56694  
56695 esü04
56696 .
56697 b\99c
56698 .
56699 _LBL
56700
56701
56702         )
56703
56704 10353 
56705 __IO_EXTERN
56706  
56707 __io
56708  
56709 ECCR04STR
56710  
56711         gecü04
56712 ;
56713
56714 10354 \ 1
56715         #ECCR04
56716  
56717 ecü04
56718 .
56719 by\8b
56720
56721
56722         )
56723
56724 10355 \ 1
56725         #ECCR04_INV
56726  
56727 ecü04
56728 .
56729 b\99
56730 .
56731 _INV
56732
56733
56734         )
56735
56736 10356 \ 1
56737         #ECCR04_LBR
56738  
56739 ecü04
56740 .
56741 b\99
56742 .
56743 _LBR
56744
56745
56746         )
56747
56748 10357 \ 1
56749         #ECCR04_MS
56750  
56751 ecü04
56752 .
56753 b\99
56754 .
56755 _MS
56756
56757
56758         )
56759
56760 10358 \ 1
56761         #ECCR04_SCDE
56762  
56763 ecü04
56764 .
56765 b\99
56766 .
56767 _SCDE
56768
56769
56770         )
56771
56772 10359 \ 1
56773         #ECCR04_SSM
56774  
56775 ecü04
56776 .
56777 b\99
56778 .
56779 _SSM
56780
56781
56782         )
56783
56784 10360 \ 1
56785         #ECCR04_BIE
56786  
56787 ecü04
56788 .
56789 b\99
56790 .
56791 _BIE
56792
56793
56794         )
56795
56796 10361 \ 1
56797         #ECCR04_RBI
56798  
56799 ecü04
56800 .
56801 b\99
56802 .
56803 _RBI
56804
56805
56806         )
56807
56808 10362 \ 1
56809         #ECCR04_TBI
56810  
56811 ecü04
56812 .
56813 b\99
56814 .
56815 _TBI
56816
56817
56818         )
56819
56820 10363 
56821 __IO_EXTERN
56822  
56823 __io
56824  
56825 IO_BYTE
56826  
56827         gf¤04
56828 ;
56829
56830 10364 \ 1
56831         #FSR04
56832  
56833 f¤04
56834
56835
56836         )
56837
56838 10365 
56839 __IO_EXTERN
56840  
56841 __io
56842  
56843 FCR04STR
56844  
56845         gfü04
56846 ;
56847
56848 10366 \ 1
56849         #FCR04
56850  
56851 fü04
56852 .
56853 by\8b
56854
56855
56856         )
56857
56858 10367 \ 1
56859         #FCR04_RXL3
56860  
56861 fü04
56862 .
56863 b\99
56864 .
56865 _RXL3
56866
56867
56868         )
56869
56870 10368 \ 1
56871         #FCR04_RXL2
56872  
56873 fü04
56874 .
56875 b\99
56876 .
56877 _RXL2
56878
56879
56880         )
56881
56882 10369 \ 1
56883         #FCR04_RXL1
56884  
56885 fü04
56886 .
56887 b\99
56888 .
56889 _RXL1
56890
56891
56892         )
56893
56894 10370 \ 1
56895         #FCR04_RXL0
56896  
56897 fü04
56898 .
56899 b\99
56900 .
56901 _RXL0
56902
56903
56904         )
56905
56906 10371 \ 1
56907         #FCR04_ERX
56908  
56909 fü04
56910 .
56911 b\99
56912 .
56913 _ERX
56914
56915
56916         )
56917
56918 10372 \ 1
56919         #FCR04_ETX
56920  
56921 fü04
56922 .
56923 b\99
56924 .
56925 _ETX
56926
56927
56928         )
56929
56930 10373 \ 1
56931         #FCR04_SVD
56932  
56933 fü04
56934 .
56935 b\99
56936 .
56937 _SVD
56938
56939
56940         )
56941
56942 10374 \ 1
56943         #FCR04_RXL
56944  
56945 fü04
56946 .
56947 b\99c
56948 .
56949 _RXL
56950
56951
56952         )
56953
56954 10375 
56955 __IO_EXTERN
56956  
56957 __io
56958  
56959 IO_WORD
56960  
56961         gbgr00
56962 ;
56963
56964 10376 \ 1
56965         #BGR00
56966  
56967 bgr00
56968
56969
56970         )
56971
56972 10377 
56973 __IO_EXTERN
56974  
56975 __io
56976  
56977 IO_BYTE
56978  
56979         gbgr100
56980 ;
56981
56982 10378 \ 1
56983         #BGR100
56984  
56985 bgr100
56986
56987
56988         )
56989
56990 10379 
56991 __IO_EXTERN
56992  
56993 __io
56994  
56995 IO_BYTE
56996  
56997         gbgr000
56998 ;
56999
57000 10380 \ 1
57001         #BGR000
57002  
57003 bgr000
57004
57005
57006         )
57007
57008 10381 
57009 __IO_EXTERN
57010  
57011 __io
57012  
57013 IO_WORD
57014  
57015         gbgr01
57016 ;
57017
57018 10382 \ 1
57019         #BGR01
57020  
57021 bgr01
57022
57023
57024         )
57025
57026 10383 
57027 __IO_EXTERN
57028  
57029 __io
57030  
57031 IO_BYTE
57032  
57033         gbgr101
57034 ;
57035
57036 10384 \ 1
57037         #BGR101
57038  
57039 bgr101
57040
57041
57042         )
57043
57044 10385 
57045 __IO_EXTERN
57046  
57047 __io
57048  
57049 IO_BYTE
57050  
57051         gbgr001
57052 ;
57053
57054 10386 \ 1
57055         #BGR001
57056  
57057 bgr001
57058
57059
57060         )
57061
57062 10387 
57063 __IO_EXTERN
57064  
57065 __io
57066  
57067 IO_WORD
57068  
57069         gbgr02
57070 ;
57071
57072 10388 \ 1
57073         #BGR02
57074  
57075 bgr02
57076
57077
57078         )
57079
57080 10389 
57081 __IO_EXTERN
57082  
57083 __io
57084  
57085 IO_BYTE
57086  
57087         gbgr102
57088 ;
57089
57090 10390 \ 1
57091         #BGR102
57092  
57093 bgr102
57094
57095
57096         )
57097
57098 10391 
57099 __IO_EXTERN
57100  
57101 __io
57102  
57103 IO_BYTE
57104  
57105         gbgr002
57106 ;
57107
57108 10392 \ 1
57109         #BGR002
57110  
57111 bgr002
57112
57113
57114         )
57115
57116 10393 
57117 __IO_EXTERN
57118  
57119 __io
57120  
57121 IO_WORD
57122  
57123         gbgr03
57124 ;
57125
57126 10394 \ 1
57127         #BGR03
57128  
57129 bgr03
57130
57131
57132         )
57133
57134 10395 
57135 __IO_EXTERN
57136  
57137 __io
57138  
57139 IO_BYTE
57140  
57141         gbgr103
57142 ;
57143
57144 10396 \ 1
57145         #BGR103
57146  
57147 bgr103
57148
57149
57150         )
57151
57152 10397 
57153 __IO_EXTERN
57154  
57155 __io
57156  
57157 IO_BYTE
57158  
57159         gbgr003
57160 ;
57161
57162 10398 \ 1
57163         #BGR003
57164  
57165 bgr003
57166
57167
57168         )
57169
57170 10399 
57171 __IO_EXTERN
57172  
57173 __io
57174  
57175 IO_WORD
57176  
57177         gbgr04
57178 ;
57179
57180 10400 \ 1
57181         #BGR04
57182  
57183 bgr04
57184
57185
57186         )
57187
57188 10401 
57189 __IO_EXTERN
57190  
57191 __io
57192  
57193 IO_BYTE
57194  
57195         gbgr104
57196 ;
57197
57198 10402 \ 1
57199         #BGR104
57200  
57201 bgr104
57202
57203
57204         )
57205
57206 10403 
57207 __IO_EXTERN
57208  
57209 __io
57210  
57211 IO_BYTE
57212  
57213         gbgr004
57214 ;
57215
57216 10404 \ 1
57217         #BGR004
57218  
57219 bgr004
57220
57221
57222         )
57223
57224 10405 
57225 __IO_EXTERN
57226  
57227 __io
57228  
57229 IBCR0STR
57230  
57231         gibü0
57232 ;
57233
57234 10406 \ 1
57235         #IBCR0
57236  
57237 ibü0
57238 .
57239 by\8b
57240
57241
57242         )
57243
57244 10407 \ 1
57245         #IBCR0_BER
57246  
57247 ibü0
57248 .
57249 b\99
57250 .
57251 _BER
57252
57253
57254         )
57255
57256 10408 \ 1
57257         #IBCR0_BEIE
57258  
57259 ibü0
57260 .
57261 b\99
57262 .
57263 _BEIE
57264
57265
57266         )
57267
57268 10409 \ 1
57269         #IBCR0_SCC
57270  
57271 ibü0
57272 .
57273 b\99
57274 .
57275 _SCC
57276
57277
57278         )
57279
57280 10410 \ 1
57281         #IBCR0_MSS
57282  
57283 ibü0
57284 .
57285 b\99
57286 .
57287 _MSS
57288
57289
57290         )
57291
57292 10411 \ 1
57293         #IBCR0_ACK
57294  
57295 ibü0
57296 .
57297 b\99
57298 .
57299 _ACK
57300
57301
57302         )
57303
57304 10412 \ 1
57305         #IBCR0_GCAA
57306  
57307 ibü0
57308 .
57309 b\99
57310 .
57311 _GCAA
57312
57313
57314         )
57315
57316 10413 \ 1
57317         #IBCR0_INTE
57318  
57319 ibü0
57320 .
57321 b\99
57322 .
57323 _INTE
57324
57325
57326         )
57327
57328 10414 \ 1
57329         #IBCR0_INT
57330  
57331 ibü0
57332 .
57333 b\99
57334 .
57335 _INT
57336
57337
57338         )
57339
57340 10415 
57341 __IO_EXTERN
57342  
57343 __io
57344  
57345 IBSR0STR
57346  
57347         gib¤0
57348 ;
57349
57350 10416 \ 1
57351         #IBSR0
57352  
57353 ib¤0
57354 .
57355 by\8b
57356
57357
57358         )
57359
57360 10417 \ 1
57361         #IBSR0_BB
57362  
57363 ib¤0
57364 .
57365 b\99
57366 .
57367 _BB
57368
57369
57370         )
57371
57372 10418 \ 1
57373         #IBSR0_RSC
57374  
57375 ib¤0
57376 .
57377 b\99
57378 .
57379 _RSC
57380
57381
57382         )
57383
57384 10419 \ 1
57385         #IBSR0_AL
57386  
57387 ib¤0
57388 .
57389 b\99
57390 .
57391 _AL
57392
57393
57394         )
57395
57396 10420 \ 1
57397         #IBSR0_LRB
57398  
57399 ib¤0
57400 .
57401 b\99
57402 .
57403 _LRB
57404
57405
57406         )
57407
57408 10421 \ 1
57409         #IBSR0_TRX
57410  
57411 ib¤0
57412 .
57413 b\99
57414 .
57415 _TRX
57416
57417
57418         )
57419
57420 10422 \ 1
57421         #IBSR0_AAS
57422  
57423 ib¤0
57424 .
57425 b\99
57426 .
57427 _AAS
57428
57429
57430         )
57431
57432 10423 \ 1
57433         #IBSR0_GCA
57434  
57435 ib¤0
57436 .
57437 b\99
57438 .
57439 _GCA
57440
57441
57442         )
57443
57444 10424 \ 1
57445         #IBSR0_ADT
57446  
57447 ib¤0
57448 .
57449 b\99
57450 .
57451 _ADT
57452
57453
57454         )
57455
57456 10425 
57457 __IO_EXTERN
57458  
57459 __io
57460  
57461 ITBA0STR
57462  
57463         g\99ba0
57464 ;
57465
57466 10426 \ 1
57467         #ITBA0
57468  
57469 \99ba0
57470 .
57471 wÜd
57472
57473
57474         )
57475
57476 10427 \ 1
57477         #ITBA0_TA9
57478  
57479 \99ba0
57480 .
57481 b\99
57482 .
57483 _TA9
57484
57485
57486         )
57487
57488 10428 \ 1
57489         #ITBA0_TA8
57490  
57491 \99ba0
57492 .
57493 b\99
57494 .
57495 _TA8
57496
57497
57498         )
57499
57500 10429 \ 1
57501         #ITBA0_TA7
57502  
57503 \99ba0
57504 .
57505 b\99
57506 .
57507 _TA7
57508
57509
57510         )
57511
57512 10430 \ 1
57513         #ITBA0_TA6
57514  
57515 \99ba0
57516 .
57517 b\99
57518 .
57519 _TA6
57520
57521
57522         )
57523
57524 10431 \ 1
57525         #ITBA0_TA5
57526  
57527 \99ba0
57528 .
57529 b\99
57530 .
57531 _TA5
57532
57533
57534         )
57535
57536 10432 \ 1
57537         #ITBA0_TA4
57538  
57539 \99ba0
57540 .
57541 b\99
57542 .
57543 _TA4
57544
57545
57546         )
57547
57548 10433 \ 1
57549         #ITBA0_TA3
57550  
57551 \99ba0
57552 .
57553 b\99
57554 .
57555 _TA3
57556
57557
57558         )
57559
57560 10434 \ 1
57561         #ITBA0_TA2
57562  
57563 \99ba0
57564 .
57565 b\99
57566 .
57567 _TA2
57568
57569
57570         )
57571
57572 10435 \ 1
57573         #ITBA0_TA1
57574  
57575 \99ba0
57576 .
57577 b\99
57578 .
57579 _TA1
57580
57581
57582         )
57583
57584 10436 \ 1
57585         #ITBA0_TA0
57586  
57587 \99ba0
57588 .
57589 b\99
57590 .
57591 _TA0
57592
57593
57594         )
57595
57596 10437 
57597 __IO_EXTERN
57598  
57599 __io
57600  
57601 ITBAH0STR
57602  
57603         g\99bah0
57604 ;
57605
57606 10438 \ 1
57607         #ITBAH0
57608  
57609 \99bah0
57610 .
57611 by\8b
57612
57613
57614         )
57615
57616 10439 \ 1
57617         #ITBAH0_TA9
57618  
57619 \99bah0
57620 .
57621 b\99
57622 .
57623 _TA9
57624
57625
57626         )
57627
57628 10440 \ 1
57629         #ITBAH0_TA8
57630  
57631 \99bah0
57632 .
57633 b\99
57634 .
57635 _TA8
57636
57637
57638         )
57639
57640 10441 
57641 __IO_EXTERN
57642  
57643 __io
57644  
57645 ITBAL0STR
57646  
57647         g\99b®0
57648 ;
57649
57650 10442 \ 1
57651         #ITBAL0
57652  
57653 \99b®0
57654 .
57655 by\8b
57656
57657
57658         )
57659
57660 10443 \ 1
57661         #ITBAL0_TA7
57662  
57663 \99b®0
57664 .
57665 b\99
57666 .
57667 _TA7
57668
57669
57670         )
57671
57672 10444 \ 1
57673         #ITBAL0_TA6
57674  
57675 \99b®0
57676 .
57677 b\99
57678 .
57679 _TA6
57680
57681
57682         )
57683
57684 10445 \ 1
57685         #ITBAL0_TA5
57686  
57687 \99b®0
57688 .
57689 b\99
57690 .
57691 _TA5
57692
57693
57694         )
57695
57696 10446 \ 1
57697         #ITBAL0_TA4
57698  
57699 \99b®0
57700 .
57701 b\99
57702 .
57703 _TA4
57704
57705
57706         )
57707
57708 10447 \ 1
57709         #ITBAL0_TA3
57710  
57711 \99b®0
57712 .
57713 b\99
57714 .
57715 _TA3
57716
57717
57718         )
57719
57720 10448 \ 1
57721         #ITBAL0_TA2
57722  
57723 \99b®0
57724 .
57725 b\99
57726 .
57727 _TA2
57728
57729
57730         )
57731
57732 10449 \ 1
57733         #ITBAL0_TA1
57734  
57735 \99b®0
57736 .
57737 b\99
57738 .
57739 _TA1
57740
57741
57742         )
57743
57744 10450 \ 1
57745         #ITBAL0_TA0
57746  
57747 \99b®0
57748 .
57749 b\99
57750 .
57751 _TA0
57752
57753
57754         )
57755
57756 10451 
57757 __IO_EXTERN
57758  
57759 __io
57760  
57761 ITMK0STR
57762  
57763         g\99mk0
57764 ;
57765
57766 10452 \ 1
57767         #ITMK0
57768  
57769 \99mk0
57770 .
57771 wÜd
57772
57773
57774         )
57775
57776 10453 \ 1
57777         #ITMK0_ENTB
57778  
57779 \99mk0
57780 .
57781 b\99
57782 .
57783 _ENTB
57784
57785
57786         )
57787
57788 10454 \ 1
57789         #ITMK0_RAL
57790  
57791 \99mk0
57792 .
57793 b\99
57794 .
57795 _RAL
57796
57797
57798         )
57799
57800 10455 \ 1
57801         #ITMK0_TM9
57802  
57803 \99mk0
57804 .
57805 b\99
57806 .
57807 _TM9
57808
57809
57810         )
57811
57812 10456 \ 1
57813         #ITMK0_TM8
57814  
57815 \99mk0
57816 .
57817 b\99
57818 .
57819 _TM8
57820
57821
57822         )
57823
57824 10457 \ 1
57825         #ITMK0_TM7
57826  
57827 \99mk0
57828 .
57829 b\99
57830 .
57831 _TM7
57832
57833
57834         )
57835
57836 10458 \ 1
57837         #ITMK0_TM6
57838  
57839 \99mk0
57840 .
57841 b\99
57842 .
57843 _TM6
57844
57845
57846         )
57847
57848 10459 \ 1
57849         #ITMK0_TM5
57850  
57851 \99mk0
57852 .
57853 b\99
57854 .
57855 _TM5
57856
57857
57858         )
57859
57860 10460 \ 1
57861         #ITMK0_TM4
57862  
57863 \99mk0
57864 .
57865 b\99
57866 .
57867 _TM4
57868
57869
57870         )
57871
57872 10461 \ 1
57873         #ITMK0_TM3
57874  
57875 \99mk0
57876 .
57877 b\99
57878 .
57879 _TM3
57880
57881
57882         )
57883
57884 10462 \ 1
57885         #ITMK0_TM2
57886  
57887 \99mk0
57888 .
57889 b\99
57890 .
57891 _TM2
57892
57893
57894         )
57895
57896 10463 \ 1
57897         #ITMK0_TM1
57898  
57899 \99mk0
57900 .
57901 b\99
57902 .
57903 _TM1
57904
57905
57906         )
57907
57908 10464 \ 1
57909         #ITMK0_TM0
57910  
57911 \99mk0
57912 .
57913 b\99
57914 .
57915 _TM0
57916
57917
57918         )
57919
57920 10465 
57921 __IO_EXTERN
57922  
57923 __io
57924  
57925 ITMKH0STR
57926  
57927         g\99mkh0
57928 ;
57929
57930 10466 \ 1
57931         #ITMKH0
57932  
57933 \99mkh0
57934 .
57935 by\8b
57936
57937
57938         )
57939
57940 10467 \ 1
57941         #ITMKH0_ENTB
57942  
57943 \99mkh0
57944 .
57945 b\99
57946 .
57947 _ENTB
57948
57949
57950         )
57951
57952 10468 \ 1
57953         #ITMKH0_RAL
57954  
57955 \99mkh0
57956 .
57957 b\99
57958 .
57959 _RAL
57960
57961
57962         )
57963
57964 10469 \ 1
57965         #ITMKH0_TM9
57966  
57967 \99mkh0
57968 .
57969 b\99
57970 .
57971 _TM9
57972
57973
57974         )
57975
57976 10470 \ 1
57977         #ITMKH0_TM8
57978  
57979 \99mkh0
57980 .
57981 b\99
57982 .
57983 _TM8
57984
57985
57986         )
57987
57988 10471 
57989 __IO_EXTERN
57990  
57991 __io
57992  
57993 ITMKL0STR
57994  
57995         g\99mkl0
57996 ;
57997
57998 10472 \ 1
57999         #ITMKL0
58000  
58001 \99mkl0
58002 .
58003 by\8b
58004
58005
58006         )
58007
58008 10473 \ 1
58009         #ITMKL0_TM7
58010  
58011 \99mkl0
58012 .
58013 b\99
58014 .
58015 _TM7
58016
58017
58018         )
58019
58020 10474 \ 1
58021         #ITMKL0_TM6
58022  
58023 \99mkl0
58024 .
58025 b\99
58026 .
58027 _TM6
58028
58029
58030         )
58031
58032 10475 \ 1
58033         #ITMKL0_TM5
58034  
58035 \99mkl0
58036 .
58037 b\99
58038 .
58039 _TM5
58040
58041
58042         )
58043
58044 10476 \ 1
58045         #ITMKL0_TM4
58046  
58047 \99mkl0
58048 .
58049 b\99
58050 .
58051 _TM4
58052
58053
58054         )
58055
58056 10477 \ 1
58057         #ITMKL0_TM3
58058  
58059 \99mkl0
58060 .
58061 b\99
58062 .
58063 _TM3
58064
58065
58066         )
58067
58068 10478 \ 1
58069         #ITMKL0_TM2
58070  
58071 \99mkl0
58072 .
58073 b\99
58074 .
58075 _TM2
58076
58077
58078         )
58079
58080 10479 \ 1
58081         #ITMKL0_TM1
58082  
58083 \99mkl0
58084 .
58085 b\99
58086 .
58087 _TM1
58088
58089
58090         )
58091
58092 10480 \ 1
58093         #ITMKL0_TM0
58094  
58095 \99mkl0
58096 .
58097 b\99
58098 .
58099 _TM0
58100
58101
58102         )
58103
58104 10481 
58105 __IO_EXTERN
58106  
58107 __io
58108  
58109 ISMK0STR
58110  
58111         gismk0
58112 ;
58113
58114 10482 \ 1
58115         #ISMK0
58116  
58117 ismk0
58118 .
58119 by\8b
58120
58121
58122         )
58123
58124 10483 \ 1
58125         #ISMK0_ENSB
58126  
58127 ismk0
58128 .
58129 b\99
58130 .
58131 _ENSB
58132
58133
58134         )
58135
58136 10484 \ 1
58137         #ISMK0_SM6
58138  
58139 ismk0
58140 .
58141 b\99
58142 .
58143 _SM6
58144
58145
58146         )
58147
58148 10485 \ 1
58149         #ISMK0_SM5
58150  
58151 ismk0
58152 .
58153 b\99
58154 .
58155 _SM5
58156
58157
58158         )
58159
58160 10486 \ 1
58161         #ISMK0_SM4
58162  
58163 ismk0
58164 .
58165 b\99
58166 .
58167 _SM4
58168
58169
58170         )
58171
58172 10487 \ 1
58173         #ISMK0_SM3
58174  
58175 ismk0
58176 .
58177 b\99
58178 .
58179 _SM3
58180
58181
58182         )
58183
58184 10488 \ 1
58185         #ISMK0_SM2
58186  
58187 ismk0
58188 .
58189 b\99
58190 .
58191 _SM2
58192
58193
58194         )
58195
58196 10489 \ 1
58197         #ISMK0_SM1
58198  
58199 ismk0
58200 .
58201 b\99
58202 .
58203 _SM1
58204
58205
58206         )
58207
58208 10490 \ 1
58209         #ISMK0_SM0
58210  
58211 ismk0
58212 .
58213 b\99
58214 .
58215 _SM0
58216
58217
58218         )
58219
58220 10491 
58221 __IO_EXTERN
58222  
58223 __io
58224  
58225 ISBA0STR
58226  
58227         gisba0
58228 ;
58229
58230 10492 \ 1
58231         #ISBA0
58232  
58233 isba0
58234 .
58235 by\8b
58236
58237
58238         )
58239
58240 10493 \ 1
58241         #ISBA0_SA6
58242  
58243 isba0
58244 .
58245 b\99
58246 .
58247 _SA6
58248
58249
58250         )
58251
58252 10494 \ 1
58253         #ISBA0_SA5
58254  
58255 isba0
58256 .
58257 b\99
58258 .
58259 _SA5
58260
58261
58262         )
58263
58264 10495 \ 1
58265         #ISBA0_SA4
58266  
58267 isba0
58268 .
58269 b\99
58270 .
58271 _SA4
58272
58273
58274         )
58275
58276 10496 \ 1
58277         #ISBA0_SA3
58278  
58279 isba0
58280 .
58281 b\99
58282 .
58283 _SA3
58284
58285
58286         )
58287
58288 10497 \ 1
58289         #ISBA0_SA2
58290  
58291 isba0
58292 .
58293 b\99
58294 .
58295 _SA2
58296
58297
58298         )
58299
58300 10498 \ 1
58301         #ISBA0_SA1
58302  
58303 isba0
58304 .
58305 b\99
58306 .
58307 _SA1
58308
58309
58310         )
58311
58312 10499 \ 1
58313         #ISBA0_SA0
58314  
58315 isba0
58316 .
58317 b\99
58318 .
58319 _SA0
58320
58321
58322         )
58323
58324 10500 
58325 __IO_EXTERN
58326  
58327 __io
58328  
58329 IDAR0STR
58330  
58331         gid¬0
58332 ;
58333
58334 10501 \ 1
58335         #IDAR0
58336  
58337 id¬0
58338 .
58339 by\8b
58340
58341
58342         )
58343
58344 10502 \ 1
58345         #IDAR0_D7
58346  
58347 id¬0
58348 .
58349 b\99
58350 .
58351 _D7
58352
58353
58354         )
58355
58356 10503 \ 1
58357         #IDAR0_D6
58358  
58359 id¬0
58360 .
58361 b\99
58362 .
58363 _D6
58364
58365
58366         )
58367
58368 10504 \ 1
58369         #IDAR0_D5
58370  
58371 id¬0
58372 .
58373 b\99
58374 .
58375 _D5
58376
58377
58378         )
58379
58380 10505 \ 1
58381         #IDAR0_D4
58382  
58383 id¬0
58384 .
58385 b\99
58386 .
58387 _D4
58388
58389
58390         )
58391
58392 10506 \ 1
58393         #IDAR0_D3
58394  
58395 id¬0
58396 .
58397 b\99
58398 .
58399 _D3
58400
58401
58402         )
58403
58404 10507 \ 1
58405         #IDAR0_D2
58406  
58407 id¬0
58408 .
58409 b\99
58410 .
58411 _D2
58412
58413
58414         )
58415
58416 10508 \ 1
58417         #IDAR0_D1
58418  
58419 id¬0
58420 .
58421 b\99
58422 .
58423 _D1
58424
58425
58426         )
58427
58428 10509 \ 1
58429         #IDAR0_D0
58430  
58431 id¬0
58432 .
58433 b\99
58434 .
58435 _D0
58436
58437
58438         )
58439
58440 10510 
58441 __IO_EXTERN
58442  
58443 __io
58444  
58445 ICCR0STR
58446  
58447         gicü0
58448 ;
58449
58450 10511 \ 1
58451         #ICCR0
58452  
58453 icü0
58454 .
58455 by\8b
58456
58457
58458         )
58459
58460 10512 \ 1
58461         #ICCR0_NSF
58462  
58463 icü0
58464 .
58465 b\99
58466 .
58467 _NSF
58468
58469
58470         )
58471
58472 10513 \ 1
58473         #ICCR0_EN
58474  
58475 icü0
58476 .
58477 b\99
58478 .
58479 _EN
58480
58481
58482         )
58483
58484 10514 \ 1
58485         #ICCR0_CS4
58486  
58487 icü0
58488 .
58489 b\99
58490 .
58491 _CS4
58492
58493
58494         )
58495
58496 10515 \ 1
58497         #ICCR0_CS3
58498  
58499 icü0
58500 .
58501 b\99
58502 .
58503 _CS3
58504
58505
58506         )
58507
58508 10516 \ 1
58509         #ICCR0_CS2
58510  
58511 icü0
58512 .
58513 b\99
58514 .
58515 _CS2
58516
58517
58518         )
58519
58520 10517 \ 1
58521         #ICCR0_CS1
58522  
58523 icü0
58524 .
58525 b\99
58526 .
58527 _CS1
58528
58529
58530         )
58531
58532 10518 \ 1
58533         #ICCR0_CS0
58534  
58535 icü0
58536 .
58537 b\99
58538 .
58539 _CS0
58540
58541
58542         )
58543
58544 10519 \ 1
58545         #ICCR0_CS
58546  
58547 icü0
58548 .
58549 b\99c
58550 .
58551 _CS
58552
58553
58554         )
58555
58556 10520 
58557 __IO_EXTERN
58558  
58559 GCN10STR
58560  
58561         ggú10
58562 ;
58563
58564 10521 \ 1
58565         #GCN10
58566  
58567 gú10
58568 .
58569 wÜd
58570
58571
58572         )
58573
58574 10522 \ 1
58575         #GCN10_TSEL33
58576  
58577 gú10
58578 .
58579 b\99
58580 .
58581 _TSEL33
58582
58583
58584         )
58585
58586 10523 \ 1
58587         #GCN10_TSEL32
58588  
58589 gú10
58590 .
58591 b\99
58592 .
58593 _TSEL32
58594
58595
58596         )
58597
58598 10524 \ 1
58599         #GCN10_TSEL31
58600  
58601 gú10
58602 .
58603 b\99
58604 .
58605 _TSEL31
58606
58607
58608         )
58609
58610 10525 \ 1
58611         #GCN10_TSEL30
58612  
58613 gú10
58614 .
58615 b\99
58616 .
58617 _TSEL30
58618
58619
58620         )
58621
58622 10526 \ 1
58623         #GCN10_TSEL23
58624  
58625 gú10
58626 .
58627 b\99
58628 .
58629 _TSEL23
58630
58631
58632         )
58633
58634 10527 \ 1
58635         #GCN10_TSEL22
58636  
58637 gú10
58638 .
58639 b\99
58640 .
58641 _TSEL22
58642
58643
58644         )
58645
58646 10528 \ 1
58647         #GCN10_TSEL21
58648  
58649 gú10
58650 .
58651 b\99
58652 .
58653 _TSEL21
58654
58655
58656         )
58657
58658 10529 \ 1
58659         #GCN10_TSEL20
58660  
58661 gú10
58662 .
58663 b\99
58664 .
58665 _TSEL20
58666
58667
58668         )
58669
58670 10530 \ 1
58671         #GCN10_TSEL13
58672  
58673 gú10
58674 .
58675 b\99
58676 .
58677 _TSEL13
58678
58679
58680         )
58681
58682 10531 \ 1
58683         #GCN10_TSEL12
58684  
58685 gú10
58686 .
58687 b\99
58688 .
58689 _TSEL12
58690
58691
58692         )
58693
58694 10532 \ 1
58695         #GCN10_TSEL11
58696  
58697 gú10
58698 .
58699 b\99
58700 .
58701 _TSEL11
58702
58703
58704         )
58705
58706 10533 \ 1
58707         #GCN10_TSEL10
58708  
58709 gú10
58710 .
58711 b\99
58712 .
58713 _TSEL10
58714
58715
58716         )
58717
58718 10534 \ 1
58719         #GCN10_TSEL03
58720  
58721 gú10
58722 .
58723 b\99
58724 .
58725 _TSEL03
58726
58727
58728         )
58729
58730 10535 \ 1
58731         #GCN10_TSEL02
58732  
58733 gú10
58734 .
58735 b\99
58736 .
58737 _TSEL02
58738
58739
58740         )
58741
58742 10536 \ 1
58743         #GCN10_TSEL01
58744  
58745 gú10
58746 .
58747 b\99
58748 .
58749 _TSEL01
58750
58751
58752         )
58753
58754 10537 \ 1
58755         #GCN10_TSEL00
58756  
58757 gú10
58758 .
58759 b\99
58760 .
58761 _TSEL00
58762
58763
58764         )
58765
58766 10538 
58767 __IO_EXTERN
58768  
58769 GCN20STR
58770  
58771         ggú20
58772 ;
58773
58774 10539 \ 1
58775         #GCN20
58776  
58777 gú20
58778 .
58779 by\8b
58780
58781
58782         )
58783
58784 10540 \ 1
58785         #GCN20_EN3
58786  
58787 gú20
58788 .
58789 b\99
58790 .
58791 _EN3
58792
58793
58794         )
58795
58796 10541 \ 1
58797         #GCN20_EN2
58798  
58799 gú20
58800 .
58801 b\99
58802 .
58803 _EN2
58804
58805
58806         )
58807
58808 10542 \ 1
58809         #GCN20_EN1
58810  
58811 gú20
58812 .
58813 b\99
58814 .
58815 _EN1
58816
58817
58818         )
58819
58820 10543 \ 1
58821         #GCN20_EN0
58822  
58823 gú20
58824 .
58825 b\99
58826 .
58827 _EN0
58828
58829
58830         )
58831
58832 10544 
58833 __IO_EXTERN
58834  
58835 GCN11STR
58836  
58837         ggú11
58838 ;
58839
58840 10545 \ 1
58841         #GCN11
58842  
58843 gú11
58844 .
58845 wÜd
58846
58847
58848         )
58849
58850 10546 \ 1
58851         #GCN11_TSEL33
58852  
58853 gú11
58854 .
58855 b\99
58856 .
58857 _TSEL33
58858
58859
58860         )
58861
58862 10547 \ 1
58863         #GCN11_TSEL32
58864  
58865 gú11
58866 .
58867 b\99
58868 .
58869 _TSEL32
58870
58871
58872         )
58873
58874 10548 \ 1
58875         #GCN11_TSEL31
58876  
58877 gú11
58878 .
58879 b\99
58880 .
58881 _TSEL31
58882
58883
58884         )
58885
58886 10549 \ 1
58887         #GCN11_TSEL30
58888  
58889 gú11
58890 .
58891 b\99
58892 .
58893 _TSEL30
58894
58895
58896         )
58897
58898 10550 \ 1
58899         #GCN11_TSEL23
58900  
58901 gú11
58902 .
58903 b\99
58904 .
58905 _TSEL23
58906
58907
58908         )
58909
58910 10551 \ 1
58911         #GCN11_TSEL22
58912  
58913 gú11
58914 .
58915 b\99
58916 .
58917 _TSEL22
58918
58919
58920         )
58921
58922 10552 \ 1
58923         #GCN11_TSEL21
58924  
58925 gú11
58926 .
58927 b\99
58928 .
58929 _TSEL21
58930
58931
58932         )
58933
58934 10553 \ 1
58935         #GCN11_TSEL20
58936  
58937 gú11
58938 .
58939 b\99
58940 .
58941 _TSEL20
58942
58943
58944         )
58945
58946 10554 \ 1
58947         #GCN11_TSEL13
58948  
58949 gú11
58950 .
58951 b\99
58952 .
58953 _TSEL13
58954
58955
58956         )
58957
58958 10555 \ 1
58959         #GCN11_TSEL12
58960  
58961 gú11
58962 .
58963 b\99
58964 .
58965 _TSEL12
58966
58967
58968         )
58969
58970 10556 \ 1
58971         #GCN11_TSEL11
58972  
58973 gú11
58974 .
58975 b\99
58976 .
58977 _TSEL11
58978
58979
58980         )
58981
58982 10557 \ 1
58983         #GCN11_TSEL10
58984  
58985 gú11
58986 .
58987 b\99
58988 .
58989 _TSEL10
58990
58991
58992         )
58993
58994 10558 \ 1
58995         #GCN11_TSEL03
58996  
58997 gú11
58998 .
58999 b\99
59000 .
59001 _TSEL03
59002
59003
59004         )
59005
59006 10559 \ 1
59007         #GCN11_TSEL02
59008  
59009 gú11
59010 .
59011 b\99
59012 .
59013 _TSEL02
59014
59015
59016         )
59017
59018 10560 \ 1
59019         #GCN11_TSEL01
59020  
59021 gú11
59022 .
59023 b\99
59024 .
59025 _TSEL01
59026
59027
59028         )
59029
59030 10561 \ 1
59031         #GCN11_TSEL00
59032  
59033 gú11
59034 .
59035 b\99
59036 .
59037 _TSEL00
59038
59039
59040         )
59041
59042 10562 
59043 __IO_EXTERN
59044  
59045 GCN21STR
59046  
59047         ggú21
59048 ;
59049
59050 10563 \ 1
59051         #GCN21
59052  
59053 gú21
59054 .
59055 by\8b
59056
59057
59058         )
59059
59060 10564 \ 1
59061         #GCN21_EN3
59062  
59063 gú21
59064 .
59065 b\99
59066 .
59067 _EN3
59068
59069
59070         )
59071
59072 10565 \ 1
59073         #GCN21_EN2
59074  
59075 gú21
59076 .
59077 b\99
59078 .
59079 _EN2
59080
59081
59082         )
59083
59084 10566 \ 1
59085         #GCN21_EN1
59086  
59087 gú21
59088 .
59089 b\99
59090 .
59091 _EN1
59092
59093
59094         )
59095
59096 10567 \ 1
59097         #GCN21_EN0
59098  
59099 gú21
59100 .
59101 b\99
59102 .
59103 _EN0
59104
59105
59106         )
59107
59108 10568 
59109 __IO_EXTERN
59110  
59111 GCN12STR
59112  
59113         ggú12
59114 ;
59115
59116 10569 \ 1
59117         #GCN12
59118  
59119 gú12
59120 .
59121 wÜd
59122
59123
59124         )
59125
59126 10570 \ 1
59127         #GCN12_TSEL33
59128  
59129 gú12
59130 .
59131 b\99
59132 .
59133 _TSEL33
59134
59135
59136         )
59137
59138 10571 \ 1
59139         #GCN12_TSEL32
59140  
59141 gú12
59142 .
59143 b\99
59144 .
59145 _TSEL32
59146
59147
59148         )
59149
59150 10572 \ 1
59151         #GCN12_TSEL31
59152  
59153 gú12
59154 .
59155 b\99
59156 .
59157 _TSEL31
59158
59159
59160         )
59161
59162 10573 \ 1
59163         #GCN12_TSEL30
59164  
59165 gú12
59166 .
59167 b\99
59168 .
59169 _TSEL30
59170
59171
59172         )
59173
59174 10574 \ 1
59175         #GCN12_TSEL23
59176  
59177 gú12
59178 .
59179 b\99
59180 .
59181 _TSEL23
59182
59183
59184         )
59185
59186 10575 \ 1
59187         #GCN12_TSEL22
59188  
59189 gú12
59190 .
59191 b\99
59192 .
59193 _TSEL22
59194
59195
59196         )
59197
59198 10576 \ 1
59199         #GCN12_TSEL21
59200  
59201 gú12
59202 .
59203 b\99
59204 .
59205 _TSEL21
59206
59207
59208         )
59209
59210 10577 \ 1
59211         #GCN12_TSEL20
59212  
59213 gú12
59214 .
59215 b\99
59216 .
59217 _TSEL20
59218
59219
59220         )
59221
59222 10578 \ 1
59223         #GCN12_TSEL13
59224  
59225 gú12
59226 .
59227 b\99
59228 .
59229 _TSEL13
59230
59231
59232         )
59233
59234 10579 \ 1
59235         #GCN12_TSEL12
59236  
59237 gú12
59238 .
59239 b\99
59240 .
59241 _TSEL12
59242
59243
59244         )
59245
59246 10580 \ 1
59247         #GCN12_TSEL11
59248  
59249 gú12
59250 .
59251 b\99
59252 .
59253 _TSEL11
59254
59255
59256         )
59257
59258 10581 \ 1
59259         #GCN12_TSEL10
59260  
59261 gú12
59262 .
59263 b\99
59264 .
59265 _TSEL10
59266
59267
59268         )
59269
59270 10582 \ 1
59271         #GCN12_TSEL03
59272  
59273 gú12
59274 .
59275 b\99
59276 .
59277 _TSEL03
59278
59279
59280         )
59281
59282 10583 \ 1
59283         #GCN12_TSEL02
59284  
59285 gú12
59286 .
59287 b\99
59288 .
59289 _TSEL02
59290
59291
59292         )
59293
59294 10584 \ 1
59295         #GCN12_TSEL01
59296  
59297 gú12
59298 .
59299 b\99
59300 .
59301 _TSEL01
59302
59303
59304         )
59305
59306 10585 \ 1
59307         #GCN12_TSEL00
59308  
59309 gú12
59310 .
59311 b\99
59312 .
59313 _TSEL00
59314
59315
59316         )
59317
59318 10586 
59319 __IO_EXTERN
59320  
59321 GCN22STR
59322  
59323         ggú22
59324 ;
59325
59326 10587 \ 1
59327         #GCN22
59328  
59329 gú22
59330 .
59331 by\8b
59332
59333
59334         )
59335
59336 10588 \ 1
59337         #GCN22_EN3
59338  
59339 gú22
59340 .
59341 b\99
59342 .
59343 _EN3
59344
59345
59346         )
59347
59348 10589 \ 1
59349         #GCN22_EN2
59350  
59351 gú22
59352 .
59353 b\99
59354 .
59355 _EN2
59356
59357
59358         )
59359
59360 10590 \ 1
59361         #GCN22_EN1
59362  
59363 gú22
59364 .
59365 b\99
59366 .
59367 _EN1
59368
59369
59370         )
59371
59372 10591 \ 1
59373         #GCN22_EN0
59374  
59375 gú22
59376 .
59377 b\99
59378 .
59379 _EN0
59380
59381
59382         )
59383
59384 10592 
59385 __IO_EXTERN
59386  
59387 IO_WORD
59388  
59389         g±mr00
59390 ;
59391
59392 10593 \ 1
59393         #PTMR00
59394  
59395 ±mr00
59396
59397
59398         )
59399
59400 10594 
59401 __IO_EXTERN
59402  
59403 IO_WORD
59404  
59405         gpc¤00
59406 ;
59407
59408 10595 \ 1
59409         #PCSR00
59410  
59411 pc¤00
59412
59413
59414         )
59415
59416 10596 
59417 __IO_EXTERN
59418  
59419 IO_WORD
59420  
59421         gpdut00
59422 ;
59423
59424 10597 \ 1
59425         #PDUT00
59426  
59427 pdut00
59428
59429
59430         )
59431
59432 10598 
59433 __IO_EXTERN
59434  
59435 PCN00STR
59436  
59437         gpú00
59438 ;
59439
59440 10599 \ 1
59441         #PCN00
59442  
59443 pú00
59444 .
59445 wÜd
59446
59447
59448         )
59449
59450 10600 \ 1
59451         #PCN00_CNTE
59452  
59453 pú00
59454 .
59455 b\99
59456 .
59457 _CNTE
59458
59459
59460         )
59461
59462 10601 \ 1
59463         #PCN00_STGR
59464  
59465 pú00
59466 .
59467 b\99
59468 .
59469 _STGR
59470
59471
59472         )
59473
59474 10602 \ 1
59475         #PCN00_MDSE
59476  
59477 pú00
59478 .
59479 b\99
59480 .
59481 _MDSE
59482
59483
59484         )
59485
59486 10603 \ 1
59487         #PCN00_RTRG
59488  
59489 pú00
59490 .
59491 b\99
59492 .
59493 _RTRG
59494
59495
59496         )
59497
59498 10604 \ 1
59499         #PCN00_CKS1
59500  
59501 pú00
59502 .
59503 b\99
59504 .
59505 _CKS1
59506
59507
59508         )
59509
59510 10605 \ 1
59511         #PCN00_CKS0
59512  
59513 pú00
59514 .
59515 b\99
59516 .
59517 _CKS0
59518
59519
59520         )
59521
59522 10606 \ 1
59523         #PCN00_PGMS
59524  
59525 pú00
59526 .
59527 b\99
59528 .
59529 _PGMS
59530
59531
59532         )
59533
59534 10607 \ 1
59535         #PCN00_EGS1
59536  
59537 pú00
59538 .
59539 b\99
59540 .
59541 _EGS1
59542
59543
59544         )
59545
59546 10608 \ 1
59547         #PCN00_EGS0
59548  
59549 pú00
59550 .
59551 b\99
59552 .
59553 _EGS0
59554
59555
59556         )
59557
59558 10609 \ 1
59559         #PCN00_IREN
59560  
59561 pú00
59562 .
59563 b\99
59564 .
59565 _IREN
59566
59567
59568         )
59569
59570 10610 \ 1
59571         #PCN00_IRQF
59572  
59573 pú00
59574 .
59575 b\99
59576 .
59577 _IRQF
59578
59579
59580         )
59581
59582 10611 \ 1
59583         #PCN00_IRS1
59584  
59585 pú00
59586 .
59587 b\99
59588 .
59589 _IRS1
59590
59591
59592         )
59593
59594 10612 \ 1
59595         #PCN00_IRS0
59596  
59597 pú00
59598 .
59599 b\99
59600 .
59601 _IRS0
59602
59603
59604         )
59605
59606 10613 \ 1
59607         #PCN00_OSEL
59608  
59609 pú00
59610 .
59611 b\99
59612 .
59613 _OSEL
59614
59615
59616         )
59617
59618 10614 \ 1
59619         #PCN00_CKS
59620  
59621 pú00
59622 .
59623 b\99c
59624 .
59625 _CKS
59626
59627
59628         )
59629
59630 10615 \ 1
59631         #PCN00_EGS
59632  
59633 pú00
59634 .
59635 b\99c
59636 .
59637 _EGS
59638
59639
59640         )
59641
59642 10616 \ 1
59643         #PCN00_IRS
59644  
59645 pú00
59646 .
59647 b\99c
59648 .
59649 _IRS
59650
59651
59652         )
59653
59654 10617 
59655 __IO_EXTERN
59656  
59657 PCNH00STR
59658  
59659         gpúh00
59660 ;
59661
59662 10618 \ 1
59663         #PCNH00
59664  
59665 púh00
59666 .
59667 by\8b
59668
59669
59670         )
59671
59672 10619 \ 1
59673         #PCNH00_CNTE
59674  
59675 púh00
59676 .
59677 b\99
59678 .
59679 _CNTE
59680
59681
59682         )
59683
59684 10620 \ 1
59685         #PCNH00_STGR
59686  
59687 púh00
59688 .
59689 b\99
59690 .
59691 _STGR
59692
59693
59694         )
59695
59696 10621 \ 1
59697         #PCNH00_MDSE
59698  
59699 púh00
59700 .
59701 b\99
59702 .
59703 _MDSE
59704
59705
59706         )
59707
59708 10622 \ 1
59709         #PCNH00_RTRG
59710  
59711 púh00
59712 .
59713 b\99
59714 .
59715 _RTRG
59716
59717
59718         )
59719
59720 10623 \ 1
59721         #PCNH00_CKS1
59722  
59723 púh00
59724 .
59725 b\99
59726 .
59727 _CKS1
59728
59729
59730         )
59731
59732 10624 \ 1
59733         #PCNH00_CKS0
59734  
59735 púh00
59736 .
59737 b\99
59738 .
59739 _CKS0
59740
59741
59742         )
59743
59744 10625 \ 1
59745         #PCNH00_PGMS
59746  
59747 púh00
59748 .
59749 b\99
59750 .
59751 _PGMS
59752
59753
59754         )
59755
59756 10626 \ 1
59757         #PCNH00_CKS
59758  
59759 púh00
59760 .
59761 b\99c
59762 .
59763 _CKS
59764
59765
59766         )
59767
59768 10627 
59769 __IO_EXTERN
59770  
59771 PCNL00STR
59772  
59773         gpúl00
59774 ;
59775
59776 10628 \ 1
59777         #PCNL00
59778  
59779 púl00
59780 .
59781 by\8b
59782
59783
59784         )
59785
59786 10629 \ 1
59787         #PCNL00_EGS1
59788  
59789 púl00
59790 .
59791 b\99
59792 .
59793 _EGS1
59794
59795
59796         )
59797
59798 10630 \ 1
59799         #PCNL00_EGS0
59800  
59801 púl00
59802 .
59803 b\99
59804 .
59805 _EGS0
59806
59807
59808         )
59809
59810 10631 \ 1
59811         #PCNL00_IREN
59812  
59813 púl00
59814 .
59815 b\99
59816 .
59817 _IREN
59818
59819
59820         )
59821
59822 10632 \ 1
59823         #PCNL00_IRQF
59824  
59825 púl00
59826 .
59827 b\99
59828 .
59829 _IRQF
59830
59831
59832         )
59833
59834 10633 \ 1
59835         #PCNL00_IRS1
59836  
59837 púl00
59838 .
59839 b\99
59840 .
59841 _IRS1
59842
59843
59844         )
59845
59846 10634 \ 1
59847         #PCNL00_IRS0
59848  
59849 púl00
59850 .
59851 b\99
59852 .
59853 _IRS0
59854
59855
59856         )
59857
59858 10635 \ 1
59859         #PCNL00_OSEL
59860  
59861 púl00
59862 .
59863 b\99
59864 .
59865 _OSEL
59866
59867
59868         )
59869
59870 10636 \ 1
59871         #PCNL00_EGS
59872  
59873 púl00
59874 .
59875 b\99c
59876 .
59877 _EGS
59878
59879
59880         )
59881
59882 10637 \ 1
59883         #PCNL00_IRS
59884  
59885 púl00
59886 .
59887 b\99c
59888 .
59889 _IRS
59890
59891
59892         )
59893
59894 10638 
59895 __IO_EXTERN
59896  
59897 IO_WORD
59898  
59899         g±mr01
59900 ;
59901
59902 10639 \ 1
59903         #PTMR01
59904  
59905 ±mr01
59906
59907
59908         )
59909
59910 10640 
59911 __IO_EXTERN
59912  
59913 IO_WORD
59914  
59915         gpc¤01
59916 ;
59917
59918 10641 \ 1
59919         #PCSR01
59920  
59921 pc¤01
59922
59923
59924         )
59925
59926 10642 
59927 __IO_EXTERN
59928  
59929 IO_WORD
59930  
59931         gpdut01
59932 ;
59933
59934 10643 \ 1
59935         #PDUT01
59936  
59937 pdut01
59938
59939
59940         )
59941
59942 10644 
59943 __IO_EXTERN
59944  
59945 PCN01STR
59946  
59947         gpú01
59948 ;
59949
59950 10645 \ 1
59951         #PCN01
59952  
59953 pú01
59954 .
59955 wÜd
59956
59957
59958         )
59959
59960 10646 \ 1
59961         #PCN01_CNTE
59962  
59963 pú01
59964 .
59965 b\99
59966 .
59967 _CNTE
59968
59969
59970         )
59971
59972 10647 \ 1
59973         #PCN01_STGR
59974  
59975 pú01
59976 .
59977 b\99
59978 .
59979 _STGR
59980
59981
59982         )
59983
59984 10648 \ 1
59985         #PCN01_MDSE
59986  
59987 pú01
59988 .
59989 b\99
59990 .
59991 _MDSE
59992
59993
59994         )
59995
59996 10649 \ 1
59997         #PCN01_RTRG
59998  
59999 pú01
60000 .
60001 b\99
60002 .
60003 _RTRG
60004
60005
60006         )
60007
60008 10650 \ 1
60009         #PCN01_CKS1
60010  
60011 pú01
60012 .
60013 b\99
60014 .
60015 _CKS1
60016
60017
60018         )
60019
60020 10651 \ 1
60021         #PCN01_CKS0
60022  
60023 pú01
60024 .
60025 b\99
60026 .
60027 _CKS0
60028
60029
60030         )
60031
60032 10652 \ 1
60033         #PCN01_PGMS
60034  
60035 pú01
60036 .
60037 b\99
60038 .
60039 _PGMS
60040
60041
60042         )
60043
60044 10653 \ 1
60045         #PCN01_EGS1
60046  
60047 pú01
60048 .
60049 b\99
60050 .
60051 _EGS1
60052
60053
60054         )
60055
60056 10654 \ 1
60057         #PCN01_EGS0
60058  
60059 pú01
60060 .
60061 b\99
60062 .
60063 _EGS0
60064
60065
60066         )
60067
60068 10655 \ 1
60069         #PCN01_IREN
60070  
60071 pú01
60072 .
60073 b\99
60074 .
60075 _IREN
60076
60077
60078         )
60079
60080 10656 \ 1
60081         #PCN01_IRQF
60082  
60083 pú01
60084 .
60085 b\99
60086 .
60087 _IRQF
60088
60089
60090         )
60091
60092 10657 \ 1
60093         #PCN01_IRS1
60094  
60095 pú01
60096 .
60097 b\99
60098 .
60099 _IRS1
60100
60101
60102         )
60103
60104 10658 \ 1
60105         #PCN01_IRS0
60106  
60107 pú01
60108 .
60109 b\99
60110 .
60111 _IRS0
60112
60113
60114         )
60115
60116 10659 \ 1
60117         #PCN01_OSEL
60118  
60119 pú01
60120 .
60121 b\99
60122 .
60123 _OSEL
60124
60125
60126         )
60127
60128 10660 \ 1
60129         #PCN01_CKS
60130  
60131 pú01
60132 .
60133 b\99c
60134 .
60135 _CKS
60136
60137
60138         )
60139
60140 10661 \ 1
60141         #PCN01_EGS
60142  
60143 pú01
60144 .
60145 b\99c
60146 .
60147 _EGS
60148
60149
60150         )
60151
60152 10662 \ 1
60153         #PCN01_IRS
60154  
60155 pú01
60156 .
60157 b\99c
60158 .
60159 _IRS
60160
60161
60162         )
60163
60164 10663 
60165 __IO_EXTERN
60166  
60167 PCNH01STR
60168  
60169         gpúh01
60170 ;
60171
60172 10664 \ 1
60173         #PCNH01
60174  
60175 púh01
60176 .
60177 by\8b
60178
60179
60180         )
60181
60182 10665 \ 1
60183         #PCNH01_CNTE
60184  
60185 púh01
60186 .
60187 b\99
60188 .
60189 _CNTE
60190
60191
60192         )
60193
60194 10666 \ 1
60195         #PCNH01_STGR
60196  
60197 púh01
60198 .
60199 b\99
60200 .
60201 _STGR
60202
60203
60204         )
60205
60206 10667 \ 1
60207         #PCNH01_MDSE
60208  
60209 púh01
60210 .
60211 b\99
60212 .
60213 _MDSE
60214
60215
60216         )
60217
60218 10668 \ 1
60219         #PCNH01_RTRG
60220  
60221 púh01
60222 .
60223 b\99
60224 .
60225 _RTRG
60226
60227
60228         )
60229
60230 10669 \ 1
60231         #PCNH01_CKS1
60232  
60233 púh01
60234 .
60235 b\99
60236 .
60237 _CKS1
60238
60239
60240         )
60241
60242 10670 \ 1
60243         #PCNH01_CKS0
60244  
60245 púh01
60246 .
60247 b\99
60248 .
60249 _CKS0
60250
60251
60252         )
60253
60254 10671 \ 1
60255         #PCNH01_PGMS
60256  
60257 púh01
60258 .
60259 b\99
60260 .
60261 _PGMS
60262
60263
60264         )
60265
60266 10672 \ 1
60267         #PCNH01_CKS
60268  
60269 púh01
60270 .
60271 b\99c
60272 .
60273 _CKS
60274
60275
60276         )
60277
60278 10673 
60279 __IO_EXTERN
60280  
60281 PCNL01STR
60282  
60283         gpúl01
60284 ;
60285
60286 10674 \ 1
60287         #PCNL01
60288  
60289 púl01
60290 .
60291 by\8b
60292
60293
60294         )
60295
60296 10675 \ 1
60297         #PCNL01_EGS1
60298  
60299 púl01
60300 .
60301 b\99
60302 .
60303 _EGS1
60304
60305
60306         )
60307
60308 10676 \ 1
60309         #PCNL01_EGS0
60310  
60311 púl01
60312 .
60313 b\99
60314 .
60315 _EGS0
60316
60317
60318         )
60319
60320 10677 \ 1
60321         #PCNL01_IREN
60322  
60323 púl01
60324 .
60325 b\99
60326 .
60327 _IREN
60328
60329
60330         )
60331
60332 10678 \ 1
60333         #PCNL01_IRQF
60334  
60335 púl01
60336 .
60337 b\99
60338 .
60339 _IRQF
60340
60341
60342         )
60343
60344 10679 \ 1
60345         #PCNL01_IRS1
60346  
60347 púl01
60348 .
60349 b\99
60350 .
60351 _IRS1
60352
60353
60354         )
60355
60356 10680 \ 1
60357         #PCNL01_IRS0
60358  
60359 púl01
60360 .
60361 b\99
60362 .
60363 _IRS0
60364
60365
60366         )
60367
60368 10681 \ 1
60369         #PCNL01_OSEL
60370  
60371 púl01
60372 .
60373 b\99
60374 .
60375 _OSEL
60376
60377
60378         )
60379
60380 10682 \ 1
60381         #PCNL01_EGS
60382  
60383 púl01
60384 .
60385 b\99c
60386 .
60387 _EGS
60388
60389
60390         )
60391
60392 10683 \ 1
60393         #PCNL01_IRS
60394  
60395 púl01
60396 .
60397 b\99c
60398 .
60399 _IRS
60400
60401
60402         )
60403
60404 10684 
60405 __IO_EXTERN
60406  
60407 IO_WORD
60408  
60409         g±mr02
60410 ;
60411
60412 10685 \ 1
60413         #PTMR02
60414  
60415 ±mr02
60416
60417
60418         )
60419
60420 10686 
60421 __IO_EXTERN
60422  
60423 IO_WORD
60424  
60425         gpc¤02
60426 ;
60427
60428 10687 \ 1
60429         #PCSR02
60430  
60431 pc¤02
60432
60433
60434         )
60435
60436 10688 
60437 __IO_EXTERN
60438  
60439 IO_WORD
60440  
60441         gpdut02
60442 ;
60443
60444 10689 \ 1
60445         #PDUT02
60446  
60447 pdut02
60448
60449
60450         )
60451
60452 10690 
60453 __IO_EXTERN
60454  
60455 PCN02STR
60456  
60457         gpú02
60458 ;
60459
60460 10691 \ 1
60461         #PCN02
60462  
60463 pú02
60464 .
60465 wÜd
60466
60467
60468         )
60469
60470 10692 \ 1
60471         #PCN02_CNTE
60472  
60473 pú02
60474 .
60475 b\99
60476 .
60477 _CNTE
60478
60479
60480         )
60481
60482 10693 \ 1
60483         #PCN02_STGR
60484  
60485 pú02
60486 .
60487 b\99
60488 .
60489 _STGR
60490
60491
60492         )
60493
60494 10694 \ 1
60495         #PCN02_MDSE
60496  
60497 pú02
60498 .
60499 b\99
60500 .
60501 _MDSE
60502
60503
60504         )
60505
60506 10695 \ 1
60507         #PCN02_RTRG
60508  
60509 pú02
60510 .
60511 b\99
60512 .
60513 _RTRG
60514
60515
60516         )
60517
60518 10696 \ 1
60519         #PCN02_CKS1
60520  
60521 pú02
60522 .
60523 b\99
60524 .
60525 _CKS1
60526
60527
60528         )
60529
60530 10697 \ 1
60531         #PCN02_CKS0
60532  
60533 pú02
60534 .
60535 b\99
60536 .
60537 _CKS0
60538
60539
60540         )
60541
60542 10698 \ 1
60543         #PCN02_PGMS
60544  
60545 pú02
60546 .
60547 b\99
60548 .
60549 _PGMS
60550
60551
60552         )
60553
60554 10699 \ 1
60555         #PCN02_EGS1
60556  
60557 pú02
60558 .
60559 b\99
60560 .
60561 _EGS1
60562
60563
60564         )
60565
60566 10700 \ 1
60567         #PCN02_EGS0
60568  
60569 pú02
60570 .
60571 b\99
60572 .
60573 _EGS0
60574
60575
60576         )
60577
60578 10701 \ 1
60579         #PCN02_IREN
60580  
60581 pú02
60582 .
60583 b\99
60584 .
60585 _IREN
60586
60587
60588         )
60589
60590 10702 \ 1
60591         #PCN02_IRQF
60592  
60593 pú02
60594 .
60595 b\99
60596 .
60597 _IRQF
60598
60599
60600         )
60601
60602 10703 \ 1
60603         #PCN02_IRS1
60604  
60605 pú02
60606 .
60607 b\99
60608 .
60609 _IRS1
60610
60611
60612         )
60613
60614 10704 \ 1
60615         #PCN02_IRS0
60616  
60617 pú02
60618 .
60619 b\99
60620 .
60621 _IRS0
60622
60623
60624         )
60625
60626 10705 \ 1
60627         #PCN02_OSEL
60628  
60629 pú02
60630 .
60631 b\99
60632 .
60633 _OSEL
60634
60635
60636         )
60637
60638 10706 \ 1
60639         #PCN02_CKS
60640  
60641 pú02
60642 .
60643 b\99c
60644 .
60645 _CKS
60646
60647
60648         )
60649
60650 10707 \ 1
60651         #PCN02_EGS
60652  
60653 pú02
60654 .
60655 b\99c
60656 .
60657 _EGS
60658
60659
60660         )
60661
60662 10708 \ 1
60663         #PCN02_IRS
60664  
60665 pú02
60666 .
60667 b\99c
60668 .
60669 _IRS
60670
60671
60672         )
60673
60674 10709 
60675 __IO_EXTERN
60676  
60677 PCNH02STR
60678  
60679         gpúh02
60680 ;
60681
60682 10710 \ 1
60683         #PCNH02
60684  
60685 púh02
60686 .
60687 by\8b
60688
60689
60690         )
60691
60692 10711 \ 1
60693         #PCNH02_CNTE
60694  
60695 púh02
60696 .
60697 b\99
60698 .
60699 _CNTE
60700
60701
60702         )
60703
60704 10712 \ 1
60705         #PCNH02_STGR
60706  
60707 púh02
60708 .
60709 b\99
60710 .
60711 _STGR
60712
60713
60714         )
60715
60716 10713 \ 1
60717         #PCNH02_MDSE
60718  
60719 púh02
60720 .
60721 b\99
60722 .
60723 _MDSE
60724
60725
60726         )
60727
60728 10714 \ 1
60729         #PCNH02_RTRG
60730  
60731 púh02
60732 .
60733 b\99
60734 .
60735 _RTRG
60736
60737
60738         )
60739
60740 10715 \ 1
60741         #PCNH02_CKS1
60742  
60743 púh02
60744 .
60745 b\99
60746 .
60747 _CKS1
60748
60749
60750         )
60751
60752 10716 \ 1
60753         #PCNH02_CKS0
60754  
60755 púh02
60756 .
60757 b\99
60758 .
60759 _CKS0
60760
60761
60762         )
60763
60764 10717 \ 1
60765         #PCNH02_PGMS
60766  
60767 púh02
60768 .
60769 b\99
60770 .
60771 _PGMS
60772
60773
60774         )
60775
60776 10718 \ 1
60777         #PCNH02_CKS
60778  
60779 púh02
60780 .
60781 b\99c
60782 .
60783 _CKS
60784
60785
60786         )
60787
60788 10719 
60789 __IO_EXTERN
60790  
60791 PCNL02STR
60792  
60793         gpúl02
60794 ;
60795
60796 10720 \ 1
60797         #PCNL02
60798  
60799 púl02
60800 .
60801 by\8b
60802
60803
60804         )
60805
60806 10721 \ 1
60807         #PCNL02_EGS1
60808  
60809 púl02
60810 .
60811 b\99
60812 .
60813 _EGS1
60814
60815
60816         )
60817
60818 10722 \ 1
60819         #PCNL02_EGS0
60820  
60821 púl02
60822 .
60823 b\99
60824 .
60825 _EGS0
60826
60827
60828         )
60829
60830 10723 \ 1
60831         #PCNL02_IREN
60832  
60833 púl02
60834 .
60835 b\99
60836 .
60837 _IREN
60838
60839
60840         )
60841
60842 10724 \ 1
60843         #PCNL02_IRQF
60844  
60845 púl02
60846 .
60847 b\99
60848 .
60849 _IRQF
60850
60851
60852         )
60853
60854 10725 \ 1
60855         #PCNL02_IRS1
60856  
60857 púl02
60858 .
60859 b\99
60860 .
60861 _IRS1
60862
60863
60864         )
60865
60866 10726 \ 1
60867         #PCNL02_IRS0
60868  
60869 púl02
60870 .
60871 b\99
60872 .
60873 _IRS0
60874
60875
60876         )
60877
60878 10727 \ 1
60879         #PCNL02_OSEL
60880  
60881 púl02
60882 .
60883 b\99
60884 .
60885 _OSEL
60886
60887
60888         )
60889
60890 10728 \ 1
60891         #PCNL02_EGS
60892  
60893 púl02
60894 .
60895 b\99c
60896 .
60897 _EGS
60898
60899
60900         )
60901
60902 10729 \ 1
60903         #PCNL02_IRS
60904  
60905 púl02
60906 .
60907 b\99c
60908 .
60909 _IRS
60910
60911
60912         )
60913
60914 10730 
60915 __IO_EXTERN
60916  
60917 IO_WORD
60918  
60919         g±mr03
60920 ;
60921
60922 10731 \ 1
60923         #PTMR03
60924  
60925 ±mr03
60926
60927
60928         )
60929
60930 10732 
60931 __IO_EXTERN
60932  
60933 IO_WORD
60934  
60935         gpc¤03
60936 ;
60937
60938 10733 \ 1
60939         #PCSR03
60940  
60941 pc¤03
60942
60943
60944         )
60945
60946 10734 
60947 __IO_EXTERN
60948  
60949 IO_WORD
60950  
60951         gpdut03
60952 ;
60953
60954 10735 \ 1
60955         #PDUT03
60956  
60957 pdut03
60958
60959
60960         )
60961
60962 10736 
60963 __IO_EXTERN
60964  
60965 PCN03STR
60966  
60967         gpú03
60968 ;
60969
60970 10737 \ 1
60971         #PCN03
60972  
60973 pú03
60974 .
60975 wÜd
60976
60977
60978         )
60979
60980 10738 \ 1
60981         #PCN03_CNTE
60982  
60983 pú03
60984 .
60985 b\99
60986 .
60987 _CNTE
60988
60989
60990         )
60991
60992 10739 \ 1
60993         #PCN03_STGR
60994  
60995 pú03
60996 .
60997 b\99
60998 .
60999 _STGR
61000
61001
61002         )
61003
61004 10740 \ 1
61005         #PCN03_MDSE
61006  
61007 pú03
61008 .
61009 b\99
61010 .
61011 _MDSE
61012
61013
61014         )
61015
61016 10741 \ 1
61017         #PCN03_RTRG
61018  
61019 pú03
61020 .
61021 b\99
61022 .
61023 _RTRG
61024
61025
61026         )
61027
61028 10742 \ 1
61029         #PCN03_CKS1
61030  
61031 pú03
61032 .
61033 b\99
61034 .
61035 _CKS1
61036
61037
61038         )
61039
61040 10743 \ 1
61041         #PCN03_CKS0
61042  
61043 pú03
61044 .
61045 b\99
61046 .
61047 _CKS0
61048
61049
61050         )
61051
61052 10744 \ 1
61053         #PCN03_PGMS
61054  
61055 pú03
61056 .
61057 b\99
61058 .
61059 _PGMS
61060
61061
61062         )
61063
61064 10745 \ 1
61065         #PCN03_EGS1
61066  
61067 pú03
61068 .
61069 b\99
61070 .
61071 _EGS1
61072
61073
61074         )
61075
61076 10746 \ 1
61077         #PCN03_EGS0
61078  
61079 pú03
61080 .
61081 b\99
61082 .
61083 _EGS0
61084
61085
61086         )
61087
61088 10747 \ 1
61089         #PCN03_IREN
61090  
61091 pú03
61092 .
61093 b\99
61094 .
61095 _IREN
61096
61097
61098         )
61099
61100 10748 \ 1
61101         #PCN03_IRQF
61102  
61103 pú03
61104 .
61105 b\99
61106 .
61107 _IRQF
61108
61109
61110         )
61111
61112 10749 \ 1
61113         #PCN03_IRS1
61114  
61115 pú03
61116 .
61117 b\99
61118 .
61119 _IRS1
61120
61121
61122         )
61123
61124 10750 \ 1
61125         #PCN03_IRS0
61126  
61127 pú03
61128 .
61129 b\99
61130 .
61131 _IRS0
61132
61133
61134         )
61135
61136 10751 \ 1
61137         #PCN03_OSEL
61138  
61139 pú03
61140 .
61141 b\99
61142 .
61143 _OSEL
61144
61145
61146         )
61147
61148 10752 \ 1
61149         #PCN03_CKS
61150  
61151 pú03
61152 .
61153 b\99c
61154 .
61155 _CKS
61156
61157
61158         )
61159
61160 10753 \ 1
61161         #PCN03_EGS
61162  
61163 pú03
61164 .
61165 b\99c
61166 .
61167 _EGS
61168
61169
61170         )
61171
61172 10754 \ 1
61173         #PCN03_IRS
61174  
61175 pú03
61176 .
61177 b\99c
61178 .
61179 _IRS
61180
61181
61182         )
61183
61184 10755 
61185 __IO_EXTERN
61186  
61187 PCNH03STR
61188  
61189         gpúh03
61190 ;
61191
61192 10756 \ 1
61193         #PCNH03
61194  
61195 púh03
61196 .
61197 by\8b
61198
61199
61200         )
61201
61202 10757 \ 1
61203         #PCNH03_CNTE
61204  
61205 púh03
61206 .
61207 b\99
61208 .
61209 _CNTE
61210
61211
61212         )
61213
61214 10758 \ 1
61215         #PCNH03_STGR
61216  
61217 púh03
61218 .
61219 b\99
61220 .
61221 _STGR
61222
61223
61224         )
61225
61226 10759 \ 1
61227         #PCNH03_MDSE
61228  
61229 púh03
61230 .
61231 b\99
61232 .
61233 _MDSE
61234
61235
61236         )
61237
61238 10760 \ 1
61239         #PCNH03_RTRG
61240  
61241 púh03
61242 .
61243 b\99
61244 .
61245 _RTRG
61246
61247
61248         )
61249
61250 10761 \ 1
61251         #PCNH03_CKS1
61252  
61253 púh03
61254 .
61255 b\99
61256 .
61257 _CKS1
61258
61259
61260         )
61261
61262 10762 \ 1
61263         #PCNH03_CKS0
61264  
61265 púh03
61266 .
61267 b\99
61268 .
61269 _CKS0
61270
61271
61272         )
61273
61274 10763 \ 1
61275         #PCNH03_PGMS
61276  
61277 púh03
61278 .
61279 b\99
61280 .
61281 _PGMS
61282
61283
61284         )
61285
61286 10764 \ 1
61287         #PCNH03_CKS
61288  
61289 púh03
61290 .
61291 b\99c
61292 .
61293 _CKS
61294
61295
61296         )
61297
61298 10765 
61299 __IO_EXTERN
61300  
61301 PCNL03STR
61302  
61303         gpúl03
61304 ;
61305
61306 10766 \ 1
61307         #PCNL03
61308  
61309 púl03
61310 .
61311 by\8b
61312
61313
61314         )
61315
61316 10767 \ 1
61317         #PCNL03_EGS1
61318  
61319 púl03
61320 .
61321 b\99
61322 .
61323 _EGS1
61324
61325
61326         )
61327
61328 10768 \ 1
61329         #PCNL03_EGS0
61330  
61331 púl03
61332 .
61333 b\99
61334 .
61335 _EGS0
61336
61337
61338         )
61339
61340 10769 \ 1
61341         #PCNL03_IREN
61342  
61343 púl03
61344 .
61345 b\99
61346 .
61347 _IREN
61348
61349
61350         )
61351
61352 10770 \ 1
61353         #PCNL03_IRQF
61354  
61355 púl03
61356 .
61357 b\99
61358 .
61359 _IRQF
61360
61361
61362         )
61363
61364 10771 \ 1
61365         #PCNL03_IRS1
61366  
61367 púl03
61368 .
61369 b\99
61370 .
61371 _IRS1
61372
61373
61374         )
61375
61376 10772 \ 1
61377         #PCNL03_IRS0
61378  
61379 púl03
61380 .
61381 b\99
61382 .
61383 _IRS0
61384
61385
61386         )
61387
61388 10773 \ 1
61389         #PCNL03_OSEL
61390  
61391 púl03
61392 .
61393 b\99
61394 .
61395 _OSEL
61396
61397
61398         )
61399
61400 10774 \ 1
61401         #PCNL03_EGS
61402  
61403 púl03
61404 .
61405 b\99c
61406 .
61407 _EGS
61408
61409
61410         )
61411
61412 10775 \ 1
61413         #PCNL03_IRS
61414  
61415 púl03
61416 .
61417 b\99c
61418 .
61419 _IRS
61420
61421
61422         )
61423
61424 10776 
61425 __IO_EXTERN
61426  
61427 IO_WORD
61428  
61429         g±mr04
61430 ;
61431
61432 10777 \ 1
61433         #PTMR04
61434  
61435 ±mr04
61436
61437
61438         )
61439
61440 10778 
61441 __IO_EXTERN
61442  
61443 IO_WORD
61444  
61445         gpc¤04
61446 ;
61447
61448 10779 \ 1
61449         #PCSR04
61450  
61451 pc¤04
61452
61453
61454         )
61455
61456 10780 
61457 __IO_EXTERN
61458  
61459 IO_WORD
61460  
61461         gpdut04
61462 ;
61463
61464 10781 \ 1
61465         #PDUT04
61466  
61467 pdut04
61468
61469
61470         )
61471
61472 10782 
61473 __IO_EXTERN
61474  
61475 PCN04STR
61476  
61477         gpú04
61478 ;
61479
61480 10783 \ 1
61481         #PCN04
61482  
61483 pú04
61484 .
61485 wÜd
61486
61487
61488         )
61489
61490 10784 \ 1
61491         #PCN04_CNTE
61492  
61493 pú04
61494 .
61495 b\99
61496 .
61497 _CNTE
61498
61499
61500         )
61501
61502 10785 \ 1
61503         #PCN04_STGR
61504  
61505 pú04
61506 .
61507 b\99
61508 .
61509 _STGR
61510
61511
61512         )
61513
61514 10786 \ 1
61515         #PCN04_MDSE
61516  
61517 pú04
61518 .
61519 b\99
61520 .
61521 _MDSE
61522
61523
61524         )
61525
61526 10787 \ 1
61527         #PCN04_RTRG
61528  
61529 pú04
61530 .
61531 b\99
61532 .
61533 _RTRG
61534
61535
61536         )
61537
61538 10788 \ 1
61539         #PCN04_CKS1
61540  
61541 pú04
61542 .
61543 b\99
61544 .
61545 _CKS1
61546
61547
61548         )
61549
61550 10789 \ 1
61551         #PCN04_CKS0
61552  
61553 pú04
61554 .
61555 b\99
61556 .
61557 _CKS0
61558
61559
61560         )
61561
61562 10790 \ 1
61563         #PCN04_PGMS
61564  
61565 pú04
61566 .
61567 b\99
61568 .
61569 _PGMS
61570
61571
61572         )
61573
61574 10791 \ 1
61575         #PCN04_EGS1
61576  
61577 pú04
61578 .
61579 b\99
61580 .
61581 _EGS1
61582
61583
61584         )
61585
61586 10792 \ 1
61587         #PCN04_EGS0
61588  
61589 pú04
61590 .
61591 b\99
61592 .
61593 _EGS0
61594
61595
61596         )
61597
61598 10793 \ 1
61599         #PCN04_IREN
61600  
61601 pú04
61602 .
61603 b\99
61604 .
61605 _IREN
61606
61607
61608         )
61609
61610 10794 \ 1
61611         #PCN04_IRQF
61612  
61613 pú04
61614 .
61615 b\99
61616 .
61617 _IRQF
61618
61619
61620         )
61621
61622 10795 \ 1
61623         #PCN04_IRS1
61624  
61625 pú04
61626 .
61627 b\99
61628 .
61629 _IRS1
61630
61631
61632         )
61633
61634 10796 \ 1
61635         #PCN04_IRS0
61636  
61637 pú04
61638 .
61639 b\99
61640 .
61641 _IRS0
61642
61643
61644         )
61645
61646 10797 \ 1
61647         #PCN04_OSEL
61648  
61649 pú04
61650 .
61651 b\99
61652 .
61653 _OSEL
61654
61655
61656         )
61657
61658 10798 \ 1
61659         #PCN04_CKS
61660  
61661 pú04
61662 .
61663 b\99c
61664 .
61665 _CKS
61666
61667
61668         )
61669
61670 10799 \ 1
61671         #PCN04_EGS
61672  
61673 pú04
61674 .
61675 b\99c
61676 .
61677 _EGS
61678
61679
61680         )
61681
61682 10800 \ 1
61683         #PCN04_IRS
61684  
61685 pú04
61686 .
61687 b\99c
61688 .
61689 _IRS
61690
61691
61692         )
61693
61694 10801 
61695 __IO_EXTERN
61696  
61697 PCNH04STR
61698  
61699         gpúh04
61700 ;
61701
61702 10802 \ 1
61703         #PCNH04
61704  
61705 púh04
61706 .
61707 by\8b
61708
61709
61710         )
61711
61712 10803 \ 1
61713         #PCNH04_CNTE
61714  
61715 púh04
61716 .
61717 b\99
61718 .
61719 _CNTE
61720
61721
61722         )
61723
61724 10804 \ 1
61725         #PCNH04_STGR
61726  
61727 púh04
61728 .
61729 b\99
61730 .
61731 _STGR
61732
61733
61734         )
61735
61736 10805 \ 1
61737         #PCNH04_MDSE
61738  
61739 púh04
61740 .
61741 b\99
61742 .
61743 _MDSE
61744
61745
61746         )
61747
61748 10806 \ 1
61749         #PCNH04_RTRG
61750  
61751 púh04
61752 .
61753 b\99
61754 .
61755 _RTRG
61756
61757
61758         )
61759
61760 10807 \ 1
61761         #PCNH04_CKS1
61762  
61763 púh04
61764 .
61765 b\99
61766 .
61767 _CKS1
61768
61769
61770         )
61771
61772 10808 \ 1
61773         #PCNH04_CKS0
61774  
61775 púh04
61776 .
61777 b\99
61778 .
61779 _CKS0
61780
61781
61782         )
61783
61784 10809 \ 1
61785         #PCNH04_PGMS
61786  
61787 púh04
61788 .
61789 b\99
61790 .
61791 _PGMS
61792
61793
61794         )
61795
61796 10810 \ 1
61797         #PCNH04_CKS
61798  
61799 púh04
61800 .
61801 b\99c
61802 .
61803 _CKS
61804
61805
61806         )
61807
61808 10811 
61809 __IO_EXTERN
61810  
61811 PCNL04STR
61812  
61813         gpúl04
61814 ;
61815
61816 10812 \ 1
61817         #PCNL04
61818  
61819 púl04
61820 .
61821 by\8b
61822
61823
61824         )
61825
61826 10813 \ 1
61827         #PCNL04_EGS1
61828  
61829 púl04
61830 .
61831 b\99
61832 .
61833 _EGS1
61834
61835
61836         )
61837
61838 10814 \ 1
61839         #PCNL04_EGS0
61840  
61841 púl04
61842 .
61843 b\99
61844 .
61845 _EGS0
61846
61847
61848         )
61849
61850 10815 \ 1
61851         #PCNL04_IREN
61852  
61853 púl04
61854 .
61855 b\99
61856 .
61857 _IREN
61858
61859
61860         )
61861
61862 10816 \ 1
61863         #PCNL04_IRQF
61864  
61865 púl04
61866 .
61867 b\99
61868 .
61869 _IRQF
61870
61871
61872         )
61873
61874 10817 \ 1
61875         #PCNL04_IRS1
61876  
61877 púl04
61878 .
61879 b\99
61880 .
61881 _IRS1
61882
61883
61884         )
61885
61886 10818 \ 1
61887         #PCNL04_IRS0
61888  
61889 púl04
61890 .
61891 b\99
61892 .
61893 _IRS0
61894
61895
61896         )
61897
61898 10819 \ 1
61899         #PCNL04_OSEL
61900  
61901 púl04
61902 .
61903 b\99
61904 .
61905 _OSEL
61906
61907
61908         )
61909
61910 10820 \ 1
61911         #PCNL04_EGS
61912  
61913 púl04
61914 .
61915 b\99c
61916 .
61917 _EGS
61918
61919
61920         )
61921
61922 10821 \ 1
61923         #PCNL04_IRS
61924  
61925 púl04
61926 .
61927 b\99c
61928 .
61929 _IRS
61930
61931
61932         )
61933
61934 10822 
61935 __IO_EXTERN
61936  
61937 IO_WORD
61938  
61939         g±mr05
61940 ;
61941
61942 10823 \ 1
61943         #PTMR05
61944  
61945 ±mr05
61946
61947
61948         )
61949
61950 10824 
61951 __IO_EXTERN
61952  
61953 IO_WORD
61954  
61955         gpc¤05
61956 ;
61957
61958 10825 \ 1
61959         #PCSR05
61960  
61961 pc¤05
61962
61963
61964         )
61965
61966 10826 
61967 __IO_EXTERN
61968  
61969 IO_WORD
61970  
61971         gpdut05
61972 ;
61973
61974 10827 \ 1
61975         #PDUT05
61976  
61977 pdut05
61978
61979
61980         )
61981
61982 10828 
61983 __IO_EXTERN
61984  
61985 PCN05STR
61986  
61987         gpú05
61988 ;
61989
61990 10829 \ 1
61991         #PCN05
61992  
61993 pú05
61994 .
61995 wÜd
61996
61997
61998         )
61999
62000 10830 \ 1
62001         #PCN05_CNTE
62002  
62003 pú05
62004 .
62005 b\99
62006 .
62007 _CNTE
62008
62009
62010         )
62011
62012 10831 \ 1
62013         #PCN05_STGR
62014  
62015 pú05
62016 .
62017 b\99
62018 .
62019 _STGR
62020
62021
62022         )
62023
62024 10832 \ 1
62025         #PCN05_MDSE
62026  
62027 pú05
62028 .
62029 b\99
62030 .
62031 _MDSE
62032
62033
62034         )
62035
62036 10833 \ 1
62037         #PCN05_RTRG
62038  
62039 pú05
62040 .
62041 b\99
62042 .
62043 _RTRG
62044
62045
62046         )
62047
62048 10834 \ 1
62049         #PCN05_CKS1
62050  
62051 pú05
62052 .
62053 b\99
62054 .
62055 _CKS1
62056
62057
62058         )
62059
62060 10835 \ 1
62061         #PCN05_CKS0
62062  
62063 pú05
62064 .
62065 b\99
62066 .
62067 _CKS0
62068
62069
62070         )
62071
62072 10836 \ 1
62073         #PCN05_PGMS
62074  
62075 pú05
62076 .
62077 b\99
62078 .
62079 _PGMS
62080
62081
62082         )
62083
62084 10837 \ 1
62085         #PCN05_EGS1
62086  
62087 pú05
62088 .
62089 b\99
62090 .
62091 _EGS1
62092
62093
62094         )
62095
62096 10838 \ 1
62097         #PCN05_EGS0
62098  
62099 pú05
62100 .
62101 b\99
62102 .
62103 _EGS0
62104
62105
62106         )
62107
62108 10839 \ 1
62109         #PCN05_IREN
62110  
62111 pú05
62112 .
62113 b\99
62114 .
62115 _IREN
62116
62117
62118         )
62119
62120 10840 \ 1
62121         #PCN05_IRQF
62122  
62123 pú05
62124 .
62125 b\99
62126 .
62127 _IRQF
62128
62129
62130         )
62131
62132 10841 \ 1
62133         #PCN05_IRS1
62134  
62135 pú05
62136 .
62137 b\99
62138 .
62139 _IRS1
62140
62141
62142         )
62143
62144 10842 \ 1
62145         #PCN05_IRS0
62146  
62147 pú05
62148 .
62149 b\99
62150 .
62151 _IRS0
62152
62153
62154         )
62155
62156 10843 \ 1
62157         #PCN05_OSEL
62158  
62159 pú05
62160 .
62161 b\99
62162 .
62163 _OSEL
62164
62165
62166         )
62167
62168 10844 \ 1
62169         #PCN05_CKS
62170  
62171 pú05
62172 .
62173 b\99c
62174 .
62175 _CKS
62176
62177
62178         )
62179
62180 10845 \ 1
62181         #PCN05_EGS
62182  
62183 pú05
62184 .
62185 b\99c
62186 .
62187 _EGS
62188
62189
62190         )
62191
62192 10846 \ 1
62193         #PCN05_IRS
62194  
62195 pú05
62196 .
62197 b\99c
62198 .
62199 _IRS
62200
62201
62202         )
62203
62204 10847 
62205 __IO_EXTERN
62206  
62207 PCNH05STR
62208  
62209         gpúh05
62210 ;
62211
62212 10848 \ 1
62213         #PCNH05
62214  
62215 púh05
62216 .
62217 by\8b
62218
62219
62220         )
62221
62222 10849 \ 1
62223         #PCNH05_CNTE
62224  
62225 púh05
62226 .
62227 b\99
62228 .
62229 _CNTE
62230
62231
62232         )
62233
62234 10850 \ 1
62235         #PCNH05_STGR
62236  
62237 púh05
62238 .
62239 b\99
62240 .
62241 _STGR
62242
62243
62244         )
62245
62246 10851 \ 1
62247         #PCNH05_MDSE
62248  
62249 púh05
62250 .
62251 b\99
62252 .
62253 _MDSE
62254
62255
62256         )
62257
62258 10852 \ 1
62259         #PCNH05_RTRG
62260  
62261 púh05
62262 .
62263 b\99
62264 .
62265 _RTRG
62266
62267
62268         )
62269
62270 10853 \ 1
62271         #PCNH05_CKS1
62272  
62273 púh05
62274 .
62275 b\99
62276 .
62277 _CKS1
62278
62279
62280         )
62281
62282 10854 \ 1
62283         #PCNH05_CKS0
62284  
62285 púh05
62286 .
62287 b\99
62288 .
62289 _CKS0
62290
62291
62292         )
62293
62294 10855 \ 1
62295         #PCNH05_PGMS
62296  
62297 púh05
62298 .
62299 b\99
62300 .
62301 _PGMS
62302
62303
62304         )
62305
62306 10856 \ 1
62307         #PCNH05_CKS
62308  
62309 púh05
62310 .
62311 b\99c
62312 .
62313 _CKS
62314
62315
62316         )
62317
62318 10857 
62319 __IO_EXTERN
62320  
62321 PCNL05STR
62322  
62323         gpúl05
62324 ;
62325
62326 10858 \ 1
62327         #PCNL05
62328  
62329 púl05
62330 .
62331 by\8b
62332
62333
62334         )
62335
62336 10859 \ 1
62337         #PCNL05_EGS1
62338  
62339 púl05
62340 .
62341 b\99
62342 .
62343 _EGS1
62344
62345
62346         )
62347
62348 10860 \ 1
62349         #PCNL05_EGS0
62350  
62351 púl05
62352 .
62353 b\99
62354 .
62355 _EGS0
62356
62357
62358         )
62359
62360 10861 \ 1
62361         #PCNL05_IREN
62362  
62363 púl05
62364 .
62365 b\99
62366 .
62367 _IREN
62368
62369
62370         )
62371
62372 10862 \ 1
62373         #PCNL05_IRQF
62374  
62375 púl05
62376 .
62377 b\99
62378 .
62379 _IRQF
62380
62381
62382         )
62383
62384 10863 \ 1
62385         #PCNL05_IRS1
62386  
62387 púl05
62388 .
62389 b\99
62390 .
62391 _IRS1
62392
62393
62394         )
62395
62396 10864 \ 1
62397         #PCNL05_IRS0
62398  
62399 púl05
62400 .
62401 b\99
62402 .
62403 _IRS0
62404
62405
62406         )
62407
62408 10865 \ 1
62409         #PCNL05_OSEL
62410  
62411 púl05
62412 .
62413 b\99
62414 .
62415 _OSEL
62416
62417
62418         )
62419
62420 10866 \ 1
62421         #PCNL05_EGS
62422  
62423 púl05
62424 .
62425 b\99c
62426 .
62427 _EGS
62428
62429
62430         )
62431
62432 10867 \ 1
62433         #PCNL05_IRS
62434  
62435 púl05
62436 .
62437 b\99c
62438 .
62439 _IRS
62440
62441
62442         )
62443
62444 10868 
62445 __IO_EXTERN
62446  
62447 IO_WORD
62448  
62449         g±mr06
62450 ;
62451
62452 10869 \ 1
62453         #PTMR06
62454  
62455 ±mr06
62456
62457
62458         )
62459
62460 10870 
62461 __IO_EXTERN
62462  
62463 IO_WORD
62464  
62465         gpc¤06
62466 ;
62467
62468 10871 \ 1
62469         #PCSR06
62470  
62471 pc¤06
62472
62473
62474         )
62475
62476 10872 
62477 __IO_EXTERN
62478  
62479 IO_WORD
62480  
62481         gpdut06
62482 ;
62483
62484 10873 \ 1
62485         #PDUT06
62486  
62487 pdut06
62488
62489
62490         )
62491
62492 10874 
62493 __IO_EXTERN
62494  
62495 PCN06STR
62496  
62497         gpú06
62498 ;
62499
62500 10875 \ 1
62501         #PCN06
62502  
62503 pú06
62504 .
62505 wÜd
62506
62507
62508         )
62509
62510 10876 \ 1
62511         #PCN06_CNTE
62512  
62513 pú06
62514 .
62515 b\99
62516 .
62517 _CNTE
62518
62519
62520         )
62521
62522 10877 \ 1
62523         #PCN06_STGR
62524  
62525 pú06
62526 .
62527 b\99
62528 .
62529 _STGR
62530
62531
62532         )
62533
62534 10878 \ 1
62535         #PCN06_MDSE
62536  
62537 pú06
62538 .
62539 b\99
62540 .
62541 _MDSE
62542
62543
62544         )
62545
62546 10879 \ 1
62547         #PCN06_RTRG
62548  
62549 pú06
62550 .
62551 b\99
62552 .
62553 _RTRG
62554
62555
62556         )
62557
62558 10880 \ 1
62559         #PCN06_CKS1
62560  
62561 pú06
62562 .
62563 b\99
62564 .
62565 _CKS1
62566
62567
62568         )
62569
62570 10881 \ 1
62571         #PCN06_CKS0
62572  
62573 pú06
62574 .
62575 b\99
62576 .
62577 _CKS0
62578
62579
62580         )
62581
62582 10882 \ 1
62583         #PCN06_PGMS
62584  
62585 pú06
62586 .
62587 b\99
62588 .
62589 _PGMS
62590
62591
62592         )
62593
62594 10883 \ 1
62595         #PCN06_EGS1
62596  
62597 pú06
62598 .
62599 b\99
62600 .
62601 _EGS1
62602
62603
62604         )
62605
62606 10884 \ 1
62607         #PCN06_EGS0
62608  
62609 pú06
62610 .
62611 b\99
62612 .
62613 _EGS0
62614
62615
62616         )
62617
62618 10885 \ 1
62619         #PCN06_IREN
62620  
62621 pú06
62622 .
62623 b\99
62624 .
62625 _IREN
62626
62627
62628         )
62629
62630 10886 \ 1
62631         #PCN06_IRQF
62632  
62633 pú06
62634 .
62635 b\99
62636 .
62637 _IRQF
62638
62639
62640         )
62641
62642 10887 \ 1
62643         #PCN06_IRS1
62644  
62645 pú06
62646 .
62647 b\99
62648 .
62649 _IRS1
62650
62651
62652         )
62653
62654 10888 \ 1
62655         #PCN06_IRS0
62656  
62657 pú06
62658 .
62659 b\99
62660 .
62661 _IRS0
62662
62663
62664         )
62665
62666 10889 \ 1
62667         #PCN06_OSEL
62668  
62669 pú06
62670 .
62671 b\99
62672 .
62673 _OSEL
62674
62675
62676         )
62677
62678 10890 \ 1
62679         #PCN06_CKS
62680  
62681 pú06
62682 .
62683 b\99c
62684 .
62685 _CKS
62686
62687
62688         )
62689
62690 10891 \ 1
62691         #PCN06_EGS
62692  
62693 pú06
62694 .
62695 b\99c
62696 .
62697 _EGS
62698
62699
62700         )
62701
62702 10892 \ 1
62703         #PCN06_IRS
62704  
62705 pú06
62706 .
62707 b\99c
62708 .
62709 _IRS
62710
62711
62712         )
62713
62714 10893 
62715 __IO_EXTERN
62716  
62717 PCNH06STR
62718  
62719         gpúh06
62720 ;
62721
62722 10894 \ 1
62723         #PCNH06
62724  
62725 púh06
62726 .
62727 by\8b
62728
62729
62730         )
62731
62732 10895 \ 1
62733         #PCNH06_CNTE
62734  
62735 púh06
62736 .
62737 b\99
62738 .
62739 _CNTE
62740
62741
62742         )
62743
62744 10896 \ 1
62745         #PCNH06_STGR
62746  
62747 púh06
62748 .
62749 b\99
62750 .
62751 _STGR
62752
62753
62754         )
62755
62756 10897 \ 1
62757         #PCNH06_MDSE
62758  
62759 púh06
62760 .
62761 b\99
62762 .
62763 _MDSE
62764
62765
62766         )
62767
62768 10898 \ 1
62769         #PCNH06_RTRG
62770  
62771 púh06
62772 .
62773 b\99
62774 .
62775 _RTRG
62776
62777
62778         )
62779
62780 10899 \ 1
62781         #PCNH06_CKS1
62782  
62783 púh06
62784 .
62785 b\99
62786 .
62787 _CKS1
62788
62789
62790         )
62791
62792 10900 \ 1
62793         #PCNH06_CKS0
62794  
62795 púh06
62796 .
62797 b\99
62798 .
62799 _CKS0
62800
62801
62802         )
62803
62804 10901 \ 1
62805         #PCNH06_PGMS
62806  
62807 púh06
62808 .
62809 b\99
62810 .
62811 _PGMS
62812
62813
62814         )
62815
62816 10902 \ 1
62817         #PCNH06_CKS
62818  
62819 púh06
62820 .
62821 b\99c
62822 .
62823 _CKS
62824
62825
62826         )
62827
62828 10903 
62829 __IO_EXTERN
62830  
62831 PCNL06STR
62832  
62833         gpúl06
62834 ;
62835
62836 10904 \ 1
62837         #PCNL06
62838  
62839 púl06
62840 .
62841 by\8b
62842
62843
62844         )
62845
62846 10905 \ 1
62847         #PCNL06_EGS1
62848  
62849 púl06
62850 .
62851 b\99
62852 .
62853 _EGS1
62854
62855
62856         )
62857
62858 10906 \ 1
62859         #PCNL06_EGS0
62860  
62861 púl06
62862 .
62863 b\99
62864 .
62865 _EGS0
62866
62867
62868         )
62869
62870 10907 \ 1
62871         #PCNL06_IREN
62872  
62873 púl06
62874 .
62875 b\99
62876 .
62877 _IREN
62878
62879
62880         )
62881
62882 10908 \ 1
62883         #PCNL06_IRQF
62884  
62885 púl06
62886 .
62887 b\99
62888 .
62889 _IRQF
62890
62891
62892         )
62893
62894 10909 \ 1
62895         #PCNL06_IRS1
62896  
62897 púl06
62898 .
62899 b\99
62900 .
62901 _IRS1
62902
62903
62904         )
62905
62906 10910 \ 1
62907         #PCNL06_IRS0
62908  
62909 púl06
62910 .
62911 b\99
62912 .
62913 _IRS0
62914
62915
62916         )
62917
62918 10911 \ 1
62919         #PCNL06_OSEL
62920  
62921 púl06
62922 .
62923 b\99
62924 .
62925 _OSEL
62926
62927
62928         )
62929
62930 10912 \ 1
62931         #PCNL06_EGS
62932  
62933 púl06
62934 .
62935 b\99c
62936 .
62937 _EGS
62938
62939
62940         )
62941
62942 10913 \ 1
62943         #PCNL06_IRS
62944  
62945 púl06
62946 .
62947 b\99c
62948 .
62949 _IRS
62950
62951
62952         )
62953
62954 10914 
62955 __IO_EXTERN
62956  
62957 IO_WORD
62958  
62959         g±mr07
62960 ;
62961
62962 10915 \ 1
62963         #PTMR07
62964  
62965 ±mr07
62966
62967
62968         )
62969
62970 10916 
62971 __IO_EXTERN
62972  
62973 IO_WORD
62974  
62975         gpc¤07
62976 ;
62977
62978 10917 \ 1
62979         #PCSR07
62980  
62981 pc¤07
62982
62983
62984         )
62985
62986 10918 
62987 __IO_EXTERN
62988  
62989 IO_WORD
62990  
62991         gpdut07
62992 ;
62993
62994 10919 \ 1
62995         #PDUT07
62996  
62997 pdut07
62998
62999
63000         )
63001
63002 10920 
63003 __IO_EXTERN
63004  
63005 PCN07STR
63006  
63007         gpú07
63008 ;
63009
63010 10921 \ 1
63011         #PCN07
63012  
63013 pú07
63014 .
63015 wÜd
63016
63017
63018         )
63019
63020 10922 \ 1
63021         #PCN07_CNTE
63022  
63023 pú07
63024 .
63025 b\99
63026 .
63027 _CNTE
63028
63029
63030         )
63031
63032 10923 \ 1
63033         #PCN07_STGR
63034  
63035 pú07
63036 .
63037 b\99
63038 .
63039 _STGR
63040
63041
63042         )
63043
63044 10924 \ 1
63045         #PCN07_MDSE
63046  
63047 pú07
63048 .
63049 b\99
63050 .
63051 _MDSE
63052
63053
63054         )
63055
63056 10925 \ 1
63057         #PCN07_RTRG
63058  
63059 pú07
63060 .
63061 b\99
63062 .
63063 _RTRG
63064
63065
63066         )
63067
63068 10926 \ 1
63069         #PCN07_CKS1
63070  
63071 pú07
63072 .
63073 b\99
63074 .
63075 _CKS1
63076
63077
63078         )
63079
63080 10927 \ 1
63081         #PCN07_CKS0
63082  
63083 pú07
63084 .
63085 b\99
63086 .
63087 _CKS0
63088
63089
63090         )
63091
63092 10928 \ 1
63093         #PCN07_PGMS
63094  
63095 pú07
63096 .
63097 b\99
63098 .
63099 _PGMS
63100
63101
63102         )
63103
63104 10929 \ 1
63105         #PCN07_EGS1
63106  
63107 pú07
63108 .
63109 b\99
63110 .
63111 _EGS1
63112
63113
63114         )
63115
63116 10930 \ 1
63117         #PCN07_EGS0
63118  
63119 pú07
63120 .
63121 b\99
63122 .
63123 _EGS0
63124
63125
63126         )
63127
63128 10931 \ 1
63129         #PCN07_IREN
63130  
63131 pú07
63132 .
63133 b\99
63134 .
63135 _IREN
63136
63137
63138         )
63139
63140 10932 \ 1
63141         #PCN07_IRQF
63142  
63143 pú07
63144 .
63145 b\99
63146 .
63147 _IRQF
63148
63149
63150         )
63151
63152 10933 \ 1
63153         #PCN07_IRS1
63154  
63155 pú07
63156 .
63157 b\99
63158 .
63159 _IRS1
63160
63161
63162         )
63163
63164 10934 \ 1
63165         #PCN07_IRS0
63166  
63167 pú07
63168 .
63169 b\99
63170 .
63171 _IRS0
63172
63173
63174         )
63175
63176 10935 \ 1
63177         #PCN07_OSEL
63178  
63179 pú07
63180 .
63181 b\99
63182 .
63183 _OSEL
63184
63185
63186         )
63187
63188 10936 \ 1
63189         #PCN07_CKS
63190  
63191 pú07
63192 .
63193 b\99c
63194 .
63195 _CKS
63196
63197
63198         )
63199
63200 10937 \ 1
63201         #PCN07_EGS
63202  
63203 pú07
63204 .
63205 b\99c
63206 .
63207 _EGS
63208
63209
63210         )
63211
63212 10938 \ 1
63213         #PCN07_IRS
63214  
63215 pú07
63216 .
63217 b\99c
63218 .
63219 _IRS
63220
63221
63222         )
63223
63224 10939 
63225 __IO_EXTERN
63226  
63227 PCNH07STR
63228  
63229         gpúh07
63230 ;
63231
63232 10940 \ 1
63233         #PCNH07
63234  
63235 púh07
63236 .
63237 by\8b
63238
63239
63240         )
63241
63242 10941 \ 1
63243         #PCNH07_CNTE
63244  
63245 púh07
63246 .
63247 b\99
63248 .
63249 _CNTE
63250
63251
63252         )
63253
63254 10942 \ 1
63255         #PCNH07_STGR
63256  
63257 púh07
63258 .
63259 b\99
63260 .
63261 _STGR
63262
63263
63264         )
63265
63266 10943 \ 1
63267         #PCNH07_MDSE
63268  
63269 púh07
63270 .
63271 b\99
63272 .
63273 _MDSE
63274
63275
63276         )
63277
63278 10944 \ 1
63279         #PCNH07_RTRG
63280  
63281 púh07
63282 .
63283 b\99
63284 .
63285 _RTRG
63286
63287
63288         )
63289
63290 10945 \ 1
63291         #PCNH07_CKS1
63292  
63293 púh07
63294 .
63295 b\99
63296 .
63297 _CKS1
63298
63299
63300         )
63301
63302 10946 \ 1
63303         #PCNH07_CKS0
63304  
63305 púh07
63306 .
63307 b\99
63308 .
63309 _CKS0
63310
63311
63312         )
63313
63314 10947 \ 1
63315         #PCNH07_PGMS
63316  
63317 púh07
63318 .
63319 b\99
63320 .
63321 _PGMS
63322
63323
63324         )
63325
63326 10948 \ 1
63327         #PCNH07_CKS
63328  
63329 púh07
63330 .
63331 b\99c
63332 .
63333 _CKS
63334
63335
63336         )
63337
63338 10949 
63339 __IO_EXTERN
63340  
63341 PCNL07STR
63342  
63343         gpúl07
63344 ;
63345
63346 10950 \ 1
63347         #PCNL07
63348  
63349 púl07
63350 .
63351 by\8b
63352
63353
63354         )
63355
63356 10951 \ 1
63357         #PCNL07_EGS1
63358  
63359 púl07
63360 .
63361 b\99
63362 .
63363 _EGS1
63364
63365
63366         )
63367
63368 10952 \ 1
63369         #PCNL07_EGS0
63370  
63371 púl07
63372 .
63373 b\99
63374 .
63375 _EGS0
63376
63377
63378         )
63379
63380 10953 \ 1
63381         #PCNL07_IREN
63382  
63383 púl07
63384 .
63385 b\99
63386 .
63387 _IREN
63388
63389
63390         )
63391
63392 10954 \ 1
63393         #PCNL07_IRQF
63394  
63395 púl07
63396 .
63397 b\99
63398 .
63399 _IRQF
63400
63401
63402         )
63403
63404 10955 \ 1
63405         #PCNL07_IRS1
63406  
63407 púl07
63408 .
63409 b\99
63410 .
63411 _IRS1
63412
63413
63414         )
63415
63416 10956 \ 1
63417         #PCNL07_IRS0
63418  
63419 púl07
63420 .
63421 b\99
63422 .
63423 _IRS0
63424
63425
63426         )
63427
63428 10957 \ 1
63429         #PCNL07_OSEL
63430  
63431 púl07
63432 .
63433 b\99
63434 .
63435 _OSEL
63436
63437
63438         )
63439
63440 10958 \ 1
63441         #PCNL07_EGS
63442  
63443 púl07
63444 .
63445 b\99c
63446 .
63447 _EGS
63448
63449
63450         )
63451
63452 10959 \ 1
63453         #PCNL07_IRS
63454  
63455 púl07
63456 .
63457 b\99c
63458 .
63459 _IRS
63460
63461
63462         )
63463
63464 10960 
63465 __IO_EXTERN
63466  
63467 IO_WORD
63468  
63469         g±mr08
63470 ;
63471
63472 10961 \ 1
63473         #PTMR08
63474  
63475 ±mr08
63476
63477
63478         )
63479
63480 10962 
63481 __IO_EXTERN
63482  
63483 IO_WORD
63484  
63485         gpc¤08
63486 ;
63487
63488 10963 \ 1
63489         #PCSR08
63490  
63491 pc¤08
63492
63493
63494         )
63495
63496 10964 
63497 __IO_EXTERN
63498  
63499 IO_WORD
63500  
63501         gpdut08
63502 ;
63503
63504 10965 \ 1
63505         #PDUT08
63506  
63507 pdut08
63508
63509
63510         )
63511
63512 10966 
63513 __IO_EXTERN
63514  
63515 PCN08STR
63516  
63517         gpú08
63518 ;
63519
63520 10967 \ 1
63521         #PCN08
63522  
63523 pú08
63524 .
63525 wÜd
63526
63527
63528         )
63529
63530 10968 \ 1
63531         #PCN08_CNTE
63532  
63533 pú08
63534 .
63535 b\99
63536 .
63537 _CNTE
63538
63539
63540         )
63541
63542 10969 \ 1
63543         #PCN08_STGR
63544  
63545 pú08
63546 .
63547 b\99
63548 .
63549 _STGR
63550
63551
63552         )
63553
63554 10970 \ 1
63555         #PCN08_MDSE
63556  
63557 pú08
63558 .
63559 b\99
63560 .
63561 _MDSE
63562
63563
63564         )
63565
63566 10971 \ 1
63567         #PCN08_RTRG
63568  
63569 pú08
63570 .
63571 b\99
63572 .
63573 _RTRG
63574
63575
63576         )
63577
63578 10972 \ 1
63579         #PCN08_CKS1
63580  
63581 pú08
63582 .
63583 b\99
63584 .
63585 _CKS1
63586
63587
63588         )
63589
63590 10973 \ 1
63591         #PCN08_CKS0
63592  
63593 pú08
63594 .
63595 b\99
63596 .
63597 _CKS0
63598
63599
63600         )
63601
63602 10974 \ 1
63603         #PCN08_PGMS
63604  
63605 pú08
63606 .
63607 b\99
63608 .
63609 _PGMS
63610
63611
63612         )
63613
63614 10975 \ 1
63615         #PCN08_EGS1
63616  
63617 pú08
63618 .
63619 b\99
63620 .
63621 _EGS1
63622
63623
63624         )
63625
63626 10976 \ 1
63627         #PCN08_EGS0
63628  
63629 pú08
63630 .
63631 b\99
63632 .
63633 _EGS0
63634
63635
63636         )
63637
63638 10977 \ 1
63639         #PCN08_IREN
63640  
63641 pú08
63642 .
63643 b\99
63644 .
63645 _IREN
63646
63647
63648         )
63649
63650 10978 \ 1
63651         #PCN08_IRQF
63652  
63653 pú08
63654 .
63655 b\99
63656 .
63657 _IRQF
63658
63659
63660         )
63661
63662 10979 \ 1
63663         #PCN08_IRS1
63664  
63665 pú08
63666 .
63667 b\99
63668 .
63669 _IRS1
63670
63671
63672         )
63673
63674 10980 \ 1
63675         #PCN08_IRS0
63676  
63677 pú08
63678 .
63679 b\99
63680 .
63681 _IRS0
63682
63683
63684         )
63685
63686 10981 \ 1
63687         #PCN08_OSEL
63688  
63689 pú08
63690 .
63691 b\99
63692 .
63693 _OSEL
63694
63695
63696         )
63697
63698 10982 \ 1
63699         #PCN08_CKS
63700  
63701 pú08
63702 .
63703 b\99c
63704 .
63705 _CKS
63706
63707
63708         )
63709
63710 10983 \ 1
63711         #PCN08_EGS
63712  
63713 pú08
63714 .
63715 b\99c
63716 .
63717 _EGS
63718
63719
63720         )
63721
63722 10984 \ 1
63723         #PCN08_IRS
63724  
63725 pú08
63726 .
63727 b\99c
63728 .
63729 _IRS
63730
63731
63732         )
63733
63734 10985 
63735 __IO_EXTERN
63736  
63737 PCNH08STR
63738  
63739         gpúh08
63740 ;
63741
63742 10986 \ 1
63743         #PCNH08
63744  
63745 púh08
63746 .
63747 by\8b
63748
63749
63750         )
63751
63752 10987 \ 1
63753         #PCNH08_CNTE
63754  
63755 púh08
63756 .
63757 b\99
63758 .
63759 _CNTE
63760
63761
63762         )
63763
63764 10988 \ 1
63765         #PCNH08_STGR
63766  
63767 púh08
63768 .
63769 b\99
63770 .
63771 _STGR
63772
63773
63774         )
63775
63776 10989 \ 1
63777         #PCNH08_MDSE
63778  
63779 púh08
63780 .
63781 b\99
63782 .
63783 _MDSE
63784
63785
63786         )
63787
63788 10990 \ 1
63789         #PCNH08_RTRG
63790  
63791 púh08
63792 .
63793 b\99
63794 .
63795 _RTRG
63796
63797
63798         )
63799
63800 10991 \ 1
63801         #PCNH08_CKS1
63802  
63803 púh08
63804 .
63805 b\99
63806 .
63807 _CKS1
63808
63809
63810         )
63811
63812 10992 \ 1
63813         #PCNH08_CKS0
63814  
63815 púh08
63816 .
63817 b\99
63818 .
63819 _CKS0
63820
63821
63822         )
63823
63824 10993 \ 1
63825         #PCNH08_PGMS
63826  
63827 púh08
63828 .
63829 b\99
63830 .
63831 _PGMS
63832
63833
63834         )
63835
63836 10994 \ 1
63837         #PCNH08_CKS
63838  
63839 púh08
63840 .
63841 b\99c
63842 .
63843 _CKS
63844
63845
63846         )
63847
63848 10995 
63849 __IO_EXTERN
63850  
63851 PCNL08STR
63852  
63853         gpúl08
63854 ;
63855
63856 10996 \ 1
63857         #PCNL08
63858  
63859 púl08
63860 .
63861 by\8b
63862
63863
63864         )
63865
63866 10997 \ 1
63867         #PCNL08_EGS1
63868  
63869 púl08
63870 .
63871 b\99
63872 .
63873 _EGS1
63874
63875
63876         )
63877
63878 10998 \ 1
63879         #PCNL08_EGS0
63880  
63881 púl08
63882 .
63883 b\99
63884 .
63885 _EGS0
63886
63887
63888         )
63889
63890 10999 \ 1
63891         #PCNL08_IREN
63892  
63893 púl08
63894 .
63895 b\99
63896 .
63897 _IREN
63898
63899
63900         )
63901
63902 11000 \ 1
63903         #PCNL08_IRQF
63904  
63905 púl08
63906 .
63907 b\99
63908 .
63909 _IRQF
63910
63911
63912         )
63913
63914 11001 \ 1
63915         #PCNL08_IRS1
63916  
63917 púl08
63918 .
63919 b\99
63920 .
63921 _IRS1
63922
63923
63924         )
63925
63926 11002 \ 1
63927         #PCNL08_IRS0
63928  
63929 púl08
63930 .
63931 b\99
63932 .
63933 _IRS0
63934
63935
63936         )
63937
63938 11003 \ 1
63939         #PCNL08_OSEL
63940  
63941 púl08
63942 .
63943 b\99
63944 .
63945 _OSEL
63946
63947
63948         )
63949
63950 11004 \ 1
63951         #PCNL08_EGS
63952  
63953 púl08
63954 .
63955 b\99c
63956 .
63957 _EGS
63958
63959
63960         )
63961
63962 11005 \ 1
63963         #PCNL08_IRS
63964  
63965 púl08
63966 .
63967 b\99c
63968 .
63969 _IRS
63970
63971
63972         )
63973
63974 11006 
63975 __IO_EXTERN
63976  
63977 IO_WORD
63978  
63979         g±mr09
63980 ;
63981
63982 11007 \ 1
63983         #PTMR09
63984  
63985 ±mr09
63986
63987
63988         )
63989
63990 11008 
63991 __IO_EXTERN
63992  
63993 IO_WORD
63994  
63995         gpc¤09
63996 ;
63997
63998 11009 \ 1
63999         #PCSR09
64000  
64001 pc¤09
64002
64003
64004         )
64005
64006 11010 
64007 __IO_EXTERN
64008  
64009 IO_WORD
64010  
64011         gpdut09
64012 ;
64013
64014 11011 \ 1
64015         #PDUT09
64016  
64017 pdut09
64018
64019
64020         )
64021
64022 11012 
64023 __IO_EXTERN
64024  
64025 PCN09STR
64026  
64027         gpú09
64028 ;
64029
64030 11013 \ 1
64031         #PCN09
64032  
64033 pú09
64034 .
64035 wÜd
64036
64037
64038         )
64039
64040 11014 \ 1
64041         #PCN09_CNTE
64042  
64043 pú09
64044 .
64045 b\99
64046 .
64047 _CNTE
64048
64049
64050         )
64051
64052 11015 \ 1
64053         #PCN09_STGR
64054  
64055 pú09
64056 .
64057 b\99
64058 .
64059 _STGR
64060
64061
64062         )
64063
64064 11016 \ 1
64065         #PCN09_MDSE
64066  
64067 pú09
64068 .
64069 b\99
64070 .
64071 _MDSE
64072
64073
64074         )
64075
64076 11017 \ 1
64077         #PCN09_RTRG
64078  
64079 pú09
64080 .
64081 b\99
64082 .
64083 _RTRG
64084
64085
64086         )
64087
64088 11018 \ 1
64089         #PCN09_CKS1
64090  
64091 pú09
64092 .
64093 b\99
64094 .
64095 _CKS1
64096
64097
64098         )
64099
64100 11019 \ 1
64101         #PCN09_CKS0
64102  
64103 pú09
64104 .
64105 b\99
64106 .
64107 _CKS0
64108
64109
64110         )
64111
64112 11020 \ 1
64113         #PCN09_PGMS
64114  
64115 pú09
64116 .
64117 b\99
64118 .
64119 _PGMS
64120
64121
64122         )
64123
64124 11021 \ 1
64125         #PCN09_EGS1
64126  
64127 pú09
64128 .
64129 b\99
64130 .
64131 _EGS1
64132
64133
64134         )
64135
64136 11022 \ 1
64137         #PCN09_EGS0
64138  
64139 pú09
64140 .
64141 b\99
64142 .
64143 _EGS0
64144
64145
64146         )
64147
64148 11023 \ 1
64149         #PCN09_IREN
64150  
64151 pú09
64152 .
64153 b\99
64154 .
64155 _IREN
64156
64157
64158         )
64159
64160 11024 \ 1
64161         #PCN09_IRQF
64162  
64163 pú09
64164 .
64165 b\99
64166 .
64167 _IRQF
64168
64169
64170         )
64171
64172 11025 \ 1
64173         #PCN09_IRS1
64174  
64175 pú09
64176 .
64177 b\99
64178 .
64179 _IRS1
64180
64181
64182         )
64183
64184 11026 \ 1
64185         #PCN09_IRS0
64186  
64187 pú09
64188 .
64189 b\99
64190 .
64191 _IRS0
64192
64193
64194         )
64195
64196 11027 \ 1
64197         #PCN09_OSEL
64198  
64199 pú09
64200 .
64201 b\99
64202 .
64203 _OSEL
64204
64205
64206         )
64207
64208 11028 \ 1
64209         #PCN09_CKS
64210  
64211 pú09
64212 .
64213 b\99c
64214 .
64215 _CKS
64216
64217
64218         )
64219
64220 11029 \ 1
64221         #PCN09_EGS
64222  
64223 pú09
64224 .
64225 b\99c
64226 .
64227 _EGS
64228
64229
64230         )
64231
64232 11030 \ 1
64233         #PCN09_IRS
64234  
64235 pú09
64236 .
64237 b\99c
64238 .
64239 _IRS
64240
64241
64242         )
64243
64244 11031 
64245 __IO_EXTERN
64246  
64247 PCNH09STR
64248  
64249         gpúh09
64250 ;
64251
64252 11032 \ 1
64253         #PCNH09
64254  
64255 púh09
64256 .
64257 by\8b
64258
64259
64260         )
64261
64262 11033 \ 1
64263         #PCNH09_CNTE
64264  
64265 púh09
64266 .
64267 b\99
64268 .
64269 _CNTE
64270
64271
64272         )
64273
64274 11034 \ 1
64275         #PCNH09_STGR
64276  
64277 púh09
64278 .
64279 b\99
64280 .
64281 _STGR
64282
64283
64284         )
64285
64286 11035 \ 1
64287         #PCNH09_MDSE
64288  
64289 púh09
64290 .
64291 b\99
64292 .
64293 _MDSE
64294
64295
64296         )
64297
64298 11036 \ 1
64299         #PCNH09_RTRG
64300  
64301 púh09
64302 .
64303 b\99
64304 .
64305 _RTRG
64306
64307
64308         )
64309
64310 11037 \ 1
64311         #PCNH09_CKS1
64312  
64313 púh09
64314 .
64315 b\99
64316 .
64317 _CKS1
64318
64319
64320         )
64321
64322 11038 \ 1
64323         #PCNH09_CKS0
64324  
64325 púh09
64326 .
64327 b\99
64328 .
64329 _CKS0
64330
64331
64332         )
64333
64334 11039 \ 1
64335         #PCNH09_PGMS
64336  
64337 púh09
64338 .
64339 b\99
64340 .
64341 _PGMS
64342
64343
64344         )
64345
64346 11040 \ 1
64347         #PCNH09_CKS
64348  
64349 púh09
64350 .
64351 b\99c
64352 .
64353 _CKS
64354
64355
64356         )
64357
64358 11041 
64359 __IO_EXTERN
64360  
64361 PCNL09STR
64362  
64363         gpúl09
64364 ;
64365
64366 11042 \ 1
64367         #PCNL09
64368  
64369 púl09
64370 .
64371 by\8b
64372
64373
64374         )
64375
64376 11043 \ 1
64377         #PCNL09_EGS1
64378  
64379 púl09
64380 .
64381 b\99
64382 .
64383 _EGS1
64384
64385
64386         )
64387
64388 11044 \ 1
64389         #PCNL09_EGS0
64390  
64391 púl09
64392 .
64393 b\99
64394 .
64395 _EGS0
64396
64397
64398         )
64399
64400 11045 \ 1
64401         #PCNL09_IREN
64402  
64403 púl09
64404 .
64405 b\99
64406 .
64407 _IREN
64408
64409
64410         )
64411
64412 11046 \ 1
64413         #PCNL09_IRQF
64414  
64415 púl09
64416 .
64417 b\99
64418 .
64419 _IRQF
64420
64421
64422         )
64423
64424 11047 \ 1
64425         #PCNL09_IRS1
64426  
64427 púl09
64428 .
64429 b\99
64430 .
64431 _IRS1
64432
64433
64434         )
64435
64436 11048 \ 1
64437         #PCNL09_IRS0
64438  
64439 púl09
64440 .
64441 b\99
64442 .
64443 _IRS0
64444
64445
64446         )
64447
64448 11049 \ 1
64449         #PCNL09_OSEL
64450  
64451 púl09
64452 .
64453 b\99
64454 .
64455 _OSEL
64456
64457
64458         )
64459
64460 11050 \ 1
64461         #PCNL09_EGS
64462  
64463 púl09
64464 .
64465 b\99c
64466 .
64467 _EGS
64468
64469
64470         )
64471
64472 11051 \ 1
64473         #PCNL09_IRS
64474  
64475 púl09
64476 .
64477 b\99c
64478 .
64479 _IRS
64480
64481
64482         )
64483
64484 11052 
64485 __IO_EXTERN
64486  
64487 IO_WORD
64488  
64489         g±mr10
64490 ;
64491
64492 11053 \ 1
64493         #PTMR10
64494  
64495 ±mr10
64496
64497
64498         )
64499
64500 11054 
64501 __IO_EXTERN
64502  
64503 IO_WORD
64504  
64505         gpc¤10
64506 ;
64507
64508 11055 \ 1
64509         #PCSR10
64510  
64511 pc¤10
64512
64513
64514         )
64515
64516 11056 
64517 __IO_EXTERN
64518  
64519 IO_WORD
64520  
64521         gpdut10
64522 ;
64523
64524 11057 \ 1
64525         #PDUT10
64526  
64527 pdut10
64528
64529
64530         )
64531
64532 11058 
64533 __IO_EXTERN
64534  
64535 PCN10STR
64536  
64537         gpú10
64538 ;
64539
64540 11059 \ 1
64541         #PCN10
64542  
64543 pú10
64544 .
64545 wÜd
64546
64547
64548         )
64549
64550 11060 \ 1
64551         #PCN10_CNTE
64552  
64553 pú10
64554 .
64555 b\99
64556 .
64557 _CNTE
64558
64559
64560         )
64561
64562 11061 \ 1
64563         #PCN10_STGR
64564  
64565 pú10
64566 .
64567 b\99
64568 .
64569 _STGR
64570
64571
64572         )
64573
64574 11062 \ 1
64575         #PCN10_MDSE
64576  
64577 pú10
64578 .
64579 b\99
64580 .
64581 _MDSE
64582
64583
64584         )
64585
64586 11063 \ 1
64587         #PCN10_RTRG
64588  
64589 pú10
64590 .
64591 b\99
64592 .
64593 _RTRG
64594
64595
64596         )
64597
64598 11064 \ 1
64599         #PCN10_CKS1
64600  
64601 pú10
64602 .
64603 b\99
64604 .
64605 _CKS1
64606
64607
64608         )
64609
64610 11065 \ 1
64611         #PCN10_CKS0
64612  
64613 pú10
64614 .
64615 b\99
64616 .
64617 _CKS0
64618
64619
64620         )
64621
64622 11066 \ 1
64623         #PCN10_PGMS
64624  
64625 pú10
64626 .
64627 b\99
64628 .
64629 _PGMS
64630
64631
64632         )
64633
64634 11067 \ 1
64635         #PCN10_EGS1
64636  
64637 pú10
64638 .
64639 b\99
64640 .
64641 _EGS1
64642
64643
64644         )
64645
64646 11068 \ 1
64647         #PCN10_EGS0
64648  
64649 pú10
64650 .
64651 b\99
64652 .
64653 _EGS0
64654
64655
64656         )
64657
64658 11069 \ 1
64659         #PCN10_IREN
64660  
64661 pú10
64662 .
64663 b\99
64664 .
64665 _IREN
64666
64667
64668         )
64669
64670 11070 \ 1
64671         #PCN10_IRQF
64672  
64673 pú10
64674 .
64675 b\99
64676 .
64677 _IRQF
64678
64679
64680         )
64681
64682 11071 \ 1
64683         #PCN10_IRS1
64684  
64685 pú10
64686 .
64687 b\99
64688 .
64689 _IRS1
64690
64691
64692         )
64693
64694 11072 \ 1
64695         #PCN10_IRS0
64696  
64697 pú10
64698 .
64699 b\99
64700 .
64701 _IRS0
64702
64703
64704         )
64705
64706 11073 \ 1
64707         #PCN10_OSEL
64708  
64709 pú10
64710 .
64711 b\99
64712 .
64713 _OSEL
64714
64715
64716         )
64717
64718 11074 \ 1
64719         #PCN10_CKS
64720  
64721 pú10
64722 .
64723 b\99c
64724 .
64725 _CKS
64726
64727
64728         )
64729
64730 11075 \ 1
64731         #PCN10_EGS
64732  
64733 pú10
64734 .
64735 b\99c
64736 .
64737 _EGS
64738
64739
64740         )
64741
64742 11076 \ 1
64743         #PCN10_IRS
64744  
64745 pú10
64746 .
64747 b\99c
64748 .
64749 _IRS
64750
64751
64752         )
64753
64754 11077 
64755 __IO_EXTERN
64756  
64757 PCNH10STR
64758  
64759         gpúh10
64760 ;
64761
64762 11078 \ 1
64763         #PCNH10
64764  
64765 púh10
64766 .
64767 by\8b
64768
64769
64770         )
64771
64772 11079 \ 1
64773         #PCNH10_CNTE
64774  
64775 púh10
64776 .
64777 b\99
64778 .
64779 _CNTE
64780
64781
64782         )
64783
64784 11080 \ 1
64785         #PCNH10_STGR
64786  
64787 púh10
64788 .
64789 b\99
64790 .
64791 _STGR
64792
64793
64794         )
64795
64796 11081 \ 1
64797         #PCNH10_MDSE
64798  
64799 púh10
64800 .
64801 b\99
64802 .
64803 _MDSE
64804
64805
64806         )
64807
64808 11082 \ 1
64809         #PCNH10_RTRG
64810  
64811 púh10
64812 .
64813 b\99
64814 .
64815 _RTRG
64816
64817
64818         )
64819
64820 11083 \ 1
64821         #PCNH10_CKS1
64822  
64823 púh10
64824 .
64825 b\99
64826 .
64827 _CKS1
64828
64829
64830         )
64831
64832 11084 \ 1
64833         #PCNH10_CKS0
64834  
64835 púh10
64836 .
64837 b\99
64838 .
64839 _CKS0
64840
64841
64842         )
64843
64844 11085 \ 1
64845         #PCNH10_PGMS
64846  
64847 púh10
64848 .
64849 b\99
64850 .
64851 _PGMS
64852
64853
64854         )
64855
64856 11086 \ 1
64857         #PCNH10_CKS
64858  
64859 púh10
64860 .
64861 b\99c
64862 .
64863 _CKS
64864
64865
64866         )
64867
64868 11087 
64869 __IO_EXTERN
64870  
64871 PCNL10STR
64872  
64873         gpúl10
64874 ;
64875
64876 11088 \ 1
64877         #PCNL10
64878  
64879 púl10
64880 .
64881 by\8b
64882
64883
64884         )
64885
64886 11089 \ 1
64887         #PCNL10_EGS1
64888  
64889 púl10
64890 .
64891 b\99
64892 .
64893 _EGS1
64894
64895
64896         )
64897
64898 11090 \ 1
64899         #PCNL10_EGS0
64900  
64901 púl10
64902 .
64903 b\99
64904 .
64905 _EGS0
64906
64907
64908         )
64909
64910 11091 \ 1
64911         #PCNL10_IREN
64912  
64913 púl10
64914 .
64915 b\99
64916 .
64917 _IREN
64918
64919
64920         )
64921
64922 11092 \ 1
64923         #PCNL10_IRQF
64924  
64925 púl10
64926 .
64927 b\99
64928 .
64929 _IRQF
64930
64931
64932         )
64933
64934 11093 \ 1
64935         #PCNL10_IRS1
64936  
64937 púl10
64938 .
64939 b\99
64940 .
64941 _IRS1
64942
64943
64944         )
64945
64946 11094 \ 1
64947         #PCNL10_IRS0
64948  
64949 púl10
64950 .
64951 b\99
64952 .
64953 _IRS0
64954
64955
64956         )
64957
64958 11095 \ 1
64959         #PCNL10_OSEL
64960  
64961 púl10
64962 .
64963 b\99
64964 .
64965 _OSEL
64966
64967
64968         )
64969
64970 11096 \ 1
64971         #PCNL10_EGS
64972  
64973 púl10
64974 .
64975 b\99c
64976 .
64977 _EGS
64978
64979
64980         )
64981
64982 11097 \ 1
64983         #PCNL10_IRS
64984  
64985 púl10
64986 .
64987 b\99c
64988 .
64989 _IRS
64990
64991
64992         )
64993
64994 11098 
64995 __IO_EXTERN
64996  
64997 IO_WORD
64998  
64999         g±mr11
65000 ;
65001
65002 11099 \ 1
65003         #PTMR11
65004  
65005 ±mr11
65006
65007
65008         )
65009
65010 11100 
65011 __IO_EXTERN
65012  
65013 IO_WORD
65014  
65015         gpc¤11
65016 ;
65017
65018 11101 \ 1
65019         #PCSR11
65020  
65021 pc¤11
65022
65023
65024         )
65025
65026 11102 
65027 __IO_EXTERN
65028  
65029 IO_WORD
65030  
65031         gpdut11
65032 ;
65033
65034 11103 \ 1
65035         #PDUT11
65036  
65037 pdut11
65038
65039
65040         )
65041
65042 11104 
65043 __IO_EXTERN
65044  
65045 PCN11STR
65046  
65047         gpú11
65048 ;
65049
65050 11105 \ 1
65051         #PCN11
65052  
65053 pú11
65054 .
65055 wÜd
65056
65057
65058         )
65059
65060 11106 \ 1
65061         #PCN11_CNTE
65062  
65063 pú11
65064 .
65065 b\99
65066 .
65067 _CNTE
65068
65069
65070         )
65071
65072 11107 \ 1
65073         #PCN11_STGR
65074  
65075 pú11
65076 .
65077 b\99
65078 .
65079 _STGR
65080
65081
65082         )
65083
65084 11108 \ 1
65085         #PCN11_MDSE
65086  
65087 pú11
65088 .
65089 b\99
65090 .
65091 _MDSE
65092
65093
65094         )
65095
65096 11109 \ 1
65097         #PCN11_RTRG
65098  
65099 pú11
65100 .
65101 b\99
65102 .
65103 _RTRG
65104
65105
65106         )
65107
65108 11110 \ 1
65109         #PCN11_CKS1
65110  
65111 pú11
65112 .
65113 b\99
65114 .
65115 _CKS1
65116
65117
65118         )
65119
65120 11111 \ 1
65121         #PCN11_CKS0
65122  
65123 pú11
65124 .
65125 b\99
65126 .
65127 _CKS0
65128
65129
65130         )
65131
65132 11112 \ 1
65133         #PCN11_PGMS
65134  
65135 pú11
65136 .
65137 b\99
65138 .
65139 _PGMS
65140
65141
65142         )
65143
65144 11113 \ 1
65145         #PCN11_EGS1
65146  
65147 pú11
65148 .
65149 b\99
65150 .
65151 _EGS1
65152
65153
65154         )
65155
65156 11114 \ 1
65157         #PCN11_EGS0
65158  
65159 pú11
65160 .
65161 b\99
65162 .
65163 _EGS0
65164
65165
65166         )
65167
65168 11115 \ 1
65169         #PCN11_IREN
65170  
65171 pú11
65172 .
65173 b\99
65174 .
65175 _IREN
65176
65177
65178         )
65179
65180 11116 \ 1
65181         #PCN11_IRQF
65182  
65183 pú11
65184 .
65185 b\99
65186 .
65187 _IRQF
65188
65189
65190         )
65191
65192 11117 \ 1
65193         #PCN11_IRS1
65194  
65195 pú11
65196 .
65197 b\99
65198 .
65199 _IRS1
65200
65201
65202         )
65203
65204 11118 \ 1
65205         #PCN11_IRS0
65206  
65207 pú11
65208 .
65209 b\99
65210 .
65211 _IRS0
65212
65213
65214         )
65215
65216 11119 \ 1
65217         #PCN11_OSEL
65218  
65219 pú11
65220 .
65221 b\99
65222 .
65223 _OSEL
65224
65225
65226         )
65227
65228 11120 \ 1
65229         #PCN11_CKS
65230  
65231 pú11
65232 .
65233 b\99c
65234 .
65235 _CKS
65236
65237
65238         )
65239
65240 11121 \ 1
65241         #PCN11_EGS
65242  
65243 pú11
65244 .
65245 b\99c
65246 .
65247 _EGS
65248
65249
65250         )
65251
65252 11122 \ 1
65253         #PCN11_IRS
65254  
65255 pú11
65256 .
65257 b\99c
65258 .
65259 _IRS
65260
65261
65262         )
65263
65264 11123 
65265 __IO_EXTERN
65266  
65267 PCNH11STR
65268  
65269         gpúh11
65270 ;
65271
65272 11124 \ 1
65273         #PCNH11
65274  
65275 púh11
65276 .
65277 by\8b
65278
65279
65280         )
65281
65282 11125 \ 1
65283         #PCNH11_CNTE
65284  
65285 púh11
65286 .
65287 b\99
65288 .
65289 _CNTE
65290
65291
65292         )
65293
65294 11126 \ 1
65295         #PCNH11_STGR
65296  
65297 púh11
65298 .
65299 b\99
65300 .
65301 _STGR
65302
65303
65304         )
65305
65306 11127 \ 1
65307         #PCNH11_MDSE
65308  
65309 púh11
65310 .
65311 b\99
65312 .
65313 _MDSE
65314
65315
65316         )
65317
65318 11128 \ 1
65319         #PCNH11_RTRG
65320  
65321 púh11
65322 .
65323 b\99
65324 .
65325 _RTRG
65326
65327
65328         )
65329
65330 11129 \ 1
65331         #PCNH11_CKS1
65332  
65333 púh11
65334 .
65335 b\99
65336 .
65337 _CKS1
65338
65339
65340         )
65341
65342 11130 \ 1
65343         #PCNH11_CKS0
65344  
65345 púh11
65346 .
65347 b\99
65348 .
65349 _CKS0
65350
65351
65352         )
65353
65354 11131 \ 1
65355         #PCNH11_PGMS
65356  
65357 púh11
65358 .
65359 b\99
65360 .
65361 _PGMS
65362
65363
65364         )
65365
65366 11132 \ 1
65367         #PCNH11_CKS
65368  
65369 púh11
65370 .
65371 b\99c
65372 .
65373 _CKS
65374
65375
65376         )
65377
65378 11133 
65379 __IO_EXTERN
65380  
65381 PCNL11STR
65382  
65383         gpúl11
65384 ;
65385
65386 11134 \ 1
65387         #PCNL11
65388  
65389 púl11
65390 .
65391 by\8b
65392
65393
65394         )
65395
65396 11135 \ 1
65397         #PCNL11_EGS1
65398  
65399 púl11
65400 .
65401 b\99
65402 .
65403 _EGS1
65404
65405
65406         )
65407
65408 11136 \ 1
65409         #PCNL11_EGS0
65410  
65411 púl11
65412 .
65413 b\99
65414 .
65415 _EGS0
65416
65417
65418         )
65419
65420 11137 \ 1
65421         #PCNL11_IREN
65422  
65423 púl11
65424 .
65425 b\99
65426 .
65427 _IREN
65428
65429
65430         )
65431
65432 11138 \ 1
65433         #PCNL11_IRQF
65434  
65435 púl11
65436 .
65437 b\99
65438 .
65439 _IRQF
65440
65441
65442         )
65443
65444 11139 \ 1
65445         #PCNL11_IRS1
65446  
65447 púl11
65448 .
65449 b\99
65450 .
65451 _IRS1
65452
65453
65454         )
65455
65456 11140 \ 1
65457         #PCNL11_IRS0
65458  
65459 púl11
65460 .
65461 b\99
65462 .
65463 _IRS0
65464
65465
65466         )
65467
65468 11141 \ 1
65469         #PCNL11_OSEL
65470  
65471 púl11
65472 .
65473 b\99
65474 .
65475 _OSEL
65476
65477
65478         )
65479
65480 11142 \ 1
65481         #PCNL11_EGS
65482  
65483 púl11
65484 .
65485 b\99c
65486 .
65487 _EGS
65488
65489
65490         )
65491
65492 11143 \ 1
65493         #PCNL11_IRS
65494  
65495 púl11
65496 .
65497 b\99c
65498 .
65499 _IRS
65500
65501
65502         )
65503
65504 11144 
65505 __IO_EXTERN
65506  
65507 ICS01STR
65508  
65509         gics01
65510 ;
65511
65512 11145 \ 1
65513         #ICS01
65514  
65515 ics01
65516 .
65517 by\8b
65518
65519
65520         )
65521
65522 11146 \ 1
65523         #ICS01_ICP1
65524  
65525 ics01
65526 .
65527 b\99
65528 .
65529 _ICP1
65530
65531
65532         )
65533
65534 11147 \ 1
65535         #ICS01_ICP0
65536  
65537 ics01
65538 .
65539 b\99
65540 .
65541 _ICP0
65542
65543
65544         )
65545
65546 11148 \ 1
65547         #ICS01_ICE1
65548  
65549 ics01
65550 .
65551 b\99
65552 .
65553 _ICE1
65554
65555
65556         )
65557
65558 11149 \ 1
65559         #ICS01_ICE0
65560  
65561 ics01
65562 .
65563 b\99
65564 .
65565 _ICE0
65566
65567
65568         )
65569
65570 11150 \ 1
65571         #ICS01_EG11
65572  
65573 ics01
65574 .
65575 b\99
65576 .
65577 _EG11
65578
65579
65580         )
65581
65582 11151 \ 1
65583         #ICS01_EG10
65584  
65585 ics01
65586 .
65587 b\99
65588 .
65589 _EG10
65590
65591
65592         )
65593
65594 11152 \ 1
65595         #ICS01_EG01
65596  
65597 ics01
65598 .
65599 b\99
65600 .
65601 _EG01
65602
65603
65604         )
65605
65606 11153 \ 1
65607         #ICS01_EG00
65608  
65609 ics01
65610 .
65611 b\99
65612 .
65613 _EG00
65614
65615
65616         )
65617
65618 11154 \ 1
65619         #ICS01_EG1
65620  
65621 ics01
65622 .
65623 b\99c
65624 .
65625 _EG1
65626
65627
65628         )
65629
65630 11155 \ 1
65631         #ICS01_EG0
65632  
65633 ics01
65634 .
65635 b\99c
65636 .
65637 _EG0
65638
65639
65640         )
65641
65642 11156 
65643 __IO_EXTERN
65644  
65645 ICS23STR
65646  
65647         gics23
65648 ;
65649
65650 11157 \ 1
65651         #ICS23
65652  
65653 ics23
65654 .
65655 by\8b
65656
65657
65658         )
65659
65660 11158 \ 1
65661         #ICS23_ICP3
65662  
65663 ics23
65664 .
65665 b\99
65666 .
65667 _ICP3
65668
65669
65670         )
65671
65672 11159 \ 1
65673         #ICS23_ICP2
65674  
65675 ics23
65676 .
65677 b\99
65678 .
65679 _ICP2
65680
65681
65682         )
65683
65684 11160 \ 1
65685         #ICS23_ICE3
65686  
65687 ics23
65688 .
65689 b\99
65690 .
65691 _ICE3
65692
65693
65694         )
65695
65696 11161 \ 1
65697         #ICS23_ICE2
65698  
65699 ics23
65700 .
65701 b\99
65702 .
65703 _ICE2
65704
65705
65706         )
65707
65708 11162 \ 1
65709         #ICS23_EG31
65710  
65711 ics23
65712 .
65713 b\99
65714 .
65715 _EG31
65716
65717
65718         )
65719
65720 11163 \ 1
65721         #ICS23_EG30
65722  
65723 ics23
65724 .
65725 b\99
65726 .
65727 _EG30
65728
65729
65730         )
65731
65732 11164 \ 1
65733         #ICS23_EG21
65734  
65735 ics23
65736 .
65737 b\99
65738 .
65739 _EG21
65740
65741
65742         )
65743
65744 11165 \ 1
65745         #ICS23_EG20
65746  
65747 ics23
65748 .
65749 b\99
65750 .
65751 _EG20
65752
65753
65754         )
65755
65756 11166 \ 1
65757         #ICS23_EG3
65758  
65759 ics23
65760 .
65761 b\99c
65762 .
65763 _EG3
65764
65765
65766         )
65767
65768 11167 \ 1
65769         #ICS23_EG2
65770  
65771 ics23
65772 .
65773 b\99c
65774 .
65775 _EG2
65776
65777
65778         )
65779
65780 11168 
65781 __IO_EXTERN
65782  
65783 IPCP0STR
65784  
65785         g\9dý0
65786 ;
65787
65788 11169 \ 1
65789         #IPCP0
65790  
65791 \9dý0
65792 .
65793 wÜd
65794
65795
65796         )
65797
65798 11170 \ 1
65799         #IPCP0_CP15
65800  
65801 \9dý0
65802 .
65803 b\99
65804 .
65805 _CP15
65806
65807
65808         )
65809
65810 11171 \ 1
65811         #IPCP0_CP14
65812  
65813 \9dý0
65814 .
65815 b\99
65816 .
65817 _CP14
65818
65819
65820         )
65821
65822 11172 \ 1
65823         #IPCP0_CP13
65824  
65825 \9dý0
65826 .
65827 b\99
65828 .
65829 _CP13
65830
65831
65832         )
65833
65834 11173 \ 1
65835         #IPCP0_CP12
65836  
65837 \9dý0
65838 .
65839 b\99
65840 .
65841 _CP12
65842
65843
65844         )
65845
65846 11174 \ 1
65847         #IPCP0_CP11
65848  
65849 \9dý0
65850 .
65851 b\99
65852 .
65853 _CP11
65854
65855
65856         )
65857
65858 11175 \ 1
65859         #IPCP0_CP10
65860  
65861 \9dý0
65862 .
65863 b\99
65864 .
65865 _CP10
65866
65867
65868         )
65869
65870 11176 \ 1
65871         #IPCP0_CP9
65872  
65873 \9dý0
65874 .
65875 b\99
65876 .
65877 _CP9
65878
65879
65880         )
65881
65882 11177 \ 1
65883         #IPCP0_CP8
65884  
65885 \9dý0
65886 .
65887 b\99
65888 .
65889 _CP8
65890
65891
65892         )
65893
65894 11178 \ 1
65895         #IPCP0_CP7
65896  
65897 \9dý0
65898 .
65899 b\99
65900 .
65901 _CP7
65902
65903
65904         )
65905
65906 11179 \ 1
65907         #IPCP0_CP6
65908  
65909 \9dý0
65910 .
65911 b\99
65912 .
65913 _CP6
65914
65915
65916         )
65917
65918 11180 \ 1
65919         #IPCP0_CP5
65920  
65921 \9dý0
65922 .
65923 b\99
65924 .
65925 _CP5
65926
65927
65928         )
65929
65930 11181 \ 1
65931         #IPCP0_CP4
65932  
65933 \9dý0
65934 .
65935 b\99
65936 .
65937 _CP4
65938
65939
65940         )
65941
65942 11182 \ 1
65943         #IPCP0_CP3
65944  
65945 \9dý0
65946 .
65947 b\99
65948 .
65949 _CP3
65950
65951
65952         )
65953
65954 11183 \ 1
65955         #IPCP0_CP2
65956  
65957 \9dý0
65958 .
65959 b\99
65960 .
65961 _CP2
65962
65963
65964         )
65965
65966 11184 \ 1
65967         #IPCP0_CP1
65968  
65969 \9dý0
65970 .
65971 b\99
65972 .
65973 _CP1
65974
65975
65976         )
65977
65978 11185 \ 1
65979         #IPCP0_CP0
65980  
65981 \9dý0
65982 .
65983 b\99
65984 .
65985 _CP0
65986
65987
65988         )
65989
65990 11186 
65991 __IO_EXTERN
65992  
65993 IPCP1STR
65994  
65995         g\9dý1
65996 ;
65997
65998 11187 \ 1
65999         #IPCP1
66000  
66001 \9dý1
66002 .
66003 wÜd
66004
66005
66006         )
66007
66008 11188 \ 1
66009         #IPCP1_CP15
66010  
66011 \9dý1
66012 .
66013 b\99
66014 .
66015 _CP15
66016
66017
66018         )
66019
66020 11189 \ 1
66021         #IPCP1_CP14
66022  
66023 \9dý1
66024 .
66025 b\99
66026 .
66027 _CP14
66028
66029
66030         )
66031
66032 11190 \ 1
66033         #IPCP1_CP13
66034  
66035 \9dý1
66036 .
66037 b\99
66038 .
66039 _CP13
66040
66041
66042         )
66043
66044 11191 \ 1
66045         #IPCP1_CP12
66046  
66047 \9dý1
66048 .
66049 b\99
66050 .
66051 _CP12
66052
66053
66054         )
66055
66056 11192 \ 1
66057         #IPCP1_CP11
66058  
66059 \9dý1
66060 .
66061 b\99
66062 .
66063 _CP11
66064
66065
66066         )
66067
66068 11193 \ 1
66069         #IPCP1_CP10
66070  
66071 \9dý1
66072 .
66073 b\99
66074 .
66075 _CP10
66076
66077
66078         )
66079
66080 11194 \ 1
66081         #IPCP1_CP9
66082  
66083 \9dý1
66084 .
66085 b\99
66086 .
66087 _CP9
66088
66089
66090         )
66091
66092 11195 \ 1
66093         #IPCP1_CP8
66094  
66095 \9dý1
66096 .
66097 b\99
66098 .
66099 _CP8
66100
66101
66102         )
66103
66104 11196 \ 1
66105         #IPCP1_CP7
66106  
66107 \9dý1
66108 .
66109 b\99
66110 .
66111 _CP7
66112
66113
66114         )
66115
66116 11197 \ 1
66117         #IPCP1_CP6
66118  
66119 \9dý1
66120 .
66121 b\99
66122 .
66123 _CP6
66124
66125
66126         )
66127
66128 11198 \ 1
66129         #IPCP1_CP5
66130  
66131 \9dý1
66132 .
66133 b\99
66134 .
66135 _CP5
66136
66137
66138         )
66139
66140 11199 \ 1
66141         #IPCP1_CP4
66142  
66143 \9dý1
66144 .
66145 b\99
66146 .
66147 _CP4
66148
66149
66150         )
66151
66152 11200 \ 1
66153         #IPCP1_CP3
66154  
66155 \9dý1
66156 .
66157 b\99
66158 .
66159 _CP3
66160
66161
66162         )
66163
66164 11201 \ 1
66165         #IPCP1_CP2
66166  
66167 \9dý1
66168 .
66169 b\99
66170 .
66171 _CP2
66172
66173
66174         )
66175
66176 11202 \ 1
66177         #IPCP1_CP1
66178  
66179 \9dý1
66180 .
66181 b\99
66182 .
66183 _CP1
66184
66185
66186         )
66187
66188 11203 \ 1
66189         #IPCP1_CP0
66190  
66191 \9dý1
66192 .
66193 b\99
66194 .
66195 _CP0
66196
66197
66198         )
66199
66200 11204 
66201 __IO_EXTERN
66202  
66203 IPCP2STR
66204  
66205         g\9dý2
66206 ;
66207
66208 11205 \ 1
66209         #IPCP2
66210  
66211 \9dý2
66212 .
66213 wÜd
66214
66215
66216         )
66217
66218 11206 \ 1
66219         #IPCP2_CP15
66220  
66221 \9dý2
66222 .
66223 b\99
66224 .
66225 _CP15
66226
66227
66228         )
66229
66230 11207 \ 1
66231         #IPCP2_CP14
66232  
66233 \9dý2
66234 .
66235 b\99
66236 .
66237 _CP14
66238
66239
66240         )
66241
66242 11208 \ 1
66243         #IPCP2_CP13
66244  
66245 \9dý2
66246 .
66247 b\99
66248 .
66249 _CP13
66250
66251
66252         )
66253
66254 11209 \ 1
66255         #IPCP2_CP12
66256  
66257 \9dý2
66258 .
66259 b\99
66260 .
66261 _CP12
66262
66263
66264         )
66265
66266 11210 \ 1
66267         #IPCP2_CP11
66268  
66269 \9dý2
66270 .
66271 b\99
66272 .
66273 _CP11
66274
66275
66276         )
66277
66278 11211 \ 1
66279         #IPCP2_CP10
66280  
66281 \9dý2
66282 .
66283 b\99
66284 .
66285 _CP10
66286
66287
66288         )
66289
66290 11212 \ 1
66291         #IPCP2_CP9
66292  
66293 \9dý2
66294 .
66295 b\99
66296 .
66297 _CP9
66298
66299
66300         )
66301
66302 11213 \ 1
66303         #IPCP2_CP8
66304  
66305 \9dý2
66306 .
66307 b\99
66308 .
66309 _CP8
66310
66311
66312         )
66313
66314 11214 \ 1
66315         #IPCP2_CP7
66316  
66317 \9dý2
66318 .
66319 b\99
66320 .
66321 _CP7
66322
66323
66324         )
66325
66326 11215 \ 1
66327         #IPCP2_CP6
66328  
66329 \9dý2
66330 .
66331 b\99
66332 .
66333 _CP6
66334
66335
66336         )
66337
66338 11216 \ 1
66339         #IPCP2_CP5
66340  
66341 \9dý2
66342 .
66343 b\99
66344 .
66345 _CP5
66346
66347
66348         )
66349
66350 11217 \ 1
66351         #IPCP2_CP4
66352  
66353 \9dý2
66354 .
66355 b\99
66356 .
66357 _CP4
66358
66359
66360         )
66361
66362 11218 \ 1
66363         #IPCP2_CP3
66364  
66365 \9dý2
66366 .
66367 b\99
66368 .
66369 _CP3
66370
66371
66372         )
66373
66374 11219 \ 1
66375         #IPCP2_CP2
66376  
66377 \9dý2
66378 .
66379 b\99
66380 .
66381 _CP2
66382
66383
66384         )
66385
66386 11220 \ 1
66387         #IPCP2_CP1
66388  
66389 \9dý2
66390 .
66391 b\99
66392 .
66393 _CP1
66394
66395
66396         )
66397
66398 11221 \ 1
66399         #IPCP2_CP0
66400  
66401 \9dý2
66402 .
66403 b\99
66404 .
66405 _CP0
66406
66407
66408         )
66409
66410 11222 
66411 __IO_EXTERN
66412  
66413 IPCP3STR
66414  
66415         g\9dý3
66416 ;
66417
66418 11223 \ 1
66419         #IPCP3
66420  
66421 \9dý3
66422 .
66423 wÜd
66424
66425
66426         )
66427
66428 11224 \ 1
66429         #IPCP3_CP15
66430  
66431 \9dý3
66432 .
66433 b\99
66434 .
66435 _CP15
66436
66437
66438         )
66439
66440 11225 \ 1
66441         #IPCP3_CP14
66442  
66443 \9dý3
66444 .
66445 b\99
66446 .
66447 _CP14
66448
66449
66450         )
66451
66452 11226 \ 1
66453         #IPCP3_CP13
66454  
66455 \9dý3
66456 .
66457 b\99
66458 .
66459 _CP13
66460
66461
66462         )
66463
66464 11227 \ 1
66465         #IPCP3_CP12
66466  
66467 \9dý3
66468 .
66469 b\99
66470 .
66471 _CP12
66472
66473
66474         )
66475
66476 11228 \ 1
66477         #IPCP3_CP11
66478  
66479 \9dý3
66480 .
66481 b\99
66482 .
66483 _CP11
66484
66485
66486         )
66487
66488 11229 \ 1
66489         #IPCP3_CP10
66490  
66491 \9dý3
66492 .
66493 b\99
66494 .
66495 _CP10
66496
66497
66498         )
66499
66500 11230 \ 1
66501         #IPCP3_CP9
66502  
66503 \9dý3
66504 .
66505 b\99
66506 .
66507 _CP9
66508
66509
66510         )
66511
66512 11231 \ 1
66513         #IPCP3_CP8
66514  
66515 \9dý3
66516 .
66517 b\99
66518 .
66519 _CP8
66520
66521
66522         )
66523
66524 11232 \ 1
66525         #IPCP3_CP7
66526  
66527 \9dý3
66528 .
66529 b\99
66530 .
66531 _CP7
66532
66533
66534         )
66535
66536 11233 \ 1
66537         #IPCP3_CP6
66538  
66539 \9dý3
66540 .
66541 b\99
66542 .
66543 _CP6
66544
66545
66546         )
66547
66548 11234 \ 1
66549         #IPCP3_CP5
66550  
66551 \9dý3
66552 .
66553 b\99
66554 .
66555 _CP5
66556
66557
66558         )
66559
66560 11235 \ 1
66561         #IPCP3_CP4
66562  
66563 \9dý3
66564 .
66565 b\99
66566 .
66567 _CP4
66568
66569
66570         )
66571
66572 11236 \ 1
66573         #IPCP3_CP3
66574  
66575 \9dý3
66576 .
66577 b\99
66578 .
66579 _CP3
66580
66581
66582         )
66583
66584 11237 \ 1
66585         #IPCP3_CP2
66586  
66587 \9dý3
66588 .
66589 b\99
66590 .
66591 _CP2
66592
66593
66594         )
66595
66596 11238 \ 1
66597         #IPCP3_CP1
66598  
66599 \9dý3
66600 .
66601 b\99
66602 .
66603 _CP1
66604
66605
66606         )
66607
66608 11239 \ 1
66609         #IPCP3_CP0
66610  
66611 \9dý3
66612 .
66613 b\99
66614 .
66615 _CP0
66616
66617
66618         )
66619
66620 11240 
66621 __IO_EXTERN
66622  
66623 OCS01STR
66624  
66625         gocs01
66626 ;
66627
66628 11241 \ 1
66629         #OCS01
66630  
66631 ocs01
66632 .
66633 wÜd
66634
66635
66636         )
66637
66638 11242 \ 1
66639         #OCS01_CMOD
66640  
66641 ocs01
66642 .
66643 b\99
66644 .
66645 _CMOD
66646
66647
66648         )
66649
66650 11243 \ 1
66651         #OCS01_OTD1
66652  
66653 ocs01
66654 .
66655 b\99
66656 .
66657 _OTD1
66658
66659
66660         )
66661
66662 11244 \ 1
66663         #OCS01_OTD0
66664  
66665 ocs01
66666 .
66667 b\99
66668 .
66669 _OTD0
66670
66671
66672         )
66673
66674 11245 \ 1
66675         #OCS01_ICP1
66676  
66677 ocs01
66678 .
66679 b\99
66680 .
66681 _ICP1
66682
66683
66684         )
66685
66686 11246 \ 1
66687         #OCS01_ICP0
66688  
66689 ocs01
66690 .
66691 b\99
66692 .
66693 _ICP0
66694
66695
66696         )
66697
66698 11247 \ 1
66699         #OCS01_ICE1
66700  
66701 ocs01
66702 .
66703 b\99
66704 .
66705 _ICE1
66706
66707
66708         )
66709
66710 11248 \ 1
66711         #OCS01_ICE0
66712  
66713 ocs01
66714 .
66715 b\99
66716 .
66717 _ICE0
66718
66719
66720         )
66721
66722 11249 \ 1
66723         #OCS01_CST1
66724  
66725 ocs01
66726 .
66727 b\99
66728 .
66729 _CST1
66730
66731
66732         )
66733
66734 11250 \ 1
66735         #OCS01_CST0
66736  
66737 ocs01
66738 .
66739 b\99
66740 .
66741 _CST0
66742
66743
66744         )
66745
66746 11251 
66747 __IO_EXTERN
66748  
66749 OCS23STR
66750  
66751         gocs23
66752 ;
66753
66754 11252 \ 1
66755         #OCS23
66756  
66757 ocs23
66758 .
66759 wÜd
66760
66761
66762         )
66763
66764 11253 \ 1
66765         #OCS23_CMOD
66766  
66767 ocs23
66768 .
66769 b\99
66770 .
66771 _CMOD
66772
66773
66774         )
66775
66776 11254 \ 1
66777         #OCS23_OTD3
66778  
66779 ocs23
66780 .
66781 b\99
66782 .
66783 _OTD3
66784
66785
66786         )
66787
66788 11255 \ 1
66789         #OCS23_OTD2
66790  
66791 ocs23
66792 .
66793 b\99
66794 .
66795 _OTD2
66796
66797
66798         )
66799
66800 11256 \ 1
66801         #OCS23_ICP3
66802  
66803 ocs23
66804 .
66805 b\99
66806 .
66807 _ICP3
66808
66809
66810         )
66811
66812 11257 \ 1
66813         #OCS23_ICP2
66814  
66815 ocs23
66816 .
66817 b\99
66818 .
66819 _ICP2
66820
66821
66822         )
66823
66824 11258 \ 1
66825         #OCS23_ICE3
66826  
66827 ocs23
66828 .
66829 b\99
66830 .
66831 _ICE3
66832
66833
66834         )
66835
66836 11259 \ 1
66837         #OCS23_ICE2
66838  
66839 ocs23
66840 .
66841 b\99
66842 .
66843 _ICE2
66844
66845
66846         )
66847
66848 11260 \ 1
66849         #OCS23_CST3
66850  
66851 ocs23
66852 .
66853 b\99
66854 .
66855 _CST3
66856
66857
66858         )
66859
66860 11261 \ 1
66861         #OCS23_CST2
66862  
66863 ocs23
66864 .
66865 b\99
66866 .
66867 _CST2
66868
66869
66870         )
66871
66872 11262 
66873 __IO_EXTERN
66874  
66875 OCCP0STR
66876  
66877         gocý0
66878 ;
66879
66880 11263 \ 1
66881         #OCCP0
66882  
66883 ocý0
66884 .
66885 wÜd
66886
66887
66888         )
66889
66890 11264 \ 1
66891         #OCCP0_C15
66892  
66893 ocý0
66894 .
66895 b\99
66896 .
66897 _C15
66898
66899
66900         )
66901
66902 11265 \ 1
66903         #OCCP0_C14
66904  
66905 ocý0
66906 .
66907 b\99
66908 .
66909 _C14
66910
66911
66912         )
66913
66914 11266 \ 1
66915         #OCCP0_C13
66916  
66917 ocý0
66918 .
66919 b\99
66920 .
66921 _C13
66922
66923
66924         )
66925
66926 11267 \ 1
66927         #OCCP0_C12
66928  
66929 ocý0
66930 .
66931 b\99
66932 .
66933 _C12
66934
66935
66936         )
66937
66938 11268 \ 1
66939         #OCCP0_C11
66940  
66941 ocý0
66942 .
66943 b\99
66944 .
66945 _C11
66946
66947
66948         )
66949
66950 11269 \ 1
66951         #OCCP0_C10
66952  
66953 ocý0
66954 .
66955 b\99
66956 .
66957 _C10
66958
66959
66960         )
66961
66962 11270 \ 1
66963         #OCCP0_C9
66964  
66965 ocý0
66966 .
66967 b\99
66968 .
66969 _C9
66970
66971
66972         )
66973
66974 11271 \ 1
66975         #OCCP0_C8
66976  
66977 ocý0
66978 .
66979 b\99
66980 .
66981 _C8
66982
66983
66984         )
66985
66986 11272 \ 1
66987         #OCCP0_C7
66988  
66989 ocý0
66990 .
66991 b\99
66992 .
66993 _C7
66994
66995
66996         )
66997
66998 11273 \ 1
66999         #OCCP0_C6
67000  
67001 ocý0
67002 .
67003 b\99
67004 .
67005 _C6
67006
67007
67008         )
67009
67010 11274 \ 1
67011         #OCCP0_C5
67012  
67013 ocý0
67014 .
67015 b\99
67016 .
67017 _C5
67018
67019
67020         )
67021
67022 11275 \ 1
67023         #OCCP0_C4
67024  
67025 ocý0
67026 .
67027 b\99
67028 .
67029 _C4
67030
67031
67032         )
67033
67034 11276 \ 1
67035         #OCCP0_C3
67036  
67037 ocý0
67038 .
67039 b\99
67040 .
67041 _C3
67042
67043
67044         )
67045
67046 11277 \ 1
67047         #OCCP0_C2
67048  
67049 ocý0
67050 .
67051 b\99
67052 .
67053 _C2
67054
67055
67056         )
67057
67058 11278 \ 1
67059         #OCCP0_C1
67060  
67061 ocý0
67062 .
67063 b\99
67064 .
67065 _C1
67066
67067
67068         )
67069
67070 11279 \ 1
67071         #OCCP0_C0
67072  
67073 ocý0
67074 .
67075 b\99
67076 .
67077 _C0
67078
67079
67080         )
67081
67082 11280 
67083 __IO_EXTERN
67084  
67085 OCCP1STR
67086  
67087         gocý1
67088 ;
67089
67090 11281 \ 1
67091         #OCCP1
67092  
67093 ocý1
67094 .
67095 wÜd
67096
67097
67098         )
67099
67100 11282 \ 1
67101         #OCCP1_C15
67102  
67103 ocý1
67104 .
67105 b\99
67106 .
67107 _C15
67108
67109
67110         )
67111
67112 11283 \ 1
67113         #OCCP1_C14
67114  
67115 ocý1
67116 .
67117 b\99
67118 .
67119 _C14
67120
67121
67122         )
67123
67124 11284 \ 1
67125         #OCCP1_C13
67126  
67127 ocý1
67128 .
67129 b\99
67130 .
67131 _C13
67132
67133
67134         )
67135
67136 11285 \ 1
67137         #OCCP1_C12
67138  
67139 ocý1
67140 .
67141 b\99
67142 .
67143 _C12
67144
67145
67146         )
67147
67148 11286 \ 1
67149         #OCCP1_C11
67150  
67151 ocý1
67152 .
67153 b\99
67154 .
67155 _C11
67156
67157
67158         )
67159
67160 11287 \ 1
67161         #OCCP1_C10
67162  
67163 ocý1
67164 .
67165 b\99
67166 .
67167 _C10
67168
67169
67170         )
67171
67172 11288 \ 1
67173         #OCCP1_C9
67174  
67175 ocý1
67176 .
67177 b\99
67178 .
67179 _C9
67180
67181
67182         )
67183
67184 11289 \ 1
67185         #OCCP1_C8
67186  
67187 ocý1
67188 .
67189 b\99
67190 .
67191 _C8
67192
67193
67194         )
67195
67196 11290 \ 1
67197         #OCCP1_C7
67198  
67199 ocý1
67200 .
67201 b\99
67202 .
67203 _C7
67204
67205
67206         )
67207
67208 11291 \ 1
67209         #OCCP1_C6
67210  
67211 ocý1
67212 .
67213 b\99
67214 .
67215 _C6
67216
67217
67218         )
67219
67220 11292 \ 1
67221         #OCCP1_C5
67222  
67223 ocý1
67224 .
67225 b\99
67226 .
67227 _C5
67228
67229
67230         )
67231
67232 11293 \ 1
67233         #OCCP1_C4
67234  
67235 ocý1
67236 .
67237 b\99
67238 .
67239 _C4
67240
67241
67242         )
67243
67244 11294 \ 1
67245         #OCCP1_C3
67246  
67247 ocý1
67248 .
67249 b\99
67250 .
67251 _C3
67252
67253
67254         )
67255
67256 11295 \ 1
67257         #OCCP1_C2
67258  
67259 ocý1
67260 .
67261 b\99
67262 .
67263 _C2
67264
67265
67266         )
67267
67268 11296 \ 1
67269         #OCCP1_C1
67270  
67271 ocý1
67272 .
67273 b\99
67274 .
67275 _C1
67276
67277
67278         )
67279
67280 11297 \ 1
67281         #OCCP1_C0
67282  
67283 ocý1
67284 .
67285 b\99
67286 .
67287 _C0
67288
67289
67290         )
67291
67292 11298 
67293 __IO_EXTERN
67294  
67295 OCCP2STR
67296  
67297         gocý2
67298 ;
67299
67300 11299 \ 1
67301         #OCCP2
67302  
67303 ocý2
67304 .
67305 wÜd
67306
67307
67308         )
67309
67310 11300 \ 1
67311         #OCCP2_C15
67312  
67313 ocý2
67314 .
67315 b\99
67316 .
67317 _C15
67318
67319
67320         )
67321
67322 11301 \ 1
67323         #OCCP2_C14
67324  
67325 ocý2
67326 .
67327 b\99
67328 .
67329 _C14
67330
67331
67332         )
67333
67334 11302 \ 1
67335         #OCCP2_C13
67336  
67337 ocý2
67338 .
67339 b\99
67340 .
67341 _C13
67342
67343
67344         )
67345
67346 11303 \ 1
67347         #OCCP2_C12
67348  
67349 ocý2
67350 .
67351 b\99
67352 .
67353 _C12
67354
67355
67356         )
67357
67358 11304 \ 1
67359         #OCCP2_C11
67360  
67361 ocý2
67362 .
67363 b\99
67364 .
67365 _C11
67366
67367
67368         )
67369
67370 11305 \ 1
67371         #OCCP2_C10
67372  
67373 ocý2
67374 .
67375 b\99
67376 .
67377 _C10
67378
67379
67380         )
67381
67382 11306 \ 1
67383         #OCCP2_C9
67384  
67385 ocý2
67386 .
67387 b\99
67388 .
67389 _C9
67390
67391
67392         )
67393
67394 11307 \ 1
67395         #OCCP2_C8
67396  
67397 ocý2
67398 .
67399 b\99
67400 .
67401 _C8
67402
67403
67404         )
67405
67406 11308 \ 1
67407         #OCCP2_C7
67408  
67409 ocý2
67410 .
67411 b\99
67412 .
67413 _C7
67414
67415
67416         )
67417
67418 11309 \ 1
67419         #OCCP2_C6
67420  
67421 ocý2
67422 .
67423 b\99
67424 .
67425 _C6
67426
67427
67428         )
67429
67430 11310 \ 1
67431         #OCCP2_C5
67432  
67433 ocý2
67434 .
67435 b\99
67436 .
67437 _C5
67438
67439
67440         )
67441
67442 11311 \ 1
67443         #OCCP2_C4
67444  
67445 ocý2
67446 .
67447 b\99
67448 .
67449 _C4
67450
67451
67452         )
67453
67454 11312 \ 1
67455         #OCCP2_C3
67456  
67457 ocý2
67458 .
67459 b\99
67460 .
67461 _C3
67462
67463
67464         )
67465
67466 11313 \ 1
67467         #OCCP2_C2
67468  
67469 ocý2
67470 .
67471 b\99
67472 .
67473 _C2
67474
67475
67476         )
67477
67478 11314 \ 1
67479         #OCCP2_C1
67480  
67481 ocý2
67482 .
67483 b\99
67484 .
67485 _C1
67486
67487
67488         )
67489
67490 11315 \ 1
67491         #OCCP2_C0
67492  
67493 ocý2
67494 .
67495 b\99
67496 .
67497 _C0
67498
67499
67500         )
67501
67502 11316 
67503 __IO_EXTERN
67504  
67505 OCCP3STR
67506  
67507         gocý3
67508 ;
67509
67510 11317 \ 1
67511         #OCCP3
67512  
67513 ocý3
67514 .
67515 wÜd
67516
67517
67518         )
67519
67520 11318 \ 1
67521         #OCCP3_C15
67522  
67523 ocý3
67524 .
67525 b\99
67526 .
67527 _C15
67528
67529
67530         )
67531
67532 11319 \ 1
67533         #OCCP3_C14
67534  
67535 ocý3
67536 .
67537 b\99
67538 .
67539 _C14
67540
67541
67542         )
67543
67544 11320 \ 1
67545         #OCCP3_C13
67546  
67547 ocý3
67548 .
67549 b\99
67550 .
67551 _C13
67552
67553
67554         )
67555
67556 11321 \ 1
67557         #OCCP3_C12
67558  
67559 ocý3
67560 .
67561 b\99
67562 .
67563 _C12
67564
67565
67566         )
67567
67568 11322 \ 1
67569         #OCCP3_C11
67570  
67571 ocý3
67572 .
67573 b\99
67574 .
67575 _C11
67576
67577
67578         )
67579
67580 11323 \ 1
67581         #OCCP3_C10
67582  
67583 ocý3
67584 .
67585 b\99
67586 .
67587 _C10
67588
67589
67590         )
67591
67592 11324 \ 1
67593         #OCCP3_C9
67594  
67595 ocý3
67596 .
67597 b\99
67598 .
67599 _C9
67600
67601
67602         )
67603
67604 11325 \ 1
67605         #OCCP3_C8
67606  
67607 ocý3
67608 .
67609 b\99
67610 .
67611 _C8
67612
67613
67614         )
67615
67616 11326 \ 1
67617         #OCCP3_C7
67618  
67619 ocý3
67620 .
67621 b\99
67622 .
67623 _C7
67624
67625
67626         )
67627
67628 11327 \ 1
67629         #OCCP3_C6
67630  
67631 ocý3
67632 .
67633 b\99
67634 .
67635 _C6
67636
67637
67638         )
67639
67640 11328 \ 1
67641         #OCCP3_C5
67642  
67643 ocý3
67644 .
67645 b\99
67646 .
67647 _C5
67648
67649
67650         )
67651
67652 11329 \ 1
67653         #OCCP3_C4
67654  
67655 ocý3
67656 .
67657 b\99
67658 .
67659 _C4
67660
67661
67662         )
67663
67664 11330 \ 1
67665         #OCCP3_C3
67666  
67667 ocý3
67668 .
67669 b\99
67670 .
67671 _C3
67672
67673
67674         )
67675
67676 11331 \ 1
67677         #OCCP3_C2
67678  
67679 ocý3
67680 .
67681 b\99
67682 .
67683 _C2
67684
67685
67686         )
67687
67688 11332 \ 1
67689         #OCCP3_C1
67690  
67691 ocý3
67692 .
67693 b\99
67694 .
67695 _C1
67696
67697
67698         )
67699
67700 11333 \ 1
67701         #OCCP3_C0
67702  
67703 ocý3
67704 .
67705 b\99
67706 .
67707 _C0
67708
67709
67710         )
67711
67712 11334 
67713 __IO_EXTERN
67714  
67715 ADERHSTR
67716  
67717         gad\94h
67718 ;
67719
67720 11335 \ 1
67721         #ADERH
67722  
67723 ad\94h
67724 .
67725 wÜd
67726
67727
67728         )
67729
67730 11336 \ 1
67731         #ADERH_ADE31
67732  
67733 ad\94h
67734 .
67735 b\99
67736 .
67737 _ADE31
67738
67739
67740         )
67741
67742 11337 \ 1
67743         #ADERH_ADE30
67744  
67745 ad\94h
67746 .
67747 b\99
67748 .
67749 _ADE30
67750
67751
67752         )
67753
67754 11338 \ 1
67755         #ADERH_ADE29
67756  
67757 ad\94h
67758 .
67759 b\99
67760 .
67761 _ADE29
67762
67763
67764         )
67765
67766 11339 \ 1
67767         #ADERH_ADE28
67768  
67769 ad\94h
67770 .
67771 b\99
67772 .
67773 _ADE28
67774
67775
67776         )
67777
67778 11340 \ 1
67779         #ADERH_ADE27
67780  
67781 ad\94h
67782 .
67783 b\99
67784 .
67785 _ADE27
67786
67787
67788         )
67789
67790 11341 \ 1
67791         #ADERH_ADE26
67792  
67793 ad\94h
67794 .
67795 b\99
67796 .
67797 _ADE26
67798
67799
67800         )
67801
67802 11342 \ 1
67803         #ADERH_ADE25
67804  
67805 ad\94h
67806 .
67807 b\99
67808 .
67809 _ADE25
67810
67811
67812         )
67813
67814 11343 \ 1
67815         #ADERH_ADE24
67816  
67817 ad\94h
67818 .
67819 b\99
67820 .
67821 _ADE24
67822
67823
67824         )
67825
67826 11344 \ 1
67827         #ADERH_ADE23
67828  
67829 ad\94h
67830 .
67831 b\99
67832 .
67833 _ADE23
67834
67835
67836         )
67837
67838 11345 \ 1
67839         #ADERH_ADE22
67840  
67841 ad\94h
67842 .
67843 b\99
67844 .
67845 _ADE22
67846
67847
67848         )
67849
67850 11346 \ 1
67851         #ADERH_ADE21
67852  
67853 ad\94h
67854 .
67855 b\99
67856 .
67857 _ADE21
67858
67859
67860         )
67861
67862 11347 \ 1
67863         #ADERH_ADE20
67864  
67865 ad\94h
67866 .
67867 b\99
67868 .
67869 _ADE20
67870
67871
67872         )
67873
67874 11348 \ 1
67875         #ADERH_ADE19
67876  
67877 ad\94h
67878 .
67879 b\99
67880 .
67881 _ADE19
67882
67883
67884         )
67885
67886 11349 \ 1
67887         #ADERH_ADE18
67888  
67889 ad\94h
67890 .
67891 b\99
67892 .
67893 _ADE18
67894
67895
67896         )
67897
67898 11350 \ 1
67899         #ADERH_ADE17
67900  
67901 ad\94h
67902 .
67903 b\99
67904 .
67905 _ADE17
67906
67907
67908         )
67909
67910 11351 \ 1
67911         #ADERH_ADE16
67912  
67913 ad\94h
67914 .
67915 b\99
67916 .
67917 _ADE16
67918
67919
67920         )
67921
67922 11352 
67923 __IO_EXTERN
67924  
67925 ADERLSTR
67926  
67927         gad\94l
67928 ;
67929
67930 11353 \ 1
67931         #ADERL
67932  
67933 ad\94l
67934 .
67935 wÜd
67936
67937
67938         )
67939
67940 11354 \ 1
67941         #ADERL_ADE15
67942  
67943 ad\94l
67944 .
67945 b\99
67946 .
67947 _ADE15
67948
67949
67950         )
67951
67952 11355 \ 1
67953         #ADERL_ADE14
67954  
67955 ad\94l
67956 .
67957 b\99
67958 .
67959 _ADE14
67960
67961
67962         )
67963
67964 11356 \ 1
67965         #ADERL_ADE13
67966  
67967 ad\94l
67968 .
67969 b\99
67970 .
67971 _ADE13
67972
67973
67974         )
67975
67976 11357 \ 1
67977         #ADERL_ADE12
67978  
67979 ad\94l
67980 .
67981 b\99
67982 .
67983 _ADE12
67984
67985
67986         )
67987
67988 11358 \ 1
67989         #ADERL_ADE11
67990  
67991 ad\94l
67992 .
67993 b\99
67994 .
67995 _ADE11
67996
67997
67998         )
67999
68000 11359 \ 1
68001         #ADERL_ADE10
68002  
68003 ad\94l
68004 .
68005 b\99
68006 .
68007 _ADE10
68008
68009
68010         )
68011
68012 11360 \ 1
68013         #ADERL_ADE9
68014  
68015 ad\94l
68016 .
68017 b\99
68018 .
68019 _ADE9
68020
68021
68022         )
68023
68024 11361 \ 1
68025         #ADERL_ADE8
68026  
68027 ad\94l
68028 .
68029 b\99
68030 .
68031 _ADE8
68032
68033
68034         )
68035
68036 11362 \ 1
68037         #ADERL_ADE7
68038  
68039 ad\94l
68040 .
68041 b\99
68042 .
68043 _ADE7
68044
68045
68046         )
68047
68048 11363 \ 1
68049         #ADERL_ADE6
68050  
68051 ad\94l
68052 .
68053 b\99
68054 .
68055 _ADE6
68056
68057
68058         )
68059
68060 11364 \ 1
68061         #ADERL_ADE5
68062  
68063 ad\94l
68064 .
68065 b\99
68066 .
68067 _ADE5
68068
68069
68070         )
68071
68072 11365 \ 1
68073         #ADERL_ADE4
68074  
68075 ad\94l
68076 .
68077 b\99
68078 .
68079 _ADE4
68080
68081
68082         )
68083
68084 11366 \ 1
68085         #ADERL_ADE3
68086  
68087 ad\94l
68088 .
68089 b\99
68090 .
68091 _ADE3
68092
68093
68094         )
68095
68096 11367 \ 1
68097         #ADERL_ADE2
68098  
68099 ad\94l
68100 .
68101 b\99
68102 .
68103 _ADE2
68104
68105
68106         )
68107
68108 11368 \ 1
68109         #ADERL_ADE1
68110  
68111 ad\94l
68112 .
68113 b\99
68114 .
68115 _ADE1
68116
68117
68118         )
68119
68120 11369 \ 1
68121         #ADERL_ADE0
68122  
68123 ad\94l
68124 .
68125 b\99
68126 .
68127 _ADE0
68128
68129
68130         )
68131
68132 11370 
68133 __IO_EXTERN
68134  
68135 IO_LWORD
68136  
68137         gad\94
68138 ;
68139
68140 11371 \ 1
68141         #ADER
68142  
68143 ad\94
68144
68145
68146         )
68147
68148 11372 
68149 __IO_EXTERN
68150  
68151 ADCS1STR
68152  
68153         gadcs1
68154 ;
68155
68156 11373 \ 1
68157         #ADCS1
68158  
68159 adcs1
68160 .
68161 by\8b
68162
68163
68164         )
68165
68166 11374 \ 1
68167         #ADCS1_BUSY
68168  
68169 adcs1
68170 .
68171 b\99
68172 .
68173 _BUSY
68174
68175
68176         )
68177
68178 11375 \ 1
68179         #ADCS1_INT
68180  
68181 adcs1
68182 .
68183 b\99
68184 .
68185 _INT
68186
68187
68188         )
68189
68190 11376 \ 1
68191         #ADCS1_INTE
68192  
68193 adcs1
68194 .
68195 b\99
68196 .
68197 _INTE
68198
68199
68200         )
68201
68202 11377 \ 1
68203         #ADCS1_PAUS
68204  
68205 adcs1
68206 .
68207 b\99
68208 .
68209 _PAUS
68210
68211
68212         )
68213
68214 11378 \ 1
68215         #ADCS1_STS1
68216  
68217 adcs1
68218 .
68219 b\99
68220 .
68221 _STS1
68222
68223
68224         )
68225
68226 11379 \ 1
68227         #ADCS1_STS0
68228  
68229 adcs1
68230 .
68231 b\99
68232 .
68233 _STS0
68234
68235
68236         )
68237
68238 11380 \ 1
68239         #ADCS1_STRT
68240  
68241 adcs1
68242 .
68243 b\99
68244 .
68245 _STRT
68246
68247
68248         )
68249
68250 11381 \ 1
68251         #ADCS1_STS
68252  
68253 adcs1
68254 .
68255 b\99c
68256 .
68257 _STS
68258
68259
68260         )
68261
68262 11382 
68263 __IO_EXTERN
68264  
68265 ADCS0STR
68266  
68267         gadcs0
68268 ;
68269
68270 11383 \ 1
68271         #ADCS0
68272  
68273 adcs0
68274 .
68275 by\8b
68276
68277
68278         )
68279
68280 11384 \ 1
68281         #ADCS0_MD1
68282  
68283 adcs0
68284 .
68285 b\99
68286 .
68287 _MD1
68288
68289
68290         )
68291
68292 11385 \ 1
68293         #ADCS0_MD0
68294  
68295 adcs0
68296 .
68297 b\99
68298 .
68299 _MD0
68300
68301
68302         )
68303
68304 11386 \ 1
68305         #ADCS0_S10
68306  
68307 adcs0
68308 .
68309 b\99
68310 .
68311 _S10
68312
68313
68314         )
68315
68316 11387 \ 1
68317         #ADCS0_ACH4
68318  
68319 adcs0
68320 .
68321 b\99
68322 .
68323 _ACH4
68324
68325
68326         )
68327
68328 11388 \ 1
68329         #ADCS0_ACH3
68330  
68331 adcs0
68332 .
68333 b\99
68334 .
68335 _ACH3
68336
68337
68338         )
68339
68340 11389 \ 1
68341         #ADCS0_ACH2
68342  
68343 adcs0
68344 .
68345 b\99
68346 .
68347 _ACH2
68348
68349
68350         )
68351
68352 11390 \ 1
68353         #ADCS0_ACH1
68354  
68355 adcs0
68356 .
68357 b\99
68358 .
68359 _ACH1
68360
68361
68362         )
68363
68364 11391 \ 1
68365         #ADCS0_ACH0
68366  
68367 adcs0
68368 .
68369 b\99
68370 .
68371 _ACH0
68372
68373
68374         )
68375
68376 11392 \ 1
68377         #ADCS0_MD
68378  
68379 adcs0
68380 .
68381 b\99c
68382 .
68383 _MD
68384
68385
68386         )
68387
68388 11393 \ 1
68389         #ADCS0_ACH
68390  
68391 adcs0
68392 .
68393 b\99c
68394 .
68395 _ACH
68396
68397
68398         )
68399
68400 11394 
68401 __IO_EXTERN
68402  
68403 IO_WORD
68404  
68405         gadcs
68406 ;
68407
68408 11395 \ 1
68409         #ADCS
68410  
68411 adcs
68412
68413
68414         )
68415
68416 11396 
68417 __IO_EXTERN
68418  
68419 ADCR1STR
68420  
68421         gadü1
68422 ;
68423
68424 11397 \ 1
68425         #ADCR1
68426  
68427 adü1
68428 .
68429 by\8b
68430
68431
68432         )
68433
68434 11398 \ 1
68435         #ADCR1_D9
68436  
68437 adü1
68438 .
68439 b\99
68440 .
68441 _D9
68442
68443
68444         )
68445
68446 11399 \ 1
68447         #ADCR1_D8
68448  
68449 adü1
68450 .
68451 b\99
68452 .
68453 _D8
68454
68455
68456         )
68457
68458 11400 
68459 __IO_EXTERN
68460  
68461 ADCR0STR
68462  
68463         gadü0
68464 ;
68465
68466 11401 \ 1
68467         #ADCR0
68468  
68469 adü0
68470 .
68471 by\8b
68472
68473
68474         )
68475
68476 11402 \ 1
68477         #ADCR0_D7
68478  
68479 adü0
68480 .
68481 b\99
68482 .
68483 _D7
68484
68485
68486         )
68487
68488 11403 \ 1
68489         #ADCR0_D6
68490  
68491 adü0
68492 .
68493 b\99
68494 .
68495 _D6
68496
68497
68498         )
68499
68500 11404 \ 1
68501         #ADCR0_D5
68502  
68503 adü0
68504 .
68505 b\99
68506 .
68507 _D5
68508
68509
68510         )
68511
68512 11405 \ 1
68513         #ADCR0_D4
68514  
68515 adü0
68516 .
68517 b\99
68518 .
68519 _D4
68520
68521
68522         )
68523
68524 11406 \ 1
68525         #ADCR0_D3
68526  
68527 adü0
68528 .
68529 b\99
68530 .
68531 _D3
68532
68533
68534         )
68535
68536 11407 \ 1
68537         #ADCR0_D2
68538  
68539 adü0
68540 .
68541 b\99
68542 .
68543 _D2
68544
68545
68546         )
68547
68548 11408 \ 1
68549         #ADCR0_D1
68550  
68551 adü0
68552 .
68553 b\99
68554 .
68555 _D1
68556
68557
68558         )
68559
68560 11409 \ 1
68561         #ADCR0_D0
68562  
68563 adü0
68564 .
68565 b\99
68566 .
68567 _D0
68568
68569
68570         )
68571
68572 11410 
68573 __IO_EXTERN
68574  
68575 IO_WORD
68576  
68577         gadü
68578 ;
68579
68580 11411 \ 1
68581         #ADCR
68582  
68583 adü
68584
68585
68586         )
68587
68588 11412 
68589 __IO_EXTERN
68590  
68591 ADCT1STR
68592  
68593         gadù1
68594 ;
68595
68596 11413 \ 1
68597         #ADCT1
68598  
68599 adù1
68600 .
68601 by\8b
68602
68603
68604         )
68605
68606 11414 \ 1
68607         #ADCT1_CT5
68608  
68609 adù1
68610 .
68611 b\99
68612 .
68613 _CT5
68614
68615
68616         )
68617
68618 11415 \ 1
68619         #ADCT1_CT4
68620  
68621 adù1
68622 .
68623 b\99
68624 .
68625 _CT4
68626
68627
68628         )
68629
68630 11416 \ 1
68631         #ADCT1_CT3
68632  
68633 adù1
68634 .
68635 b\99
68636 .
68637 _CT3
68638
68639
68640         )
68641
68642 11417 \ 1
68643         #ADCT1_CT2
68644  
68645 adù1
68646 .
68647 b\99
68648 .
68649 _CT2
68650
68651
68652         )
68653
68654 11418 \ 1
68655         #ADCT1_CT1
68656  
68657 adù1
68658 .
68659 b\99
68660 .
68661 _CT1
68662
68663
68664         )
68665
68666 11419 \ 1
68667         #ADCT1_CT0
68668  
68669 adù1
68670 .
68671 b\99
68672 .
68673 _CT0
68674
68675
68676         )
68677
68678 11420 \ 1
68679         #ADCT1_ST9
68680  
68681 adù1
68682 .
68683 b\99
68684 .
68685 _ST9
68686
68687
68688         )
68689
68690 11421 \ 1
68691         #ADCT1_ST8
68692  
68693 adù1
68694 .
68695 b\99
68696 .
68697 _ST8
68698
68699
68700         )
68701
68702 11422 
68703 __IO_EXTERN
68704  
68705 ADCT0STR
68706  
68707         gadù0
68708 ;
68709
68710 11423 \ 1
68711         #ADCT0
68712  
68713 adù0
68714 .
68715 by\8b
68716
68717
68718         )
68719
68720 11424 \ 1
68721         #ADCT0_ST7
68722  
68723 adù0
68724 .
68725 b\99
68726 .
68727 _ST7
68728
68729
68730         )
68731
68732 11425 \ 1
68733         #ADCT0_ST6
68734  
68735 adù0
68736 .
68737 b\99
68738 .
68739 _ST6
68740
68741
68742         )
68743
68744 11426 \ 1
68745         #ADCT0_ST5
68746  
68747 adù0
68748 .
68749 b\99
68750 .
68751 _ST5
68752
68753
68754         )
68755
68756 11427 \ 1
68757         #ADCT0_ST4
68758  
68759 adù0
68760 .
68761 b\99
68762 .
68763 _ST4
68764
68765
68766         )
68767
68768 11428 \ 1
68769         #ADCT0_ST3
68770  
68771 adù0
68772 .
68773 b\99
68774 .
68775 _ST3
68776
68777
68778         )
68779
68780 11429 \ 1
68781         #ADCT0_ST2
68782  
68783 adù0
68784 .
68785 b\99
68786 .
68787 _ST2
68788
68789
68790         )
68791
68792 11430 \ 1
68793         #ADCT0_ST1
68794  
68795 adù0
68796 .
68797 b\99
68798 .
68799 _ST1
68800
68801
68802         )
68803
68804 11431 \ 1
68805         #ADCT0_ST0
68806  
68807 adù0
68808 .
68809 b\99
68810 .
68811 _ST0
68812
68813
68814         )
68815
68816 11432 
68817 __IO_EXTERN
68818  
68819 IO_WORD
68820  
68821         gadù
68822 ;
68823
68824 11433 \ 1
68825         #ADCT
68826  
68827 adù
68828
68829
68830         )
68831
68832 11434 
68833 __IO_EXTERN
68834  
68835 ADSCHSTR
68836  
68837         gadsch
68838 ;
68839
68840 11435 \ 1
68841         #ADSCH
68842  
68843 adsch
68844 .
68845 by\8b
68846
68847
68848         )
68849
68850 11436 \ 1
68851         #ADSCH_ANS4
68852  
68853 adsch
68854 .
68855 b\99
68856 .
68857 _ANS4
68858
68859
68860         )
68861
68862 11437 \ 1
68863         #ADSCH_ANS3
68864  
68865 adsch
68866 .
68867 b\99
68868 .
68869 _ANS3
68870
68871
68872         )
68873
68874 11438 \ 1
68875         #ADSCH_ANS2
68876  
68877 adsch
68878 .
68879 b\99
68880 .
68881 _ANS2
68882
68883
68884         )
68885
68886 11439 \ 1
68887         #ADSCH_ANS1
68888  
68889 adsch
68890 .
68891 b\99
68892 .
68893 _ANS1
68894
68895
68896         )
68897
68898 11440 \ 1
68899         #ADSCH_ASN0
68900  
68901 adsch
68902 .
68903 b\99
68904 .
68905 _ASN0
68906
68907
68908         )
68909
68910 11441 \ 1
68911         #ADSCH_ANS
68912  
68913 adsch
68914 .
68915 b\99c
68916 .
68917 _ANS
68918
68919
68920         )
68921
68922 11442 
68923 __IO_EXTERN
68924  
68925 ADECHSTR
68926  
68927         gadech
68928 ;
68929
68930 11443 \ 1
68931         #ADECH
68932  
68933 adech
68934 .
68935 by\8b
68936
68937
68938         )
68939
68940 11444 \ 1
68941         #ADECH_ANE4
68942  
68943 adech
68944 .
68945 b\99
68946 .
68947 _ANE4
68948
68949
68950         )
68951
68952 11445 \ 1
68953         #ADECH_ANE3
68954  
68955 adech
68956 .
68957 b\99
68958 .
68959 _ANE3
68960
68961
68962         )
68963
68964 11446 \ 1
68965         #ADECH_ANE2
68966  
68967 adech
68968 .
68969 b\99
68970 .
68971 _ANE2
68972
68973
68974         )
68975
68976 11447 \ 1
68977         #ADECH_ANE1
68978  
68979 adech
68980 .
68981 b\99
68982 .
68983 _ANE1
68984
68985
68986         )
68987
68988 11448 \ 1
68989         #ADECH_ANE0
68990  
68991 adech
68992 .
68993 b\99
68994 .
68995 _ANE0
68996
68997
68998         )
68999
69000 11449 \ 1
69001         #ADECH_ANE
69002  
69003 adech
69004 .
69005 b\99c
69006 .
69007 _ANE
69008
69009
69010         )
69011
69012 11450 
69013 __IO_EXTERN
69014  
69015 TMRLR0STR
69016  
69017         gtm¾r0
69018 ;
69019
69020 11451 \ 1
69021         #TMRLR0
69022  
69023 tm¾r0
69024 .
69025 wÜd
69026
69027
69028         )
69029
69030 11452 \ 1
69031         #TMRLR0_D15
69032  
69033 tm¾r0
69034 .
69035 b\99
69036 .
69037 _D15
69038
69039
69040         )
69041
69042 11453 \ 1
69043         #TMRLR0_D14
69044  
69045 tm¾r0
69046 .
69047 b\99
69048 .
69049 _D14
69050
69051
69052         )
69053
69054 11454 \ 1
69055         #TMRLR0_D13
69056  
69057 tm¾r0
69058 .
69059 b\99
69060 .
69061 _D13
69062
69063
69064         )
69065
69066 11455 \ 1
69067         #TMRLR0_D12
69068  
69069 tm¾r0
69070 .
69071 b\99
69072 .
69073 _D12
69074
69075
69076         )
69077
69078 11456 \ 1
69079         #TMRLR0_D11
69080  
69081 tm¾r0
69082 .
69083 b\99
69084 .
69085 _D11
69086
69087
69088         )
69089
69090 11457 \ 1
69091         #TMRLR0_D10
69092  
69093 tm¾r0
69094 .
69095 b\99
69096 .
69097 _D10
69098
69099
69100         )
69101
69102 11458 \ 1
69103         #TMRLR0_D9
69104  
69105 tm¾r0
69106 .
69107 b\99
69108 .
69109 _D9
69110
69111
69112         )
69113
69114 11459 \ 1
69115         #TMRLR0_D8
69116  
69117 tm¾r0
69118 .
69119 b\99
69120 .
69121 _D8
69122
69123
69124         )
69125
69126 11460 \ 1
69127         #TMRLR0_D7
69128  
69129 tm¾r0
69130 .
69131 b\99
69132 .
69133 _D7
69134
69135
69136         )
69137
69138 11461 \ 1
69139         #TMRLR0_D6
69140  
69141 tm¾r0
69142 .
69143 b\99
69144 .
69145 _D6
69146
69147
69148         )
69149
69150 11462 \ 1
69151         #TMRLR0_D5
69152  
69153 tm¾r0
69154 .
69155 b\99
69156 .
69157 _D5
69158
69159
69160         )
69161
69162 11463 \ 1
69163         #TMRLR0_D4
69164  
69165 tm¾r0
69166 .
69167 b\99
69168 .
69169 _D4
69170
69171
69172         )
69173
69174 11464 \ 1
69175         #TMRLR0_D3
69176  
69177 tm¾r0
69178 .
69179 b\99
69180 .
69181 _D3
69182
69183
69184         )
69185
69186 11465 \ 1
69187         #TMRLR0_D2
69188  
69189 tm¾r0
69190 .
69191 b\99
69192 .
69193 _D2
69194
69195
69196         )
69197
69198 11466 \ 1
69199         #TMRLR0_D1
69200  
69201 tm¾r0
69202 .
69203 b\99
69204 .
69205 _D1
69206
69207
69208         )
69209
69210 11467 \ 1
69211         #TMRLR0_D0
69212  
69213 tm¾r0
69214 .
69215 b\99
69216 .
69217 _D0
69218
69219
69220         )
69221
69222 11468 
69223 __IO_EXTERN
69224  
69225 TMR0STR
69226  
69227         gtmr0
69228 ;
69229
69230 11469 \ 1
69231         #TMR0
69232  
69233 tmr0
69234 .
69235 wÜd
69236
69237
69238         )
69239
69240 11470 \ 1
69241         #TMR0_D15
69242  
69243 tmr0
69244 .
69245 b\99
69246 .
69247 _D15
69248
69249
69250         )
69251
69252 11471 \ 1
69253         #TMR0_D14
69254  
69255 tmr0
69256 .
69257 b\99
69258 .
69259 _D14
69260
69261
69262         )
69263
69264 11472 \ 1
69265         #TMR0_D13
69266  
69267 tmr0
69268 .
69269 b\99
69270 .
69271 _D13
69272
69273
69274         )
69275
69276 11473 \ 1
69277         #TMR0_D12
69278  
69279 tmr0
69280 .
69281 b\99
69282 .
69283 _D12
69284
69285
69286         )
69287
69288 11474 \ 1
69289         #TMR0_D11
69290  
69291 tmr0
69292 .
69293 b\99
69294 .
69295 _D11
69296
69297
69298         )
69299
69300 11475 \ 1
69301         #TMR0_D10
69302  
69303 tmr0
69304 .
69305 b\99
69306 .
69307 _D10
69308
69309
69310         )
69311
69312 11476 \ 1
69313         #TMR0_D9
69314  
69315 tmr0
69316 .
69317 b\99
69318 .
69319 _D9
69320
69321
69322         )
69323
69324 11477 \ 1
69325         #TMR0_D8
69326  
69327 tmr0
69328 .
69329 b\99
69330 .
69331 _D8
69332
69333
69334         )
69335
69336 11478 \ 1
69337         #TMR0_D7
69338  
69339 tmr0
69340 .
69341 b\99
69342 .
69343 _D7
69344
69345
69346         )
69347
69348 11479 \ 1
69349         #TMR0_D6
69350  
69351 tmr0
69352 .
69353 b\99
69354 .
69355 _D6
69356
69357
69358         )
69359
69360 11480 \ 1
69361         #TMR0_D5
69362  
69363 tmr0
69364 .
69365 b\99
69366 .
69367 _D5
69368
69369
69370         )
69371
69372 11481 \ 1
69373         #TMR0_D4
69374  
69375 tmr0
69376 .
69377 b\99
69378 .
69379 _D4
69380
69381
69382         )
69383
69384 11482 \ 1
69385         #TMR0_D3
69386  
69387 tmr0
69388 .
69389 b\99
69390 .
69391 _D3
69392
69393
69394         )
69395
69396 11483 \ 1
69397         #TMR0_D2
69398  
69399 tmr0
69400 .
69401 b\99
69402 .
69403 _D2
69404
69405
69406         )
69407
69408 11484 \ 1
69409         #TMR0_D1
69410  
69411 tmr0
69412 .
69413 b\99
69414 .
69415 _D1
69416
69417
69418         )
69419
69420 11485 \ 1
69421         #TMR0_D0
69422  
69423 tmr0
69424 .
69425 b\99
69426 .
69427 _D0
69428
69429
69430         )
69431
69432 11486 
69433 __IO_EXTERN
69434  
69435 TMCSR0STR
69436  
69437         gtmc¤0
69438 ;
69439
69440 11487 \ 1
69441         #TMCSR0
69442  
69443 tmc¤0
69444 .
69445 wÜd
69446
69447
69448         )
69449
69450 11488 \ 1
69451         #TMCSR0_CSL2
69452  
69453 tmc¤0
69454 .
69455 b\99
69456 .
69457 _CSL2
69458
69459
69460         )
69461
69462 11489 \ 1
69463         #TMCSR0_CSL1
69464  
69465 tmc¤0
69466 .
69467 b\99
69468 .
69469 _CSL1
69470
69471
69472         )
69473
69474 11490 \ 1
69475         #TMCSR0_CSL0
69476  
69477 tmc¤0
69478 .
69479 b\99
69480 .
69481 _CSL0
69482
69483
69484         )
69485
69486 11491 \ 1
69487         #TMCSR0_MOD2
69488  
69489 tmc¤0
69490 .
69491 b\99
69492 .
69493 _MOD2
69494
69495
69496         )
69497
69498 11492 \ 1
69499         #TMCSR0_MOD1
69500  
69501 tmc¤0
69502 .
69503 b\99
69504 .
69505 _MOD1
69506
69507
69508         )
69509
69510 11493 \ 1
69511         #TMCSR0_MOD0
69512  
69513 tmc¤0
69514 .
69515 b\99
69516 .
69517 _MOD0
69518
69519
69520         )
69521
69522 11494 \ 1
69523         #TMCSR0_OULT
69524  
69525 tmc¤0
69526 .
69527 b\99
69528 .
69529 _OULT
69530
69531
69532         )
69533
69534 11495 \ 1
69535         #TMCSR0_RELD
69536  
69537 tmc¤0
69538 .
69539 b\99
69540 .
69541 _RELD
69542
69543
69544         )
69545
69546 11496 \ 1
69547         #TMCSR0_INTE
69548  
69549 tmc¤0
69550 .
69551 b\99
69552 .
69553 _INTE
69554
69555
69556         )
69557
69558 11497 \ 1
69559         #TMCSR0_UF
69560  
69561 tmc¤0
69562 .
69563 b\99
69564 .
69565 _UF
69566
69567
69568         )
69569
69570 11498 \ 1
69571         #TMCSR0_CNTE
69572  
69573 tmc¤0
69574 .
69575 b\99
69576 .
69577 _CNTE
69578
69579
69580         )
69581
69582 11499 \ 1
69583         #TMCSR0_TRG
69584  
69585 tmc¤0
69586 .
69587 b\99
69588 .
69589 _TRG
69590
69591
69592         )
69593
69594 11500 \ 1
69595         #TMCSR0_CSL
69596  
69597 tmc¤0
69598 .
69599 b\99c
69600 .
69601 _CSL
69602
69603
69604         )
69605
69606 11501 \ 1
69607         #TMCSR0_MOD
69608  
69609 tmc¤0
69610 .
69611 b\99c
69612 .
69613 _MOD
69614
69615
69616         )
69617
69618 11502 
69619 __IO_EXTERN
69620  
69621 TMCSRH0STR
69622  
69623         gtmc¤h0
69624 ;
69625
69626 11503 \ 1
69627         #TMCSRH0
69628  
69629 tmc¤h0
69630 .
69631 by\8b
69632
69633
69634         )
69635
69636 11504 \ 1
69637         #TMCSRH0_CSL2
69638  
69639 tmc¤h0
69640 .
69641 b\99
69642 .
69643 _CSL2
69644
69645
69646         )
69647
69648 11505 \ 1
69649         #TMCSRH0_CSL1
69650  
69651 tmc¤h0
69652 .
69653 b\99
69654 .
69655 _CSL1
69656
69657
69658         )
69659
69660 11506 \ 1
69661         #TMCSRH0_CSL0
69662  
69663 tmc¤h0
69664 .
69665 b\99
69666 .
69667 _CSL0
69668
69669
69670         )
69671
69672 11507 \ 1
69673         #TMCSRH0_MOD2
69674  
69675 tmc¤h0
69676 .
69677 b\99
69678 .
69679 _MOD2
69680
69681
69682         )
69683
69684 11508 \ 1
69685         #TMCSRH0_MOD1
69686  
69687 tmc¤h0
69688 .
69689 b\99
69690 .
69691 _MOD1
69692
69693
69694         )
69695
69696 11509 \ 1
69697         #TMCSRH0_CSL
69698  
69699 tmc¤h0
69700 .
69701 b\99c
69702 .
69703 _CSL
69704
69705
69706         )
69707
69708 11510 
69709 __IO_EXTERN
69710  
69711 TMCSRL0STR
69712  
69713         gtmc¤l0
69714 ;
69715
69716 11511 \ 1
69717         #TMCSRL0
69718  
69719 tmc¤l0
69720 .
69721 by\8b
69722
69723
69724         )
69725
69726 11512 \ 1
69727         #TMCSRL0_MOD0
69728  
69729 tmc¤l0
69730 .
69731 b\99
69732 .
69733 _MOD0
69734
69735
69736         )
69737
69738 11513 \ 1
69739         #TMCSRL0_OULT
69740  
69741 tmc¤l0
69742 .
69743 b\99
69744 .
69745 _OULT
69746
69747
69748         )
69749
69750 11514 \ 1
69751         #TMCSRL0_RELD
69752  
69753 tmc¤l0
69754 .
69755 b\99
69756 .
69757 _RELD
69758
69759
69760         )
69761
69762 11515 \ 1
69763         #TMCSRL0_INTE
69764  
69765 tmc¤l0
69766 .
69767 b\99
69768 .
69769 _INTE
69770
69771
69772         )
69773
69774 11516 \ 1
69775         #TMCSRL0_UF
69776  
69777 tmc¤l0
69778 .
69779 b\99
69780 .
69781 _UF
69782
69783
69784         )
69785
69786 11517 \ 1
69787         #TMCSRL0_CNTE
69788  
69789 tmc¤l0
69790 .
69791 b\99
69792 .
69793 _CNTE
69794
69795
69796         )
69797
69798 11518 \ 1
69799         #TMCSRL0_TRG
69800  
69801 tmc¤l0
69802 .
69803 b\99
69804 .
69805 _TRG
69806
69807
69808         )
69809
69810 11519 
69811 __IO_EXTERN
69812  
69813 TMRLR1STR
69814  
69815         gtm¾r1
69816 ;
69817
69818 11520 \ 1
69819         #TMRLR1
69820  
69821 tm¾r1
69822 .
69823 wÜd
69824
69825
69826         )
69827
69828 11521 \ 1
69829         #TMRLR1_D15
69830  
69831 tm¾r1
69832 .
69833 b\99
69834 .
69835 _D15
69836
69837
69838         )
69839
69840 11522 \ 1
69841         #TMRLR1_D14
69842  
69843 tm¾r1
69844 .
69845 b\99
69846 .
69847 _D14
69848
69849
69850         )
69851
69852 11523 \ 1
69853         #TMRLR1_D13
69854  
69855 tm¾r1
69856 .
69857 b\99
69858 .
69859 _D13
69860
69861
69862         )
69863
69864 11524 \ 1
69865         #TMRLR1_D12
69866  
69867 tm¾r1
69868 .
69869 b\99
69870 .
69871 _D12
69872
69873
69874         )
69875
69876 11525 \ 1
69877         #TMRLR1_D11
69878  
69879 tm¾r1
69880 .
69881 b\99
69882 .
69883 _D11
69884
69885
69886         )
69887
69888 11526 \ 1
69889         #TMRLR1_D10
69890  
69891 tm¾r1
69892 .
69893 b\99
69894 .
69895 _D10
69896
69897
69898         )
69899
69900 11527 \ 1
69901         #TMRLR1_D9
69902  
69903 tm¾r1
69904 .
69905 b\99
69906 .
69907 _D9
69908
69909
69910         )
69911
69912 11528 \ 1
69913         #TMRLR1_D8
69914  
69915 tm¾r1
69916 .
69917 b\99
69918 .
69919 _D8
69920
69921
69922         )
69923
69924 11529 \ 1
69925         #TMRLR1_D7
69926  
69927 tm¾r1
69928 .
69929 b\99
69930 .
69931 _D7
69932
69933
69934         )
69935
69936 11530 \ 1
69937         #TMRLR1_D6
69938  
69939 tm¾r1
69940 .
69941 b\99
69942 .
69943 _D6
69944
69945
69946         )
69947
69948 11531 \ 1
69949         #TMRLR1_D5
69950  
69951 tm¾r1
69952 .
69953 b\99
69954 .
69955 _D5
69956
69957
69958         )
69959
69960 11532 \ 1
69961         #TMRLR1_D4
69962  
69963 tm¾r1
69964 .
69965 b\99
69966 .
69967 _D4
69968
69969
69970         )
69971
69972 11533 \ 1
69973         #TMRLR1_D3
69974  
69975 tm¾r1
69976 .
69977 b\99
69978 .
69979 _D3
69980
69981
69982         )
69983
69984 11534 \ 1
69985         #TMRLR1_D2
69986  
69987 tm¾r1
69988 .
69989 b\99
69990 .
69991 _D2
69992
69993
69994         )
69995
69996 11535 \ 1
69997         #TMRLR1_D1
69998  
69999 tm¾r1
70000 .
70001 b\99
70002 .
70003 _D1
70004
70005
70006         )
70007
70008 11536 \ 1
70009         #TMRLR1_D0
70010  
70011 tm¾r1
70012 .
70013 b\99
70014 .
70015 _D0
70016
70017
70018         )
70019
70020 11537 
70021 __IO_EXTERN
70022  
70023 TMR1STR
70024  
70025         gtmr1
70026 ;
70027
70028 11538 \ 1
70029         #TMR1
70030  
70031 tmr1
70032 .
70033 wÜd
70034
70035
70036         )
70037
70038 11539 \ 1
70039         #TMR1_D15
70040  
70041 tmr1
70042 .
70043 b\99
70044 .
70045 _D15
70046
70047
70048         )
70049
70050 11540 \ 1
70051         #TMR1_D14
70052  
70053 tmr1
70054 .
70055 b\99
70056 .
70057 _D14
70058
70059
70060         )
70061
70062 11541 \ 1
70063         #TMR1_D13
70064  
70065 tmr1
70066 .
70067 b\99
70068 .
70069 _D13
70070
70071
70072         )
70073
70074 11542 \ 1
70075         #TMR1_D12
70076  
70077 tmr1
70078 .
70079 b\99
70080 .
70081 _D12
70082
70083
70084         )
70085
70086 11543 \ 1
70087         #TMR1_D11
70088  
70089 tmr1
70090 .
70091 b\99
70092 .
70093 _D11
70094
70095
70096         )
70097
70098 11544 \ 1
70099         #TMR1_D10
70100  
70101 tmr1
70102 .
70103 b\99
70104 .
70105 _D10
70106
70107
70108         )
70109
70110 11545 \ 1
70111         #TMR1_D9
70112  
70113 tmr1
70114 .
70115 b\99
70116 .
70117 _D9
70118
70119
70120         )
70121
70122 11546 \ 1
70123         #TMR1_D8
70124  
70125 tmr1
70126 .
70127 b\99
70128 .
70129 _D8
70130
70131
70132         )
70133
70134 11547 \ 1
70135         #TMR1_D7
70136  
70137 tmr1
70138 .
70139 b\99
70140 .
70141 _D7
70142
70143
70144         )
70145
70146 11548 \ 1
70147         #TMR1_D6
70148  
70149 tmr1
70150 .
70151 b\99
70152 .
70153 _D6
70154
70155
70156         )
70157
70158 11549 \ 1
70159         #TMR1_D5
70160  
70161 tmr1
70162 .
70163 b\99
70164 .
70165 _D5
70166
70167
70168         )
70169
70170 11550 \ 1
70171         #TMR1_D4
70172  
70173 tmr1
70174 .
70175 b\99
70176 .
70177 _D4
70178
70179
70180         )
70181
70182 11551 \ 1
70183         #TMR1_D3
70184  
70185 tmr1
70186 .
70187 b\99
70188 .
70189 _D3
70190
70191
70192         )
70193
70194 11552 \ 1
70195         #TMR1_D2
70196  
70197 tmr1
70198 .
70199 b\99
70200 .
70201 _D2
70202
70203
70204         )
70205
70206 11553 \ 1
70207         #TMR1_D1
70208  
70209 tmr1
70210 .
70211 b\99
70212 .
70213 _D1
70214
70215
70216         )
70217
70218 11554 \ 1
70219         #TMR1_D0
70220  
70221 tmr1
70222 .
70223 b\99
70224 .
70225 _D0
70226
70227
70228         )
70229
70230 11555 
70231 __IO_EXTERN
70232  
70233 TMCSR1STR
70234  
70235         gtmc¤1
70236 ;
70237
70238 11556 \ 1
70239         #TMCSR1
70240  
70241 tmc¤1
70242 .
70243 wÜd
70244
70245
70246         )
70247
70248 11557 \ 1
70249         #TMCSR1_CSL2
70250  
70251 tmc¤1
70252 .
70253 b\99
70254 .
70255 _CSL2
70256
70257
70258         )
70259
70260 11558 \ 1
70261         #TMCSR1_CSL1
70262  
70263 tmc¤1
70264 .
70265 b\99
70266 .
70267 _CSL1
70268
70269
70270         )
70271
70272 11559 \ 1
70273         #TMCSR1_CSL0
70274  
70275 tmc¤1
70276 .
70277 b\99
70278 .
70279 _CSL0
70280
70281
70282         )
70283
70284 11560 \ 1
70285         #TMCSR1_MOD2
70286  
70287 tmc¤1
70288 .
70289 b\99
70290 .
70291 _MOD2
70292
70293
70294         )
70295
70296 11561 \ 1
70297         #TMCSR1_MOD1
70298  
70299 tmc¤1
70300 .
70301 b\99
70302 .
70303 _MOD1
70304
70305
70306         )
70307
70308 11562 \ 1
70309         #TMCSR1_MOD0
70310  
70311 tmc¤1
70312 .
70313 b\99
70314 .
70315 _MOD0
70316
70317
70318         )
70319
70320 11563 \ 1
70321         #TMCSR1_OULT
70322  
70323 tmc¤1
70324 .
70325 b\99
70326 .
70327 _OULT
70328
70329
70330         )
70331
70332 11564 \ 1
70333         #TMCSR1_RELD
70334  
70335 tmc¤1
70336 .
70337 b\99
70338 .
70339 _RELD
70340
70341
70342         )
70343
70344 11565 \ 1
70345         #TMCSR1_INTE
70346  
70347 tmc¤1
70348 .
70349 b\99
70350 .
70351 _INTE
70352
70353
70354         )
70355
70356 11566 \ 1
70357         #TMCSR1_UF
70358  
70359 tmc¤1
70360 .
70361 b\99
70362 .
70363 _UF
70364
70365
70366         )
70367
70368 11567 \ 1
70369         #TMCSR1_CNTE
70370  
70371 tmc¤1
70372 .
70373 b\99
70374 .
70375 _CNTE
70376
70377
70378         )
70379
70380 11568 \ 1
70381         #TMCSR1_TRG
70382  
70383 tmc¤1
70384 .
70385 b\99
70386 .
70387 _TRG
70388
70389
70390         )
70391
70392 11569 \ 1
70393         #TMCSR1_CSL
70394  
70395 tmc¤1
70396 .
70397 b\99c
70398 .
70399 _CSL
70400
70401
70402         )
70403
70404 11570 \ 1
70405         #TMCSR1_MOD
70406  
70407 tmc¤1
70408 .
70409 b\99c
70410 .
70411 _MOD
70412
70413
70414         )
70415
70416 11571 
70417 __IO_EXTERN
70418  
70419 TMCSRH1STR
70420  
70421         gtmc¤h1
70422 ;
70423
70424 11572 \ 1
70425         #TMCSRH1
70426  
70427 tmc¤h1
70428 .
70429 by\8b
70430
70431
70432         )
70433
70434 11573 \ 1
70435         #TMCSRH1_CSL2
70436  
70437 tmc¤h1
70438 .
70439 b\99
70440 .
70441 _CSL2
70442
70443
70444         )
70445
70446 11574 \ 1
70447         #TMCSRH1_CSL1
70448  
70449 tmc¤h1
70450 .
70451 b\99
70452 .
70453 _CSL1
70454
70455
70456         )
70457
70458 11575 \ 1
70459         #TMCSRH1_CSL0
70460  
70461 tmc¤h1
70462 .
70463 b\99
70464 .
70465 _CSL0
70466
70467
70468         )
70469
70470 11576 \ 1
70471         #TMCSRH1_MOD2
70472  
70473 tmc¤h1
70474 .
70475 b\99
70476 .
70477 _MOD2
70478
70479
70480         )
70481
70482 11577 \ 1
70483         #TMCSRH1_MOD1
70484  
70485 tmc¤h1
70486 .
70487 b\99
70488 .
70489 _MOD1
70490
70491
70492         )
70493
70494 11578 \ 1
70495         #TMCSRH1_CSL
70496  
70497 tmc¤h1
70498 .
70499 b\99c
70500 .
70501 _CSL
70502
70503
70504         )
70505
70506 11579 
70507 __IO_EXTERN
70508  
70509 TMCSRL1STR
70510  
70511         gtmc¤l1
70512 ;
70513
70514 11580 \ 1
70515         #TMCSRL1
70516  
70517 tmc¤l1
70518 .
70519 by\8b
70520
70521
70522         )
70523
70524 11581 \ 1
70525         #TMCSRL1_MOD0
70526  
70527 tmc¤l1
70528 .
70529 b\99
70530 .
70531 _MOD0
70532
70533
70534         )
70535
70536 11582 \ 1
70537         #TMCSRL1_OULT
70538  
70539 tmc¤l1
70540 .
70541 b\99
70542 .
70543 _OULT
70544
70545
70546         )
70547
70548 11583 \ 1
70549         #TMCSRL1_RELD
70550  
70551 tmc¤l1
70552 .
70553 b\99
70554 .
70555 _RELD
70556
70557
70558         )
70559
70560 11584 \ 1
70561         #TMCSRL1_INTE
70562  
70563 tmc¤l1
70564 .
70565 b\99
70566 .
70567 _INTE
70568
70569
70570         )
70571
70572 11585 \ 1
70573         #TMCSRL1_UF
70574  
70575 tmc¤l1
70576 .
70577 b\99
70578 .
70579 _UF
70580
70581
70582         )
70583
70584 11586 \ 1
70585         #TMCSRL1_CNTE
70586  
70587 tmc¤l1
70588 .
70589 b\99
70590 .
70591 _CNTE
70592
70593
70594         )
70595
70596 11587 \ 1
70597         #TMCSRL1_TRG
70598  
70599 tmc¤l1
70600 .
70601 b\99
70602 .
70603 _TRG
70604
70605
70606         )
70607
70608 11588 
70609 __IO_EXTERN
70610  
70611 TMRLR2STR
70612  
70613         gtm¾r2
70614 ;
70615
70616 11589 \ 1
70617         #TMRLR2
70618  
70619 tm¾r2
70620 .
70621 wÜd
70622
70623
70624         )
70625
70626 11590 \ 1
70627         #TMRLR2_D15
70628  
70629 tm¾r2
70630 .
70631 b\99
70632 .
70633 _D15
70634
70635
70636         )
70637
70638 11591 \ 1
70639         #TMRLR2_D14
70640  
70641 tm¾r2
70642 .
70643 b\99
70644 .
70645 _D14
70646
70647
70648         )
70649
70650 11592 \ 1
70651         #TMRLR2_D13
70652  
70653 tm¾r2
70654 .
70655 b\99
70656 .
70657 _D13
70658
70659
70660         )
70661
70662 11593 \ 1
70663         #TMRLR2_D12
70664  
70665 tm¾r2
70666 .
70667 b\99
70668 .
70669 _D12
70670
70671
70672         )
70673
70674 11594 \ 1
70675         #TMRLR2_D11
70676  
70677 tm¾r2
70678 .
70679 b\99
70680 .
70681 _D11
70682
70683
70684         )
70685
70686 11595 \ 1
70687         #TMRLR2_D10
70688  
70689 tm¾r2
70690 .
70691 b\99
70692 .
70693 _D10
70694
70695
70696         )
70697
70698 11596 \ 1
70699         #TMRLR2_D9
70700  
70701 tm¾r2
70702 .
70703 b\99
70704 .
70705 _D9
70706
70707
70708         )
70709
70710 11597 \ 1
70711         #TMRLR2_D8
70712  
70713 tm¾r2
70714 .
70715 b\99
70716 .
70717 _D8
70718
70719
70720         )
70721
70722 11598 \ 1
70723         #TMRLR2_D7
70724  
70725 tm¾r2
70726 .
70727 b\99
70728 .
70729 _D7
70730
70731
70732         )
70733
70734 11599 \ 1
70735         #TMRLR2_D6
70736  
70737 tm¾r2
70738 .
70739 b\99
70740 .
70741 _D6
70742
70743
70744         )
70745
70746 11600 \ 1
70747         #TMRLR2_D5
70748  
70749 tm¾r2
70750 .
70751 b\99
70752 .
70753 _D5
70754
70755
70756         )
70757
70758 11601 \ 1
70759         #TMRLR2_D4
70760  
70761 tm¾r2
70762 .
70763 b\99
70764 .
70765 _D4
70766
70767
70768         )
70769
70770 11602 \ 1
70771         #TMRLR2_D3
70772  
70773 tm¾r2
70774 .
70775 b\99
70776 .
70777 _D3
70778
70779
70780         )
70781
70782 11603 \ 1
70783         #TMRLR2_D2
70784  
70785 tm¾r2
70786 .
70787 b\99
70788 .
70789 _D2
70790
70791
70792         )
70793
70794 11604 \ 1
70795         #TMRLR2_D1
70796  
70797 tm¾r2
70798 .
70799 b\99
70800 .
70801 _D1
70802
70803
70804         )
70805
70806 11605 \ 1
70807         #TMRLR2_D0
70808  
70809 tm¾r2
70810 .
70811 b\99
70812 .
70813 _D0
70814
70815
70816         )
70817
70818 11606 
70819 __IO_EXTERN
70820  
70821 TMR2STR
70822  
70823         gtmr2
70824 ;
70825
70826 11607 \ 1
70827         #TMR2
70828  
70829 tmr2
70830 .
70831 wÜd
70832
70833
70834         )
70835
70836 11608 \ 1
70837         #TMR2_D15
70838  
70839 tmr2
70840 .
70841 b\99
70842 .
70843 _D15
70844
70845
70846         )
70847
70848 11609 \ 1
70849         #TMR2_D14
70850  
70851 tmr2
70852 .
70853 b\99
70854 .
70855 _D14
70856
70857
70858         )
70859
70860 11610 \ 1
70861         #TMR2_D13
70862  
70863 tmr2
70864 .
70865 b\99
70866 .
70867 _D13
70868
70869
70870         )
70871
70872 11611 \ 1
70873         #TMR2_D12
70874  
70875 tmr2
70876 .
70877 b\99
70878 .
70879 _D12
70880
70881
70882         )
70883
70884 11612 \ 1
70885         #TMR2_D11
70886  
70887 tmr2
70888 .
70889 b\99
70890 .
70891 _D11
70892
70893
70894         )
70895
70896 11613 \ 1
70897         #TMR2_D10
70898  
70899 tmr2
70900 .
70901 b\99
70902 .
70903 _D10
70904
70905
70906         )
70907
70908 11614 \ 1
70909         #TMR2_D9
70910  
70911 tmr2
70912 .
70913 b\99
70914 .
70915 _D9
70916
70917
70918         )
70919
70920 11615 \ 1
70921         #TMR2_D8
70922  
70923 tmr2
70924 .
70925 b\99
70926 .
70927 _D8
70928
70929
70930         )
70931
70932 11616 \ 1
70933         #TMR2_D7
70934  
70935 tmr2
70936 .
70937 b\99
70938 .
70939 _D7
70940
70941
70942         )
70943
70944 11617 \ 1
70945         #TMR2_D6
70946  
70947 tmr2
70948 .
70949 b\99
70950 .
70951 _D6
70952
70953
70954         )
70955
70956 11618 \ 1
70957         #TMR2_D5
70958  
70959 tmr2
70960 .
70961 b\99
70962 .
70963 _D5
70964
70965
70966         )
70967
70968 11619 \ 1
70969         #TMR2_D4
70970  
70971 tmr2
70972 .
70973 b\99
70974 .
70975 _D4
70976
70977
70978         )
70979
70980 11620 \ 1
70981         #TMR2_D3
70982  
70983 tmr2
70984 .
70985 b\99
70986 .
70987 _D3
70988
70989
70990         )
70991
70992 11621 \ 1
70993         #TMR2_D2
70994  
70995 tmr2
70996 .
70997 b\99
70998 .
70999 _D2
71000
71001
71002         )
71003
71004 11622 \ 1
71005         #TMR2_D1
71006  
71007 tmr2
71008 .
71009 b\99
71010 .
71011 _D1
71012
71013
71014         )
71015
71016 11623 \ 1
71017         #TMR2_D0
71018  
71019 tmr2
71020 .
71021 b\99
71022 .
71023 _D0
71024
71025
71026         )
71027
71028 11624 
71029 __IO_EXTERN
71030  
71031 TMCSR2STR
71032  
71033         gtmc¤2
71034 ;
71035
71036 11625 \ 1
71037         #TMCSR2
71038  
71039 tmc¤2
71040 .
71041 wÜd
71042
71043
71044         )
71045
71046 11626 \ 1
71047         #TMCSR2_CSL2
71048  
71049 tmc¤2
71050 .
71051 b\99
71052 .
71053 _CSL2
71054
71055
71056         )
71057
71058 11627 \ 1
71059         #TMCSR2_CSL1
71060  
71061 tmc¤2
71062 .
71063 b\99
71064 .
71065 _CSL1
71066
71067
71068         )
71069
71070 11628 \ 1
71071         #TMCSR2_CSL0
71072  
71073 tmc¤2
71074 .
71075 b\99
71076 .
71077 _CSL0
71078
71079
71080         )
71081
71082 11629 \ 1
71083         #TMCSR2_MOD2
71084  
71085 tmc¤2
71086 .
71087 b\99
71088 .
71089 _MOD2
71090
71091
71092         )
71093
71094 11630 \ 1
71095         #TMCSR2_MOD1
71096  
71097 tmc¤2
71098 .
71099 b\99
71100 .
71101 _MOD1
71102
71103
71104         )
71105
71106 11631 \ 1
71107         #TMCSR2_MOD0
71108  
71109 tmc¤2
71110 .
71111 b\99
71112 .
71113 _MOD0
71114
71115
71116         )
71117
71118 11632 \ 1
71119         #TMCSR2_OULT
71120  
71121 tmc¤2
71122 .
71123 b\99
71124 .
71125 _OULT
71126
71127
71128         )
71129
71130 11633 \ 1
71131         #TMCSR2_RELD
71132  
71133 tmc¤2
71134 .
71135 b\99
71136 .
71137 _RELD
71138
71139
71140         )
71141
71142 11634 \ 1
71143         #TMCSR2_INTE
71144  
71145 tmc¤2
71146 .
71147 b\99
71148 .
71149 _INTE
71150
71151
71152         )
71153
71154 11635 \ 1
71155         #TMCSR2_UF
71156  
71157 tmc¤2
71158 .
71159 b\99
71160 .
71161 _UF
71162
71163
71164         )
71165
71166 11636 \ 1
71167         #TMCSR2_CNTE
71168  
71169 tmc¤2
71170 .
71171 b\99
71172 .
71173 _CNTE
71174
71175
71176         )
71177
71178 11637 \ 1
71179         #TMCSR2_TRG
71180  
71181 tmc¤2
71182 .
71183 b\99
71184 .
71185 _TRG
71186
71187
71188         )
71189
71190 11638 \ 1
71191         #TMCSR2_CSL
71192  
71193 tmc¤2
71194 .
71195 b\99c
71196 .
71197 _CSL
71198
71199
71200         )
71201
71202 11639 \ 1
71203         #TMCSR2_MOD
71204  
71205 tmc¤2
71206 .
71207 b\99c
71208 .
71209 _MOD
71210
71211
71212         )
71213
71214 11640 
71215 __IO_EXTERN
71216  
71217 TMCSRH2STR
71218  
71219         gtmc¤h2
71220 ;
71221
71222 11641 \ 1
71223         #TMCSRH2
71224  
71225 tmc¤h2
71226 .
71227 by\8b
71228
71229
71230         )
71231
71232 11642 \ 1
71233         #TMCSRH2_CSL2
71234  
71235 tmc¤h2
71236 .
71237 b\99
71238 .
71239 _CSL2
71240
71241
71242         )
71243
71244 11643 \ 1
71245         #TMCSRH2_CSL1
71246  
71247 tmc¤h2
71248 .
71249 b\99
71250 .
71251 _CSL1
71252
71253
71254         )
71255
71256 11644 \ 1
71257         #TMCSRH2_CSL0
71258  
71259 tmc¤h2
71260 .
71261 b\99
71262 .
71263 _CSL0
71264
71265
71266         )
71267
71268 11645 \ 1
71269         #TMCSRH2_MOD2
71270  
71271 tmc¤h2
71272 .
71273 b\99
71274 .
71275 _MOD2
71276
71277
71278         )
71279
71280 11646 \ 1
71281         #TMCSRH2_MOD1
71282  
71283 tmc¤h2
71284 .
71285 b\99
71286 .
71287 _MOD1
71288
71289
71290         )
71291
71292 11647 \ 1
71293         #TMCSRH2_CSL
71294  
71295 tmc¤h2
71296 .
71297 b\99c
71298 .
71299 _CSL
71300
71301
71302         )
71303
71304 11648 
71305 __IO_EXTERN
71306  
71307 TMCSRL2STR
71308  
71309         gtmc¤l2
71310 ;
71311
71312 11649 \ 1
71313         #TMCSRL2
71314  
71315 tmc¤l2
71316 .
71317 by\8b
71318
71319
71320         )
71321
71322 11650 \ 1
71323         #TMCSRL2_MOD0
71324  
71325 tmc¤l2
71326 .
71327 b\99
71328 .
71329 _MOD0
71330
71331
71332         )
71333
71334 11651 \ 1
71335         #TMCSRL2_OULT
71336  
71337 tmc¤l2
71338 .
71339 b\99
71340 .
71341 _OULT
71342
71343
71344         )
71345
71346 11652 \ 1
71347         #TMCSRL2_RELD
71348  
71349 tmc¤l2
71350 .
71351 b\99
71352 .
71353 _RELD
71354
71355
71356         )
71357
71358 11653 \ 1
71359         #TMCSRL2_INTE
71360  
71361 tmc¤l2
71362 .
71363 b\99
71364 .
71365 _INTE
71366
71367
71368         )
71369
71370 11654 \ 1
71371         #TMCSRL2_UF
71372  
71373 tmc¤l2
71374 .
71375 b\99
71376 .
71377 _UF
71378
71379
71380         )
71381
71382 11655 \ 1
71383         #TMCSRL2_CNTE
71384  
71385 tmc¤l2
71386 .
71387 b\99
71388 .
71389 _CNTE
71390
71391
71392         )
71393
71394 11656 \ 1
71395         #TMCSRL2_TRG
71396  
71397 tmc¤l2
71398 .
71399 b\99
71400 .
71401 _TRG
71402
71403
71404         )
71405
71406 11657 
71407 __IO_EXTERN
71408  
71409 TMRLR3STR
71410  
71411         gtm¾r3
71412 ;
71413
71414 11658 \ 1
71415         #TMRLR3
71416  
71417 tm¾r3
71418 .
71419 wÜd
71420
71421
71422         )
71423
71424 11659 \ 1
71425         #TMRLR3_D15
71426  
71427 tm¾r3
71428 .
71429 b\99
71430 .
71431 _D15
71432
71433
71434         )
71435
71436 11660 \ 1
71437         #TMRLR3_D14
71438  
71439 tm¾r3
71440 .
71441 b\99
71442 .
71443 _D14
71444
71445
71446         )
71447
71448 11661 \ 1
71449         #TMRLR3_D13
71450  
71451 tm¾r3
71452 .
71453 b\99
71454 .
71455 _D13
71456
71457
71458         )
71459
71460 11662 \ 1
71461         #TMRLR3_D12
71462  
71463 tm¾r3
71464 .
71465 b\99
71466 .
71467 _D12
71468
71469
71470         )
71471
71472 11663 \ 1
71473         #TMRLR3_D11
71474  
71475 tm¾r3
71476 .
71477 b\99
71478 .
71479 _D11
71480
71481
71482         )
71483
71484 11664 \ 1
71485         #TMRLR3_D10
71486  
71487 tm¾r3
71488 .
71489 b\99
71490 .
71491 _D10
71492
71493
71494         )
71495
71496 11665 \ 1
71497         #TMRLR3_D9
71498  
71499 tm¾r3
71500 .
71501 b\99
71502 .
71503 _D9
71504
71505
71506         )
71507
71508 11666 \ 1
71509         #TMRLR3_D8
71510  
71511 tm¾r3
71512 .
71513 b\99
71514 .
71515 _D8
71516
71517
71518         )
71519
71520 11667 \ 1
71521         #TMRLR3_D7
71522  
71523 tm¾r3
71524 .
71525 b\99
71526 .
71527 _D7
71528
71529
71530         )
71531
71532 11668 \ 1
71533         #TMRLR3_D6
71534  
71535 tm¾r3
71536 .
71537 b\99
71538 .
71539 _D6
71540
71541
71542         )
71543
71544 11669 \ 1
71545         #TMRLR3_D5
71546  
71547 tm¾r3
71548 .
71549 b\99
71550 .
71551 _D5
71552
71553
71554         )
71555
71556 11670 \ 1
71557         #TMRLR3_D4
71558  
71559 tm¾r3
71560 .
71561 b\99
71562 .
71563 _D4
71564
71565
71566         )
71567
71568 11671 \ 1
71569         #TMRLR3_D3
71570  
71571 tm¾r3
71572 .
71573 b\99
71574 .
71575 _D3
71576
71577
71578         )
71579
71580 11672 \ 1
71581         #TMRLR3_D2
71582  
71583 tm¾r3
71584 .
71585 b\99
71586 .
71587 _D2
71588
71589
71590         )
71591
71592 11673 \ 1
71593         #TMRLR3_D1
71594  
71595 tm¾r3
71596 .
71597 b\99
71598 .
71599 _D1
71600
71601
71602         )
71603
71604 11674 \ 1
71605         #TMRLR3_D0
71606  
71607 tm¾r3
71608 .
71609 b\99
71610 .
71611 _D0
71612
71613
71614         )
71615
71616 11675 
71617 __IO_EXTERN
71618  
71619 TMR3STR
71620  
71621         gtmr3
71622 ;
71623
71624 11676 \ 1
71625         #TMR3
71626  
71627 tmr3
71628 .
71629 wÜd
71630
71631
71632         )
71633
71634 11677 \ 1
71635         #TMR3_D15
71636  
71637 tmr3
71638 .
71639 b\99
71640 .
71641 _D15
71642
71643
71644         )
71645
71646 11678 \ 1
71647         #TMR3_D14
71648  
71649 tmr3
71650 .
71651 b\99
71652 .
71653 _D14
71654
71655
71656         )
71657
71658 11679 \ 1
71659         #TMR3_D13
71660  
71661 tmr3
71662 .
71663 b\99
71664 .
71665 _D13
71666
71667
71668         )
71669
71670 11680 \ 1
71671         #TMR3_D12
71672  
71673 tmr3
71674 .
71675 b\99
71676 .
71677 _D12
71678
71679
71680         )
71681
71682 11681 \ 1
71683         #TMR3_D11
71684  
71685 tmr3
71686 .
71687 b\99
71688 .
71689 _D11
71690
71691
71692         )
71693
71694 11682 \ 1
71695         #TMR3_D10
71696  
71697 tmr3
71698 .
71699 b\99
71700 .
71701 _D10
71702
71703
71704         )
71705
71706 11683 \ 1
71707         #TMR3_D9
71708  
71709 tmr3
71710 .
71711 b\99
71712 .
71713 _D9
71714
71715
71716         )
71717
71718 11684 \ 1
71719         #TMR3_D8
71720  
71721 tmr3
71722 .
71723 b\99
71724 .
71725 _D8
71726
71727
71728         )
71729
71730 11685 \ 1
71731         #TMR3_D7
71732  
71733 tmr3
71734 .
71735 b\99
71736 .
71737 _D7
71738
71739
71740         )
71741
71742 11686 \ 1
71743         #TMR3_D6
71744  
71745 tmr3
71746 .
71747 b\99
71748 .
71749 _D6
71750
71751
71752         )
71753
71754 11687 \ 1
71755         #TMR3_D5
71756  
71757 tmr3
71758 .
71759 b\99
71760 .
71761 _D5
71762
71763
71764         )
71765
71766 11688 \ 1
71767         #TMR3_D4
71768  
71769 tmr3
71770 .
71771 b\99
71772 .
71773 _D4
71774
71775
71776         )
71777
71778 11689 \ 1
71779         #TMR3_D3
71780  
71781 tmr3
71782 .
71783 b\99
71784 .
71785 _D3
71786
71787
71788         )
71789
71790 11690 \ 1
71791         #TMR3_D2
71792  
71793 tmr3
71794 .
71795 b\99
71796 .
71797 _D2
71798
71799
71800         )
71801
71802 11691 \ 1
71803         #TMR3_D1
71804  
71805 tmr3
71806 .
71807 b\99
71808 .
71809 _D1
71810
71811
71812         )
71813
71814 11692 \ 1
71815         #TMR3_D0
71816  
71817 tmr3
71818 .
71819 b\99
71820 .
71821 _D0
71822
71823
71824         )
71825
71826 11693 
71827 __IO_EXTERN
71828  
71829 TMCSR3STR
71830  
71831         gtmc¤3
71832 ;
71833
71834 11694 \ 1
71835         #TMCSR3
71836  
71837 tmc¤3
71838 .
71839 wÜd
71840
71841
71842         )
71843
71844 11695 \ 1
71845         #TMCSR3_CSL2
71846  
71847 tmc¤3
71848 .
71849 b\99
71850 .
71851 _CSL2
71852
71853
71854         )
71855
71856 11696 \ 1
71857         #TMCSR3_CSL1
71858  
71859 tmc¤3
71860 .
71861 b\99
71862 .
71863 _CSL1
71864
71865
71866         )
71867
71868 11697 \ 1
71869         #TMCSR3_CSL0
71870  
71871 tmc¤3
71872 .
71873 b\99
71874 .
71875 _CSL0
71876
71877
71878         )
71879
71880 11698 \ 1
71881         #TMCSR3_MOD2
71882  
71883 tmc¤3
71884 .
71885 b\99
71886 .
71887 _MOD2
71888
71889
71890         )
71891
71892 11699 \ 1
71893         #TMCSR3_MOD1
71894  
71895 tmc¤3
71896 .
71897 b\99
71898 .
71899 _MOD1
71900
71901
71902         )
71903
71904 11700 \ 1
71905         #TMCSR3_MOD0
71906  
71907 tmc¤3
71908 .
71909 b\99
71910 .
71911 _MOD0
71912
71913
71914         )
71915
71916 11701 \ 1
71917         #TMCSR3_OULT
71918  
71919 tmc¤3
71920 .
71921 b\99
71922 .
71923 _OULT
71924
71925
71926         )
71927
71928 11702 \ 1
71929         #TMCSR3_RELD
71930  
71931 tmc¤3
71932 .
71933 b\99
71934 .
71935 _RELD
71936
71937
71938         )
71939
71940 11703 \ 1
71941         #TMCSR3_INTE
71942  
71943 tmc¤3
71944 .
71945 b\99
71946 .
71947 _INTE
71948
71949
71950         )
71951
71952 11704 \ 1
71953         #TMCSR3_UF
71954  
71955 tmc¤3
71956 .
71957 b\99
71958 .
71959 _UF
71960
71961
71962         )
71963
71964 11705 \ 1
71965         #TMCSR3_CNTE
71966  
71967 tmc¤3
71968 .
71969 b\99
71970 .
71971 _CNTE
71972
71973
71974         )
71975
71976 11706 \ 1
71977         #TMCSR3_TRG
71978  
71979 tmc¤3
71980 .
71981 b\99
71982 .
71983 _TRG
71984
71985
71986         )
71987
71988 11707 \ 1
71989         #TMCSR3_CSL
71990  
71991 tmc¤3
71992 .
71993 b\99c
71994 .
71995 _CSL
71996
71997
71998         )
71999
72000 11708 \ 1
72001         #TMCSR3_MOD
72002  
72003 tmc¤3
72004 .
72005 b\99c
72006 .
72007 _MOD
72008
72009
72010         )
72011
72012 11709 
72013 __IO_EXTERN
72014  
72015 TMCSRH3STR
72016  
72017         gtmc¤h3
72018 ;
72019
72020 11710 \ 1
72021         #TMCSRH3
72022  
72023 tmc¤h3
72024 .
72025 by\8b
72026
72027
72028         )
72029
72030 11711 \ 1
72031         #TMCSRH3_CSL2
72032  
72033 tmc¤h3
72034 .
72035 b\99
72036 .
72037 _CSL2
72038
72039
72040         )
72041
72042 11712 \ 1
72043         #TMCSRH3_CSL1
72044  
72045 tmc¤h3
72046 .
72047 b\99
72048 .
72049 _CSL1
72050
72051
72052         )
72053
72054 11713 \ 1
72055         #TMCSRH3_CSL0
72056  
72057 tmc¤h3
72058 .
72059 b\99
72060 .
72061 _CSL0
72062
72063
72064         )
72065
72066 11714 \ 1
72067         #TMCSRH3_MOD2
72068  
72069 tmc¤h3
72070 .
72071 b\99
72072 .
72073 _MOD2
72074
72075
72076         )
72077
72078 11715 \ 1
72079         #TMCSRH3_MOD1
72080  
72081 tmc¤h3
72082 .
72083 b\99
72084 .
72085 _MOD1
72086
72087
72088         )
72089
72090 11716 \ 1
72091         #TMCSRH3_CSL
72092  
72093 tmc¤h3
72094 .
72095 b\99c
72096 .
72097 _CSL
72098
72099
72100         )
72101
72102 11717 
72103 __IO_EXTERN
72104  
72105 TMCSRL3STR
72106  
72107         gtmc¤l3
72108 ;
72109
72110 11718 \ 1
72111         #TMCSRL3
72112  
72113 tmc¤l3
72114 .
72115 by\8b
72116
72117
72118         )
72119
72120 11719 \ 1
72121         #TMCSRL3_MOD0
72122  
72123 tmc¤l3
72124 .
72125 b\99
72126 .
72127 _MOD0
72128
72129
72130         )
72131
72132 11720 \ 1
72133         #TMCSRL3_OULT
72134  
72135 tmc¤l3
72136 .
72137 b\99
72138 .
72139 _OULT
72140
72141
72142         )
72143
72144 11721 \ 1
72145         #TMCSRL3_RELD
72146  
72147 tmc¤l3
72148 .
72149 b\99
72150 .
72151 _RELD
72152
72153
72154         )
72155
72156 11722 \ 1
72157         #TMCSRL3_INTE
72158  
72159 tmc¤l3
72160 .
72161 b\99
72162 .
72163 _INTE
72164
72165
72166         )
72167
72168 11723 \ 1
72169         #TMCSRL3_UF
72170  
72171 tmc¤l3
72172 .
72173 b\99
72174 .
72175 _UF
72176
72177
72178         )
72179
72180 11724 \ 1
72181         #TMCSRL3_CNTE
72182  
72183 tmc¤l3
72184 .
72185 b\99
72186 .
72187 _CNTE
72188
72189
72190         )
72191
72192 11725 \ 1
72193         #TMCSRL3_TRG
72194  
72195 tmc¤l3
72196 .
72197 b\99
72198 .
72199 _TRG
72200
72201
72202         )
72203
72204 11726 
72205 __IO_EXTERN
72206  
72207 TMRLR4STR
72208  
72209         gtm¾r4
72210 ;
72211
72212 11727 \ 1
72213         #TMRLR4
72214  
72215 tm¾r4
72216 .
72217 wÜd
72218
72219
72220         )
72221
72222 11728 \ 1
72223         #TMRLR4_D15
72224  
72225 tm¾r4
72226 .
72227 b\99
72228 .
72229 _D15
72230
72231
72232         )
72233
72234 11729 \ 1
72235         #TMRLR4_D14
72236  
72237 tm¾r4
72238 .
72239 b\99
72240 .
72241 _D14
72242
72243
72244         )
72245
72246 11730 \ 1
72247         #TMRLR4_D13
72248  
72249 tm¾r4
72250 .
72251 b\99
72252 .
72253 _D13
72254
72255
72256         )
72257
72258 11731 \ 1
72259         #TMRLR4_D12
72260  
72261 tm¾r4
72262 .
72263 b\99
72264 .
72265 _D12
72266
72267
72268         )
72269
72270 11732 \ 1
72271         #TMRLR4_D11
72272  
72273 tm¾r4
72274 .
72275 b\99
72276 .
72277 _D11
72278
72279
72280         )
72281
72282 11733 \ 1
72283         #TMRLR4_D10
72284  
72285 tm¾r4
72286 .
72287 b\99
72288 .
72289 _D10
72290
72291
72292         )
72293
72294 11734 \ 1
72295         #TMRLR4_D9
72296  
72297 tm¾r4
72298 .
72299 b\99
72300 .
72301 _D9
72302
72303
72304         )
72305
72306 11735 \ 1
72307         #TMRLR4_D8
72308  
72309 tm¾r4
72310 .
72311 b\99
72312 .
72313 _D8
72314
72315
72316         )
72317
72318 11736 \ 1
72319         #TMRLR4_D7
72320  
72321 tm¾r4
72322 .
72323 b\99
72324 .
72325 _D7
72326
72327
72328         )
72329
72330 11737 \ 1
72331         #TMRLR4_D6
72332  
72333 tm¾r4
72334 .
72335 b\99
72336 .
72337 _D6
72338
72339
72340         )
72341
72342 11738 \ 1
72343         #TMRLR4_D5
72344  
72345 tm¾r4
72346 .
72347 b\99
72348 .
72349 _D5
72350
72351
72352         )
72353
72354 11739 \ 1
72355         #TMRLR4_D4
72356  
72357 tm¾r4
72358 .
72359 b\99
72360 .
72361 _D4
72362
72363
72364         )
72365
72366 11740 \ 1
72367         #TMRLR4_D3
72368  
72369 tm¾r4
72370 .
72371 b\99
72372 .
72373 _D3
72374
72375
72376         )
72377
72378 11741 \ 1
72379         #TMRLR4_D2
72380  
72381 tm¾r4
72382 .
72383 b\99
72384 .
72385 _D2
72386
72387
72388         )
72389
72390 11742 \ 1
72391         #TMRLR4_D1
72392  
72393 tm¾r4
72394 .
72395 b\99
72396 .
72397 _D1
72398
72399
72400         )
72401
72402 11743 \ 1
72403         #TMRLR4_D0
72404  
72405 tm¾r4
72406 .
72407 b\99
72408 .
72409 _D0
72410
72411
72412         )
72413
72414 11744 
72415 __IO_EXTERN
72416  
72417 TMR4STR
72418  
72419         gtmr4
72420 ;
72421
72422 11745 \ 1
72423         #TMR4
72424  
72425 tmr4
72426 .
72427 wÜd
72428
72429
72430         )
72431
72432 11746 \ 1
72433         #TMR4_D15
72434  
72435 tmr4
72436 .
72437 b\99
72438 .
72439 _D15
72440
72441
72442         )
72443
72444 11747 \ 1
72445         #TMR4_D14
72446  
72447 tmr4
72448 .
72449 b\99
72450 .
72451 _D14
72452
72453
72454         )
72455
72456 11748 \ 1
72457         #TMR4_D13
72458  
72459 tmr4
72460 .
72461 b\99
72462 .
72463 _D13
72464
72465
72466         )
72467
72468 11749 \ 1
72469         #TMR4_D12
72470  
72471 tmr4
72472 .
72473 b\99
72474 .
72475 _D12
72476
72477
72478         )
72479
72480 11750 \ 1
72481         #TMR4_D11
72482  
72483 tmr4
72484 .
72485 b\99
72486 .
72487 _D11
72488
72489
72490         )
72491
72492 11751 \ 1
72493         #TMR4_D10
72494  
72495 tmr4
72496 .
72497 b\99
72498 .
72499 _D10
72500
72501
72502         )
72503
72504 11752 \ 1
72505         #TMR4_D9
72506  
72507 tmr4
72508 .
72509 b\99
72510 .
72511 _D9
72512
72513
72514         )
72515
72516 11753 \ 1
72517         #TMR4_D8
72518  
72519 tmr4
72520 .
72521 b\99
72522 .
72523 _D8
72524
72525
72526         )
72527
72528 11754 \ 1
72529         #TMR4_D7
72530  
72531 tmr4
72532 .
72533 b\99
72534 .
72535 _D7
72536
72537
72538         )
72539
72540 11755 \ 1
72541         #TMR4_D6
72542  
72543 tmr4
72544 .
72545 b\99
72546 .
72547 _D6
72548
72549
72550         )
72551
72552 11756 \ 1
72553         #TMR4_D5
72554  
72555 tmr4
72556 .
72557 b\99
72558 .
72559 _D5
72560
72561
72562         )
72563
72564 11757 \ 1
72565         #TMR4_D4
72566  
72567 tmr4
72568 .
72569 b\99
72570 .
72571 _D4
72572
72573
72574         )
72575
72576 11758 \ 1
72577         #TMR4_D3
72578  
72579 tmr4
72580 .
72581 b\99
72582 .
72583 _D3
72584
72585
72586         )
72587
72588 11759 \ 1
72589         #TMR4_D2
72590  
72591 tmr4
72592 .
72593 b\99
72594 .
72595 _D2
72596
72597
72598         )
72599
72600 11760 \ 1
72601         #TMR4_D1
72602  
72603 tmr4
72604 .
72605 b\99
72606 .
72607 _D1
72608
72609
72610         )
72611
72612 11761 \ 1
72613         #TMR4_D0
72614  
72615 tmr4
72616 .
72617 b\99
72618 .
72619 _D0
72620
72621
72622         )
72623
72624 11762 
72625 __IO_EXTERN
72626  
72627 TMCSR4STR
72628  
72629         gtmc¤4
72630 ;
72631
72632 11763 \ 1
72633         #TMCSR4
72634  
72635 tmc¤4
72636 .
72637 wÜd
72638
72639
72640         )
72641
72642 11764 \ 1
72643         #TMCSR4_CSL2
72644  
72645 tmc¤4
72646 .
72647 b\99
72648 .
72649 _CSL2
72650
72651
72652         )
72653
72654 11765 \ 1
72655         #TMCSR4_CSL1
72656  
72657 tmc¤4
72658 .
72659 b\99
72660 .
72661 _CSL1
72662
72663
72664         )
72665
72666 11766 \ 1
72667         #TMCSR4_CSL0
72668  
72669 tmc¤4
72670 .
72671 b\99
72672 .
72673 _CSL0
72674
72675
72676         )
72677
72678 11767 \ 1
72679         #TMCSR4_MOD2
72680  
72681 tmc¤4
72682 .
72683 b\99
72684 .
72685 _MOD2
72686
72687
72688         )
72689
72690 11768 \ 1
72691         #TMCSR4_MOD1
72692  
72693 tmc¤4
72694 .
72695 b\99
72696 .
72697 _MOD1
72698
72699
72700         )
72701
72702 11769 \ 1
72703         #TMCSR4_MOD0
72704  
72705 tmc¤4
72706 .
72707 b\99
72708 .
72709 _MOD0
72710
72711
72712         )
72713
72714 11770 \ 1
72715         #TMCSR4_OULT
72716  
72717 tmc¤4
72718 .
72719 b\99
72720 .
72721 _OULT
72722
72723
72724         )
72725
72726 11771 \ 1
72727         #TMCSR4_RELD
72728  
72729 tmc¤4
72730 .
72731 b\99
72732 .
72733 _RELD
72734
72735
72736         )
72737
72738 11772 \ 1
72739         #TMCSR4_INTE
72740  
72741 tmc¤4
72742 .
72743 b\99
72744 .
72745 _INTE
72746
72747
72748         )
72749
72750 11773 \ 1
72751         #TMCSR4_UF
72752  
72753 tmc¤4
72754 .
72755 b\99
72756 .
72757 _UF
72758
72759
72760         )
72761
72762 11774 \ 1
72763         #TMCSR4_CNTE
72764  
72765 tmc¤4
72766 .
72767 b\99
72768 .
72769 _CNTE
72770
72771
72772         )
72773
72774 11775 \ 1
72775         #TMCSR4_TRG
72776  
72777 tmc¤4
72778 .
72779 b\99
72780 .
72781 _TRG
72782
72783
72784         )
72785
72786 11776 \ 1
72787         #TMCSR4_CSL
72788  
72789 tmc¤4
72790 .
72791 b\99c
72792 .
72793 _CSL
72794
72795
72796         )
72797
72798 11777 \ 1
72799         #TMCSR4_MOD
72800  
72801 tmc¤4
72802 .
72803 b\99c
72804 .
72805 _MOD
72806
72807
72808         )
72809
72810 11778 
72811 __IO_EXTERN
72812  
72813 TMCSRH4STR
72814  
72815         gtmc¤h4
72816 ;
72817
72818 11779 \ 1
72819         #TMCSRH4
72820  
72821 tmc¤h4
72822 .
72823 by\8b
72824
72825
72826         )
72827
72828 11780 \ 1
72829         #TMCSRH4_CSL2
72830  
72831 tmc¤h4
72832 .
72833 b\99
72834 .
72835 _CSL2
72836
72837
72838         )
72839
72840 11781 \ 1
72841         #TMCSRH4_CSL1
72842  
72843 tmc¤h4
72844 .
72845 b\99
72846 .
72847 _CSL1
72848
72849
72850         )
72851
72852 11782 \ 1
72853         #TMCSRH4_CSL0
72854  
72855 tmc¤h4
72856 .
72857 b\99
72858 .
72859 _CSL0
72860
72861
72862         )
72863
72864 11783 \ 1
72865         #TMCSRH4_MOD2
72866  
72867 tmc¤h4
72868 .
72869 b\99
72870 .
72871 _MOD2
72872
72873
72874         )
72875
72876 11784 \ 1
72877         #TMCSRH4_MOD1
72878  
72879 tmc¤h4
72880 .
72881 b\99
72882 .
72883 _MOD1
72884
72885
72886         )
72887
72888 11785 \ 1
72889         #TMCSRH4_CSL
72890  
72891 tmc¤h4
72892 .
72893 b\99c
72894 .
72895 _CSL
72896
72897
72898         )
72899
72900 11786 
72901 __IO_EXTERN
72902  
72903 TMCSRL4STR
72904  
72905         gtmc¤l4
72906 ;
72907
72908 11787 \ 1
72909         #TMCSRL4
72910  
72911 tmc¤l4
72912 .
72913 by\8b
72914
72915
72916         )
72917
72918 11788 \ 1
72919         #TMCSRL4_MOD0
72920  
72921 tmc¤l4
72922 .
72923 b\99
72924 .
72925 _MOD0
72926
72927
72928         )
72929
72930 11789 \ 1
72931         #TMCSRL4_OULT
72932  
72933 tmc¤l4
72934 .
72935 b\99
72936 .
72937 _OULT
72938
72939
72940         )
72941
72942 11790 \ 1
72943         #TMCSRL4_RELD
72944  
72945 tmc¤l4
72946 .
72947 b\99
72948 .
72949 _RELD
72950
72951
72952         )
72953
72954 11791 \ 1
72955         #TMCSRL4_INTE
72956  
72957 tmc¤l4
72958 .
72959 b\99
72960 .
72961 _INTE
72962
72963
72964         )
72965
72966 11792 \ 1
72967         #TMCSRL4_UF
72968  
72969 tmc¤l4
72970 .
72971 b\99
72972 .
72973 _UF
72974
72975
72976         )
72977
72978 11793 \ 1
72979         #TMCSRL4_CNTE
72980  
72981 tmc¤l4
72982 .
72983 b\99
72984 .
72985 _CNTE
72986
72987
72988         )
72989
72990 11794 \ 1
72991         #TMCSRL4_TRG
72992  
72993 tmc¤l4
72994 .
72995 b\99
72996 .
72997 _TRG
72998
72999
73000         )
73001
73002 11795 
73003 __IO_EXTERN
73004  
73005 TMRLR5STR
73006  
73007         gtm¾r5
73008 ;
73009
73010 11796 \ 1
73011         #TMRLR5
73012  
73013 tm¾r5
73014 .
73015 wÜd
73016
73017
73018         )
73019
73020 11797 \ 1
73021         #TMRLR5_D15
73022  
73023 tm¾r5
73024 .
73025 b\99
73026 .
73027 _D15
73028
73029
73030         )
73031
73032 11798 \ 1
73033         #TMRLR5_D14
73034  
73035 tm¾r5
73036 .
73037 b\99
73038 .
73039 _D14
73040
73041
73042         )
73043
73044 11799 \ 1
73045         #TMRLR5_D13
73046  
73047 tm¾r5
73048 .
73049 b\99
73050 .
73051 _D13
73052
73053
73054         )
73055
73056 11800 \ 1
73057         #TMRLR5_D12
73058  
73059 tm¾r5
73060 .
73061 b\99
73062 .
73063 _D12
73064
73065
73066         )
73067
73068 11801 \ 1
73069         #TMRLR5_D11
73070  
73071 tm¾r5
73072 .
73073 b\99
73074 .
73075 _D11
73076
73077
73078         )
73079
73080 11802 \ 1
73081         #TMRLR5_D10
73082  
73083 tm¾r5
73084 .
73085 b\99
73086 .
73087 _D10
73088
73089
73090         )
73091
73092 11803 \ 1
73093         #TMRLR5_D9
73094  
73095 tm¾r5
73096 .
73097 b\99
73098 .
73099 _D9
73100
73101
73102         )
73103
73104 11804 \ 1
73105         #TMRLR5_D8
73106  
73107 tm¾r5
73108 .
73109 b\99
73110 .
73111 _D8
73112
73113
73114         )
73115
73116 11805 \ 1
73117         #TMRLR5_D7
73118  
73119 tm¾r5
73120 .
73121 b\99
73122 .
73123 _D7
73124
73125
73126         )
73127
73128 11806 \ 1
73129         #TMRLR5_D6
73130  
73131 tm¾r5
73132 .
73133 b\99
73134 .
73135 _D6
73136
73137
73138         )
73139
73140 11807 \ 1
73141         #TMRLR5_D5
73142  
73143 tm¾r5
73144 .
73145 b\99
73146 .
73147 _D5
73148
73149
73150         )
73151
73152 11808 \ 1
73153         #TMRLR5_D4
73154  
73155 tm¾r5
73156 .
73157 b\99
73158 .
73159 _D4
73160
73161
73162         )
73163
73164 11809 \ 1
73165         #TMRLR5_D3
73166  
73167 tm¾r5
73168 .
73169 b\99
73170 .
73171 _D3
73172
73173
73174         )
73175
73176 11810 \ 1
73177         #TMRLR5_D2
73178  
73179 tm¾r5
73180 .
73181 b\99
73182 .
73183 _D2
73184
73185
73186         )
73187
73188 11811 \ 1
73189         #TMRLR5_D1
73190  
73191 tm¾r5
73192 .
73193 b\99
73194 .
73195 _D1
73196
73197
73198         )
73199
73200 11812 \ 1
73201         #TMRLR5_D0
73202  
73203 tm¾r5
73204 .
73205 b\99
73206 .
73207 _D0
73208
73209
73210         )
73211
73212 11813 
73213 __IO_EXTERN
73214  
73215 TMR5STR
73216  
73217         gtmr5
73218 ;
73219
73220 11814 \ 1
73221         #TMR5
73222  
73223 tmr5
73224 .
73225 wÜd
73226
73227
73228         )
73229
73230 11815 \ 1
73231         #TMR5_D15
73232  
73233 tmr5
73234 .
73235 b\99
73236 .
73237 _D15
73238
73239
73240         )
73241
73242 11816 \ 1
73243         #TMR5_D14
73244  
73245 tmr5
73246 .
73247 b\99
73248 .
73249 _D14
73250
73251
73252         )
73253
73254 11817 \ 1
73255         #TMR5_D13
73256  
73257 tmr5
73258 .
73259 b\99
73260 .
73261 _D13
73262
73263
73264         )
73265
73266 11818 \ 1
73267         #TMR5_D12
73268  
73269 tmr5
73270 .
73271 b\99
73272 .
73273 _D12
73274
73275
73276         )
73277
73278 11819 \ 1
73279         #TMR5_D11
73280  
73281 tmr5
73282 .
73283 b\99
73284 .
73285 _D11
73286
73287
73288         )
73289
73290 11820 \ 1
73291         #TMR5_D10
73292  
73293 tmr5
73294 .
73295 b\99
73296 .
73297 _D10
73298
73299
73300         )
73301
73302 11821 \ 1
73303         #TMR5_D9
73304  
73305 tmr5
73306 .
73307 b\99
73308 .
73309 _D9
73310
73311
73312         )
73313
73314 11822 \ 1
73315         #TMR5_D8
73316  
73317 tmr5
73318 .
73319 b\99
73320 .
73321 _D8
73322
73323
73324         )
73325
73326 11823 \ 1
73327         #TMR5_D7
73328  
73329 tmr5
73330 .
73331 b\99
73332 .
73333 _D7
73334
73335
73336         )
73337
73338 11824 \ 1
73339         #TMR5_D6
73340  
73341 tmr5
73342 .
73343 b\99
73344 .
73345 _D6
73346
73347
73348         )
73349
73350 11825 \ 1
73351         #TMR5_D5
73352  
73353 tmr5
73354 .
73355 b\99
73356 .
73357 _D5
73358
73359
73360         )
73361
73362 11826 \ 1
73363         #TMR5_D4
73364  
73365 tmr5
73366 .
73367 b\99
73368 .
73369 _D4
73370
73371
73372         )
73373
73374 11827 \ 1
73375         #TMR5_D3
73376  
73377 tmr5
73378 .
73379 b\99
73380 .
73381 _D3
73382
73383
73384         )
73385
73386 11828 \ 1
73387         #TMR5_D2
73388  
73389 tmr5
73390 .
73391 b\99
73392 .
73393 _D2
73394
73395
73396         )
73397
73398 11829 \ 1
73399         #TMR5_D1
73400  
73401 tmr5
73402 .
73403 b\99
73404 .
73405 _D1
73406
73407
73408         )
73409
73410 11830 \ 1
73411         #TMR5_D0
73412  
73413 tmr5
73414 .
73415 b\99
73416 .
73417 _D0
73418
73419
73420         )
73421
73422 11831 
73423 __IO_EXTERN
73424  
73425 TMCSR5STR
73426  
73427         gtmc¤5
73428 ;
73429
73430 11832 \ 1
73431         #TMCSR5
73432  
73433 tmc¤5
73434 .
73435 wÜd
73436
73437
73438         )
73439
73440 11833 \ 1
73441         #TMCSR5_CSL2
73442  
73443 tmc¤5
73444 .
73445 b\99
73446 .
73447 _CSL2
73448
73449
73450         )
73451
73452 11834 \ 1
73453         #TMCSR5_CSL1
73454  
73455 tmc¤5
73456 .
73457 b\99
73458 .
73459 _CSL1
73460
73461
73462         )
73463
73464 11835 \ 1
73465         #TMCSR5_CSL0
73466  
73467 tmc¤5
73468 .
73469 b\99
73470 .
73471 _CSL0
73472
73473
73474         )
73475
73476 11836 \ 1
73477         #TMCSR5_MOD2
73478  
73479 tmc¤5
73480 .
73481 b\99
73482 .
73483 _MOD2
73484
73485
73486         )
73487
73488 11837 \ 1
73489         #TMCSR5_MOD1
73490  
73491 tmc¤5
73492 .
73493 b\99
73494 .
73495 _MOD1
73496
73497
73498         )
73499
73500 11838 \ 1
73501         #TMCSR5_MOD0
73502  
73503 tmc¤5
73504 .
73505 b\99
73506 .
73507 _MOD0
73508
73509
73510         )
73511
73512 11839 \ 1
73513         #TMCSR5_OULT
73514  
73515 tmc¤5
73516 .
73517 b\99
73518 .
73519 _OULT
73520
73521
73522         )
73523
73524 11840 \ 1
73525         #TMCSR5_RELD
73526  
73527 tmc¤5
73528 .
73529 b\99
73530 .
73531 _RELD
73532
73533
73534         )
73535
73536 11841 \ 1
73537         #TMCSR5_INTE
73538  
73539 tmc¤5
73540 .
73541 b\99
73542 .
73543 _INTE
73544
73545
73546         )
73547
73548 11842 \ 1
73549         #TMCSR5_UF
73550  
73551 tmc¤5
73552 .
73553 b\99
73554 .
73555 _UF
73556
73557
73558         )
73559
73560 11843 \ 1
73561         #TMCSR5_CNTE
73562  
73563 tmc¤5
73564 .
73565 b\99
73566 .
73567 _CNTE
73568
73569
73570         )
73571
73572 11844 \ 1
73573         #TMCSR5_TRG
73574  
73575 tmc¤5
73576 .
73577 b\99
73578 .
73579 _TRG
73580
73581
73582         )
73583
73584 11845 \ 1
73585         #TMCSR5_CSL
73586  
73587 tmc¤5
73588 .
73589 b\99c
73590 .
73591 _CSL
73592
73593
73594         )
73595
73596 11846 \ 1
73597         #TMCSR5_MOD
73598  
73599 tmc¤5
73600 .
73601 b\99c
73602 .
73603 _MOD
73604
73605
73606         )
73607
73608 11847 
73609 __IO_EXTERN
73610  
73611 TMCSRH5STR
73612  
73613         gtmc¤h5
73614 ;
73615
73616 11848 \ 1
73617         #TMCSRH5
73618  
73619 tmc¤h5
73620 .
73621 by\8b
73622
73623
73624         )
73625
73626 11849 \ 1
73627         #TMCSRH5_CSL2
73628  
73629 tmc¤h5
73630 .
73631 b\99
73632 .
73633 _CSL2
73634
73635
73636         )
73637
73638 11850 \ 1
73639         #TMCSRH5_CSL1
73640  
73641 tmc¤h5
73642 .
73643 b\99
73644 .
73645 _CSL1
73646
73647
73648         )
73649
73650 11851 \ 1
73651         #TMCSRH5_CSL0
73652  
73653 tmc¤h5
73654 .
73655 b\99
73656 .
73657 _CSL0
73658
73659
73660         )
73661
73662 11852 \ 1
73663         #TMCSRH5_MOD2
73664  
73665 tmc¤h5
73666 .
73667 b\99
73668 .
73669 _MOD2
73670
73671
73672         )
73673
73674 11853 \ 1
73675         #TMCSRH5_MOD1
73676  
73677 tmc¤h5
73678 .
73679 b\99
73680 .
73681 _MOD1
73682
73683
73684         )
73685
73686 11854 \ 1
73687         #TMCSRH5_CSL
73688  
73689 tmc¤h5
73690 .
73691 b\99c
73692 .
73693 _CSL
73694
73695
73696         )
73697
73698 11855 
73699 __IO_EXTERN
73700  
73701 TMCSRL5STR
73702  
73703         gtmc¤l5
73704 ;
73705
73706 11856 \ 1
73707         #TMCSRL5
73708  
73709 tmc¤l5
73710 .
73711 by\8b
73712
73713
73714         )
73715
73716 11857 \ 1
73717         #TMCSRL5_MOD0
73718  
73719 tmc¤l5
73720 .
73721 b\99
73722 .
73723 _MOD0
73724
73725
73726         )
73727
73728 11858 \ 1
73729         #TMCSRL5_OULT
73730  
73731 tmc¤l5
73732 .
73733 b\99
73734 .
73735 _OULT
73736
73737
73738         )
73739
73740 11859 \ 1
73741         #TMCSRL5_RELD
73742  
73743 tmc¤l5
73744 .
73745 b\99
73746 .
73747 _RELD
73748
73749
73750         )
73751
73752 11860 \ 1
73753         #TMCSRL5_INTE
73754  
73755 tmc¤l5
73756 .
73757 b\99
73758 .
73759 _INTE
73760
73761
73762         )
73763
73764 11861 \ 1
73765         #TMCSRL5_UF
73766  
73767 tmc¤l5
73768 .
73769 b\99
73770 .
73771 _UF
73772
73773
73774         )
73775
73776 11862 \ 1
73777         #TMCSRL5_CNTE
73778  
73779 tmc¤l5
73780 .
73781 b\99
73782 .
73783 _CNTE
73784
73785
73786         )
73787
73788 11863 \ 1
73789         #TMCSRL5_TRG
73790  
73791 tmc¤l5
73792 .
73793 b\99
73794 .
73795 _TRG
73796
73797
73798         )
73799
73800 11864 
73801 __IO_EXTERN
73802  
73803 TMRLR6STR
73804  
73805         gtm¾r6
73806 ;
73807
73808 11865 \ 1
73809         #TMRLR6
73810  
73811 tm¾r6
73812 .
73813 wÜd
73814
73815
73816         )
73817
73818 11866 \ 1
73819         #TMRLR6_D15
73820  
73821 tm¾r6
73822 .
73823 b\99
73824 .
73825 _D15
73826
73827
73828         )
73829
73830 11867 \ 1
73831         #TMRLR6_D14
73832  
73833 tm¾r6
73834 .
73835 b\99
73836 .
73837 _D14
73838
73839
73840         )
73841
73842 11868 \ 1
73843         #TMRLR6_D13
73844  
73845 tm¾r6
73846 .
73847 b\99
73848 .
73849 _D13
73850
73851
73852         )
73853
73854 11869 \ 1
73855         #TMRLR6_D12
73856  
73857 tm¾r6
73858 .
73859 b\99
73860 .
73861 _D12
73862
73863
73864         )
73865
73866 11870 \ 1
73867         #TMRLR6_D11
73868  
73869 tm¾r6
73870 .
73871 b\99
73872 .
73873 _D11
73874
73875
73876         )
73877
73878 11871 \ 1
73879         #TMRLR6_D10
73880  
73881 tm¾r6
73882 .
73883 b\99
73884 .
73885 _D10
73886
73887
73888         )
73889
73890 11872 \ 1
73891         #TMRLR6_D9
73892  
73893 tm¾r6
73894 .
73895 b\99
73896 .
73897 _D9
73898
73899
73900         )
73901
73902 11873 \ 1
73903         #TMRLR6_D8
73904  
73905 tm¾r6
73906 .
73907 b\99
73908 .
73909 _D8
73910
73911
73912         )
73913
73914 11874 \ 1
73915         #TMRLR6_D7
73916  
73917 tm¾r6
73918 .
73919 b\99
73920 .
73921 _D7
73922
73923
73924         )
73925
73926 11875 \ 1
73927         #TMRLR6_D6
73928  
73929 tm¾r6
73930 .
73931 b\99
73932 .
73933 _D6
73934
73935
73936         )
73937
73938 11876 \ 1
73939         #TMRLR6_D5
73940  
73941 tm¾r6
73942 .
73943 b\99
73944 .
73945 _D5
73946
73947
73948         )
73949
73950 11877 \ 1
73951         #TMRLR6_D4
73952  
73953 tm¾r6
73954 .
73955 b\99
73956 .
73957 _D4
73958
73959
73960         )
73961
73962 11878 \ 1
73963         #TMRLR6_D3
73964  
73965 tm¾r6
73966 .
73967 b\99
73968 .
73969 _D3
73970
73971
73972         )
73973
73974 11879 \ 1
73975         #TMRLR6_D2
73976  
73977 tm¾r6
73978 .
73979 b\99
73980 .
73981 _D2
73982
73983
73984         )
73985
73986 11880 \ 1
73987         #TMRLR6_D1
73988  
73989 tm¾r6
73990 .
73991 b\99
73992 .
73993 _D1
73994
73995
73996         )
73997
73998 11881 \ 1
73999         #TMRLR6_D0
74000  
74001 tm¾r6
74002 .
74003 b\99
74004 .
74005 _D0
74006
74007
74008         )
74009
74010 11882 
74011 __IO_EXTERN
74012  
74013 TMR6STR
74014  
74015         gtmr6
74016 ;
74017
74018 11883 \ 1
74019         #TMR6
74020  
74021 tmr6
74022 .
74023 wÜd
74024
74025
74026         )
74027
74028 11884 \ 1
74029         #TMR6_D15
74030  
74031 tmr6
74032 .
74033 b\99
74034 .
74035 _D15
74036
74037
74038         )
74039
74040 11885 \ 1
74041         #TMR6_D14
74042  
74043 tmr6
74044 .
74045 b\99
74046 .
74047 _D14
74048
74049
74050         )
74051
74052 11886 \ 1
74053         #TMR6_D13
74054  
74055 tmr6
74056 .
74057 b\99
74058 .
74059 _D13
74060
74061
74062         )
74063
74064 11887 \ 1
74065         #TMR6_D12
74066  
74067 tmr6
74068 .
74069 b\99
74070 .
74071 _D12
74072
74073
74074         )
74075
74076 11888 \ 1
74077         #TMR6_D11
74078  
74079 tmr6
74080 .
74081 b\99
74082 .
74083 _D11
74084
74085
74086         )
74087
74088 11889 \ 1
74089         #TMR6_D10
74090  
74091 tmr6
74092 .
74093 b\99
74094 .
74095 _D10
74096
74097
74098         )
74099
74100 11890 \ 1
74101         #TMR6_D9
74102  
74103 tmr6
74104 .
74105 b\99
74106 .
74107 _D9
74108
74109
74110         )
74111
74112 11891 \ 1
74113         #TMR6_D8
74114  
74115 tmr6
74116 .
74117 b\99
74118 .
74119 _D8
74120
74121
74122         )
74123
74124 11892 \ 1
74125         #TMR6_D7
74126  
74127 tmr6
74128 .
74129 b\99
74130 .
74131 _D7
74132
74133
74134         )
74135
74136 11893 \ 1
74137         #TMR6_D6
74138  
74139 tmr6
74140 .
74141 b\99
74142 .
74143 _D6
74144
74145
74146         )
74147
74148 11894 \ 1
74149         #TMR6_D5
74150  
74151 tmr6
74152 .
74153 b\99
74154 .
74155 _D5
74156
74157
74158         )
74159
74160 11895 \ 1
74161         #TMR6_D4
74162  
74163 tmr6
74164 .
74165 b\99
74166 .
74167 _D4
74168
74169
74170         )
74171
74172 11896 \ 1
74173         #TMR6_D3
74174  
74175 tmr6
74176 .
74177 b\99
74178 .
74179 _D3
74180
74181
74182         )
74183
74184 11897 \ 1
74185         #TMR6_D2
74186  
74187 tmr6
74188 .
74189 b\99
74190 .
74191 _D2
74192
74193
74194         )
74195
74196 11898 \ 1
74197         #TMR6_D1
74198  
74199 tmr6
74200 .
74201 b\99
74202 .
74203 _D1
74204
74205
74206         )
74207
74208 11899 \ 1
74209         #TMR6_D0
74210  
74211 tmr6
74212 .
74213 b\99
74214 .
74215 _D0
74216
74217
74218         )
74219
74220 11900 
74221 __IO_EXTERN
74222  
74223 TMCSR6STR
74224  
74225         gtmc¤6
74226 ;
74227
74228 11901 \ 1
74229         #TMCSR6
74230  
74231 tmc¤6
74232 .
74233 wÜd
74234
74235
74236         )
74237
74238 11902 \ 1
74239         #TMCSR6_CSL2
74240  
74241 tmc¤6
74242 .
74243 b\99
74244 .
74245 _CSL2
74246
74247
74248         )
74249
74250 11903 \ 1
74251         #TMCSR6_CSL1
74252  
74253 tmc¤6
74254 .
74255 b\99
74256 .
74257 _CSL1
74258
74259
74260         )
74261
74262 11904 \ 1
74263         #TMCSR6_CSL0
74264  
74265 tmc¤6
74266 .
74267 b\99
74268 .
74269 _CSL0
74270
74271
74272         )
74273
74274 11905 \ 1
74275         #TMCSR6_MOD2
74276  
74277 tmc¤6
74278 .
74279 b\99
74280 .
74281 _MOD2
74282
74283
74284         )
74285
74286 11906 \ 1
74287         #TMCSR6_MOD1
74288  
74289 tmc¤6
74290 .
74291 b\99
74292 .
74293 _MOD1
74294
74295
74296         )
74297
74298 11907 \ 1
74299         #TMCSR6_MOD0
74300  
74301 tmc¤6
74302 .
74303 b\99
74304 .
74305 _MOD0
74306
74307
74308         )
74309
74310 11908 \ 1
74311         #TMCSR6_OULT
74312  
74313 tmc¤6
74314 .
74315 b\99
74316 .
74317 _OULT
74318
74319
74320         )
74321
74322 11909 \ 1
74323         #TMCSR6_RELD
74324  
74325 tmc¤6
74326 .
74327 b\99
74328 .
74329 _RELD
74330
74331
74332         )
74333
74334 11910 \ 1
74335         #TMCSR6_INTE
74336  
74337 tmc¤6
74338 .
74339 b\99
74340 .
74341 _INTE
74342
74343
74344         )
74345
74346 11911 \ 1
74347         #TMCSR6_UF
74348  
74349 tmc¤6
74350 .
74351 b\99
74352 .
74353 _UF
74354
74355
74356         )
74357
74358 11912 \ 1
74359         #TMCSR6_CNTE
74360  
74361 tmc¤6
74362 .
74363 b\99
74364 .
74365 _CNTE
74366
74367
74368         )
74369
74370 11913 \ 1
74371         #TMCSR6_TRG
74372  
74373 tmc¤6
74374 .
74375 b\99
74376 .
74377 _TRG
74378
74379
74380         )
74381
74382 11914 \ 1
74383         #TMCSR6_CSL
74384  
74385 tmc¤6
74386 .
74387 b\99c
74388 .
74389 _CSL
74390
74391
74392         )
74393
74394 11915 \ 1
74395         #TMCSR6_MOD
74396  
74397 tmc¤6
74398 .
74399 b\99c
74400 .
74401 _MOD
74402
74403
74404         )
74405
74406 11916 
74407 __IO_EXTERN
74408  
74409 TMCSRH6STR
74410  
74411         gtmc¤h6
74412 ;
74413
74414 11917 \ 1
74415         #TMCSRH6
74416  
74417 tmc¤h6
74418 .
74419 by\8b
74420
74421
74422         )
74423
74424 11918 \ 1
74425         #TMCSRH6_CSL2
74426  
74427 tmc¤h6
74428 .
74429 b\99
74430 .
74431 _CSL2
74432
74433
74434         )
74435
74436 11919 \ 1
74437         #TMCSRH6_CSL1
74438  
74439 tmc¤h6
74440 .
74441 b\99
74442 .
74443 _CSL1
74444
74445
74446         )
74447
74448 11920 \ 1
74449         #TMCSRH6_CSL0
74450  
74451 tmc¤h6
74452 .
74453 b\99
74454 .
74455 _CSL0
74456
74457
74458         )
74459
74460 11921 \ 1
74461         #TMCSRH6_MOD2
74462  
74463 tmc¤h6
74464 .
74465 b\99
74466 .
74467 _MOD2
74468
74469
74470         )
74471
74472 11922 \ 1
74473         #TMCSRH6_MOD1
74474  
74475 tmc¤h6
74476 .
74477 b\99
74478 .
74479 _MOD1
74480
74481
74482         )
74483
74484 11923 \ 1
74485         #TMCSRH6_CSL
74486  
74487 tmc¤h6
74488 .
74489 b\99c
74490 .
74491 _CSL
74492
74493
74494         )
74495
74496 11924 
74497 __IO_EXTERN
74498  
74499 TMCSRL6STR
74500  
74501         gtmc¤l6
74502 ;
74503
74504 11925 \ 1
74505         #TMCSRL6
74506  
74507 tmc¤l6
74508 .
74509 by\8b
74510
74511
74512         )
74513
74514 11926 \ 1
74515         #TMCSRL6_MOD0
74516  
74517 tmc¤l6
74518 .
74519 b\99
74520 .
74521 _MOD0
74522
74523
74524         )
74525
74526 11927 \ 1
74527         #TMCSRL6_OULT
74528  
74529 tmc¤l6
74530 .
74531 b\99
74532 .
74533 _OULT
74534
74535
74536         )
74537
74538 11928 \ 1
74539         #TMCSRL6_RELD
74540  
74541 tmc¤l6
74542 .
74543 b\99
74544 .
74545 _RELD
74546
74547
74548         )
74549
74550 11929 \ 1
74551         #TMCSRL6_INTE
74552  
74553 tmc¤l6
74554 .
74555 b\99
74556 .
74557 _INTE
74558
74559
74560         )
74561
74562 11930 \ 1
74563         #TMCSRL6_UF
74564  
74565 tmc¤l6
74566 .
74567 b\99
74568 .
74569 _UF
74570
74571
74572         )
74573
74574 11931 \ 1
74575         #TMCSRL6_CNTE
74576  
74577 tmc¤l6
74578 .
74579 b\99
74580 .
74581 _CNTE
74582
74583
74584         )
74585
74586 11932 \ 1
74587         #TMCSRL6_TRG
74588  
74589 tmc¤l6
74590 .
74591 b\99
74592 .
74593 _TRG
74594
74595
74596         )
74597
74598 11933 
74599 __IO_EXTERN
74600  
74601 TMRLR7STR
74602  
74603         gtm¾r7
74604 ;
74605
74606 11934 \ 1
74607         #TMRLR7
74608  
74609 tm¾r7
74610 .
74611 wÜd
74612
74613
74614         )
74615
74616 11935 \ 1
74617         #TMRLR7_D15
74618  
74619 tm¾r7
74620 .
74621 b\99
74622 .
74623 _D15
74624
74625
74626         )
74627
74628 11936 \ 1
74629         #TMRLR7_D14
74630  
74631 tm¾r7
74632 .
74633 b\99
74634 .
74635 _D14
74636
74637
74638         )
74639
74640 11937 \ 1
74641         #TMRLR7_D13
74642  
74643 tm¾r7
74644 .
74645 b\99
74646 .
74647 _D13
74648
74649
74650         )
74651
74652 11938 \ 1
74653         #TMRLR7_D12
74654  
74655 tm¾r7
74656 .
74657 b\99
74658 .
74659 _D12
74660
74661
74662         )
74663
74664 11939 \ 1
74665         #TMRLR7_D11
74666  
74667 tm¾r7
74668 .
74669 b\99
74670 .
74671 _D11
74672
74673
74674         )
74675
74676 11940 \ 1
74677         #TMRLR7_D10
74678  
74679 tm¾r7
74680 .
74681 b\99
74682 .
74683 _D10
74684
74685
74686         )
74687
74688 11941 \ 1
74689         #TMRLR7_D9
74690  
74691 tm¾r7
74692 .
74693 b\99
74694 .
74695 _D9
74696
74697
74698         )
74699
74700 11942 \ 1
74701         #TMRLR7_D8
74702  
74703 tm¾r7
74704 .
74705 b\99
74706 .
74707 _D8
74708
74709
74710         )
74711
74712 11943 \ 1
74713         #TMRLR7_D7
74714  
74715 tm¾r7
74716 .
74717 b\99
74718 .
74719 _D7
74720
74721
74722         )
74723
74724 11944 \ 1
74725         #TMRLR7_D6
74726  
74727 tm¾r7
74728 .
74729 b\99
74730 .
74731 _D6
74732
74733
74734         )
74735
74736 11945 \ 1
74737         #TMRLR7_D5
74738  
74739 tm¾r7
74740 .
74741 b\99
74742 .
74743 _D5
74744
74745
74746         )
74747
74748 11946 \ 1
74749         #TMRLR7_D4
74750  
74751 tm¾r7
74752 .
74753 b\99
74754 .
74755 _D4
74756
74757
74758         )
74759
74760 11947 \ 1
74761         #TMRLR7_D3
74762  
74763 tm¾r7
74764 .
74765 b\99
74766 .
74767 _D3
74768
74769
74770         )
74771
74772 11948 \ 1
74773         #TMRLR7_D2
74774  
74775 tm¾r7
74776 .
74777 b\99
74778 .
74779 _D2
74780
74781
74782         )
74783
74784 11949 \ 1
74785         #TMRLR7_D1
74786  
74787 tm¾r7
74788 .
74789 b\99
74790 .
74791 _D1
74792
74793
74794         )
74795
74796 11950 \ 1
74797         #TMRLR7_D0
74798  
74799 tm¾r7
74800 .
74801 b\99
74802 .
74803 _D0
74804
74805
74806         )
74807
74808 11951 
74809 __IO_EXTERN
74810  
74811 TMR7STR
74812  
74813         gtmr7
74814 ;
74815
74816 11952 \ 1
74817         #TMR7
74818  
74819 tmr7
74820 .
74821 wÜd
74822
74823
74824         )
74825
74826 11953 \ 1
74827         #TMR7_D15
74828  
74829 tmr7
74830 .
74831 b\99
74832 .
74833 _D15
74834
74835
74836         )
74837
74838 11954 \ 1
74839         #TMR7_D14
74840  
74841 tmr7
74842 .
74843 b\99
74844 .
74845 _D14
74846
74847
74848         )
74849
74850 11955 \ 1
74851         #TMR7_D13
74852  
74853 tmr7
74854 .
74855 b\99
74856 .
74857 _D13
74858
74859
74860         )
74861
74862 11956 \ 1
74863         #TMR7_D12
74864  
74865 tmr7
74866 .
74867 b\99
74868 .
74869 _D12
74870
74871
74872         )
74873
74874 11957 \ 1
74875         #TMR7_D11
74876  
74877 tmr7
74878 .
74879 b\99
74880 .
74881 _D11
74882
74883
74884         )
74885
74886 11958 \ 1
74887         #TMR7_D10
74888  
74889 tmr7
74890 .
74891 b\99
74892 .
74893 _D10
74894
74895
74896         )
74897
74898 11959 \ 1
74899         #TMR7_D9
74900  
74901 tmr7
74902 .
74903 b\99
74904 .
74905 _D9
74906
74907
74908         )
74909
74910 11960 \ 1
74911         #TMR7_D8
74912  
74913 tmr7
74914 .
74915 b\99
74916 .
74917 _D8
74918
74919
74920         )
74921
74922 11961 \ 1
74923         #TMR7_D7
74924  
74925 tmr7
74926 .
74927 b\99
74928 .
74929 _D7
74930
74931
74932         )
74933
74934 11962 \ 1
74935         #TMR7_D6
74936  
74937 tmr7
74938 .
74939 b\99
74940 .
74941 _D6
74942
74943
74944         )
74945
74946 11963 \ 1
74947         #TMR7_D5
74948  
74949 tmr7
74950 .
74951 b\99
74952 .
74953 _D5
74954
74955
74956         )
74957
74958 11964 \ 1
74959         #TMR7_D4
74960  
74961 tmr7
74962 .
74963 b\99
74964 .
74965 _D4
74966
74967
74968         )
74969
74970 11965 \ 1
74971         #TMR7_D3
74972  
74973 tmr7
74974 .
74975 b\99
74976 .
74977 _D3
74978
74979
74980         )
74981
74982 11966 \ 1
74983         #TMR7_D2
74984  
74985 tmr7
74986 .
74987 b\99
74988 .
74989 _D2
74990
74991
74992         )
74993
74994 11967 \ 1
74995         #TMR7_D1
74996  
74997 tmr7
74998 .
74999 b\99
75000 .
75001 _D1
75002
75003
75004         )
75005
75006 11968 \ 1
75007         #TMR7_D0
75008  
75009 tmr7
75010 .
75011 b\99
75012 .
75013 _D0
75014
75015
75016         )
75017
75018 11969 
75019 __IO_EXTERN
75020  
75021 TMCSR7STR
75022  
75023         gtmc¤7
75024 ;
75025
75026 11970 \ 1
75027         #TMCSR7
75028  
75029 tmc¤7
75030 .
75031 wÜd
75032
75033
75034         )
75035
75036 11971 \ 1
75037         #TMCSR7_CSL2
75038  
75039 tmc¤7
75040 .
75041 b\99
75042 .
75043 _CSL2
75044
75045
75046         )
75047
75048 11972 \ 1
75049         #TMCSR7_CSL1
75050  
75051 tmc¤7
75052 .
75053 b\99
75054 .
75055 _CSL1
75056
75057
75058         )
75059
75060 11973 \ 1
75061         #TMCSR7_CSL0
75062  
75063 tmc¤7
75064 .
75065 b\99
75066 .
75067 _CSL0
75068
75069
75070         )
75071
75072 11974 \ 1
75073         #TMCSR7_MOD2
75074  
75075 tmc¤7
75076 .
75077 b\99
75078 .
75079 _MOD2
75080
75081
75082         )
75083
75084 11975 \ 1
75085         #TMCSR7_MOD1
75086  
75087 tmc¤7
75088 .
75089 b\99
75090 .
75091 _MOD1
75092
75093
75094         )
75095
75096 11976 \ 1
75097         #TMCSR7_MOD0
75098  
75099 tmc¤7
75100 .
75101 b\99
75102 .
75103 _MOD0
75104
75105
75106         )
75107
75108 11977 \ 1
75109         #TMCSR7_OULT
75110  
75111 tmc¤7
75112 .
75113 b\99
75114 .
75115 _OULT
75116
75117
75118         )
75119
75120 11978 \ 1
75121         #TMCSR7_RELD
75122  
75123 tmc¤7
75124 .
75125 b\99
75126 .
75127 _RELD
75128
75129
75130         )
75131
75132 11979 \ 1
75133         #TMCSR7_INTE
75134  
75135 tmc¤7
75136 .
75137 b\99
75138 .
75139 _INTE
75140
75141
75142         )
75143
75144 11980 \ 1
75145         #TMCSR7_UF
75146  
75147 tmc¤7
75148 .
75149 b\99
75150 .
75151 _UF
75152
75153
75154         )
75155
75156 11981 \ 1
75157         #TMCSR7_CNTE
75158  
75159 tmc¤7
75160 .
75161 b\99
75162 .
75163 _CNTE
75164
75165
75166         )
75167
75168 11982 \ 1
75169         #TMCSR7_TRG
75170  
75171 tmc¤7
75172 .
75173 b\99
75174 .
75175 _TRG
75176
75177
75178         )
75179
75180 11983 \ 1
75181         #TMCSR7_CSL
75182  
75183 tmc¤7
75184 .
75185 b\99c
75186 .
75187 _CSL
75188
75189
75190         )
75191
75192 11984 \ 1
75193         #TMCSR7_MOD
75194  
75195 tmc¤7
75196 .
75197 b\99c
75198 .
75199 _MOD
75200
75201
75202         )
75203
75204 11985 
75205 __IO_EXTERN
75206  
75207 TMCSRH7STR
75208  
75209         gtmc¤h7
75210 ;
75211
75212 11986 \ 1
75213         #TMCSRH7
75214  
75215 tmc¤h7
75216 .
75217 by\8b
75218
75219
75220         )
75221
75222 11987 \ 1
75223         #TMCSRH7_CSL2
75224  
75225 tmc¤h7
75226 .
75227 b\99
75228 .
75229 _CSL2
75230
75231
75232         )
75233
75234 11988 \ 1
75235         #TMCSRH7_CSL1
75236  
75237 tmc¤h7
75238 .
75239 b\99
75240 .
75241 _CSL1
75242
75243
75244         )
75245
75246 11989 \ 1
75247         #TMCSRH7_CSL0
75248  
75249 tmc¤h7
75250 .
75251 b\99
75252 .
75253 _CSL0
75254
75255
75256         )
75257
75258 11990 \ 1
75259         #TMCSRH7_MOD2
75260  
75261 tmc¤h7
75262 .
75263 b\99
75264 .
75265 _MOD2
75266
75267
75268         )
75269
75270 11991 \ 1
75271         #TMCSRH7_MOD1
75272  
75273 tmc¤h7
75274 .
75275 b\99
75276 .
75277 _MOD1
75278
75279
75280         )
75281
75282 11992 \ 1
75283         #TMCSRH7_CSL
75284  
75285 tmc¤h7
75286 .
75287 b\99c
75288 .
75289 _CSL
75290
75291
75292         )
75293
75294 11993 
75295 __IO_EXTERN
75296  
75297 TMCSRL7STR
75298  
75299         gtmc¤l7
75300 ;
75301
75302 11994 \ 1
75303         #TMCSRL7
75304  
75305 tmc¤l7
75306 .
75307 by\8b
75308
75309
75310         )
75311
75312 11995 \ 1
75313         #TMCSRL7_MOD0
75314  
75315 tmc¤l7
75316 .
75317 b\99
75318 .
75319 _MOD0
75320
75321
75322         )
75323
75324 11996 \ 1
75325         #TMCSRL7_OULT
75326  
75327 tmc¤l7
75328 .
75329 b\99
75330 .
75331 _OULT
75332
75333
75334         )
75335
75336 11997 \ 1
75337         #TMCSRL7_RELD
75338  
75339 tmc¤l7
75340 .
75341 b\99
75342 .
75343 _RELD
75344
75345
75346         )
75347
75348 11998 \ 1
75349         #TMCSRL7_INTE
75350  
75351 tmc¤l7
75352 .
75353 b\99
75354 .
75355 _INTE
75356
75357
75358         )
75359
75360 11999 \ 1
75361         #TMCSRL7_UF
75362  
75363 tmc¤l7
75364 .
75365 b\99
75366 .
75367 _UF
75368
75369
75370         )
75371
75372 12000 \ 1
75373         #TMCSRL7_CNTE
75374  
75375 tmc¤l7
75376 .
75377 b\99
75378 .
75379 _CNTE
75380
75381
75382         )
75383
75384 12001 \ 1
75385         #TMCSRL7_TRG
75386  
75387 tmc¤l7
75388 .
75389 b\99
75390 .
75391 _TRG
75392
75393
75394         )
75395
75396 12002 
75397 __IO_EXTERN
75398  
75399 TCDT0STR
75400  
75401         gtcdt0
75402 ;
75403
75404 12003 \ 1
75405         #TCDT0
75406  
75407 tcdt0
75408 .
75409 wÜd
75410
75411
75412         )
75413
75414 12004 \ 1
75415         #TCDT0_T15
75416  
75417 tcdt0
75418 .
75419 b\99
75420 .
75421 _T15
75422
75423
75424         )
75425
75426 12005 \ 1
75427         #TCDT0_T14
75428  
75429 tcdt0
75430 .
75431 b\99
75432 .
75433 _T14
75434
75435
75436         )
75437
75438 12006 \ 1
75439         #TCDT0_T13
75440  
75441 tcdt0
75442 .
75443 b\99
75444 .
75445 _T13
75446
75447
75448         )
75449
75450 12007 \ 1
75451         #TCDT0_T12
75452  
75453 tcdt0
75454 .
75455 b\99
75456 .
75457 _T12
75458
75459
75460         )
75461
75462 12008 \ 1
75463         #TCDT0_T11
75464  
75465 tcdt0
75466 .
75467 b\99
75468 .
75469 _T11
75470
75471
75472         )
75473
75474 12009 \ 1
75475         #TCDT0_T10
75476  
75477 tcdt0
75478 .
75479 b\99
75480 .
75481 _T10
75482
75483
75484         )
75485
75486 12010 \ 1
75487         #TCDT0_T9
75488  
75489 tcdt0
75490 .
75491 b\99
75492 .
75493 _T9
75494
75495
75496         )
75497
75498 12011 \ 1
75499         #TCDT0_T8
75500  
75501 tcdt0
75502 .
75503 b\99
75504 .
75505 _T8
75506
75507
75508         )
75509
75510 12012 \ 1
75511         #TCDT0_T7
75512  
75513 tcdt0
75514 .
75515 b\99
75516 .
75517 _T7
75518
75519
75520         )
75521
75522 12013 \ 1
75523         #TCDT0_T6
75524  
75525 tcdt0
75526 .
75527 b\99
75528 .
75529 _T6
75530
75531
75532         )
75533
75534 12014 \ 1
75535         #TCDT0_T5
75536  
75537 tcdt0
75538 .
75539 b\99
75540 .
75541 _T5
75542
75543
75544         )
75545
75546 12015 \ 1
75547         #TCDT0_T4
75548  
75549 tcdt0
75550 .
75551 b\99
75552 .
75553 _T4
75554
75555
75556         )
75557
75558 12016 \ 1
75559         #TCDT0_T3
75560  
75561 tcdt0
75562 .
75563 b\99
75564 .
75565 _T3
75566
75567
75568         )
75569
75570 12017 \ 1
75571         #TCDT0_T2
75572  
75573 tcdt0
75574 .
75575 b\99
75576 .
75577 _T2
75578
75579
75580         )
75581
75582 12018 \ 1
75583         #TCDT0_T1
75584  
75585 tcdt0
75586 .
75587 b\99
75588 .
75589 _T1
75590
75591
75592         )
75593
75594 12019 \ 1
75595         #TCDT0_T0
75596  
75597 tcdt0
75598 .
75599 b\99
75600 .
75601 _T0
75602
75603
75604         )
75605
75606 12020 
75607 __IO_EXTERN
75608  
75609 TCCS0STR
75610  
75611         gtccs0
75612 ;
75613
75614 12021 \ 1
75615         #TCCS0
75616  
75617 tccs0
75618 .
75619 by\8b
75620
75621
75622         )
75623
75624 12022 \ 1
75625         #TCCS0_ECLK
75626  
75627 tccs0
75628 .
75629 b\99
75630 .
75631 _ECLK
75632
75633
75634         )
75635
75636 12023 \ 1
75637         #TCCS0_IVF
75638  
75639 tccs0
75640 .
75641 b\99
75642 .
75643 _IVF
75644
75645
75646         )
75647
75648 12024 \ 1
75649         #TCCS0_IVFE
75650  
75651 tccs0
75652 .
75653 b\99
75654 .
75655 _IVFE
75656
75657
75658         )
75659
75660 12025 \ 1
75661         #TCCS0_STOP
75662  
75663 tccs0
75664 .
75665 b\99
75666 .
75667 _STOP
75668
75669
75670         )
75671
75672 12026 \ 1
75673         #TCCS0_MODE
75674  
75675 tccs0
75676 .
75677 b\99
75678 .
75679 _MODE
75680
75681
75682         )
75683
75684 12027 \ 1
75685         #TCCS0_CLR
75686  
75687 tccs0
75688 .
75689 b\99
75690 .
75691 _CLR
75692
75693
75694         )
75695
75696 12028 \ 1
75697         #TCCS0_CLK1
75698  
75699 tccs0
75700 .
75701 b\99
75702 .
75703 _CLK1
75704
75705
75706         )
75707
75708 12029 \ 1
75709         #TCCS0_CLK0
75710  
75711 tccs0
75712 .
75713 b\99
75714 .
75715 _CLK0
75716
75717
75718         )
75719
75720 12030 \ 1
75721         #TCCS0_CLK
75722  
75723 tccs0
75724 .
75725 b\99c
75726 .
75727 _CLK
75728
75729
75730         )
75731
75732 12031 
75733 __IO_EXTERN
75734  
75735 TCDT1STR
75736  
75737         gtcdt1
75738 ;
75739
75740 12032 \ 1
75741         #TCDT1
75742  
75743 tcdt1
75744 .
75745 wÜd
75746
75747
75748         )
75749
75750 12033 \ 1
75751         #TCDT1_T15
75752  
75753 tcdt1
75754 .
75755 b\99
75756 .
75757 _T15
75758
75759
75760         )
75761
75762 12034 \ 1
75763         #TCDT1_T14
75764  
75765 tcdt1
75766 .
75767 b\99
75768 .
75769 _T14
75770
75771
75772         )
75773
75774 12035 \ 1
75775         #TCDT1_T13
75776  
75777 tcdt1
75778 .
75779 b\99
75780 .
75781 _T13
75782
75783
75784         )
75785
75786 12036 \ 1
75787         #TCDT1_T12
75788  
75789 tcdt1
75790 .
75791 b\99
75792 .
75793 _T12
75794
75795
75796         )
75797
75798 12037 \ 1
75799         #TCDT1_T11
75800  
75801 tcdt1
75802 .
75803 b\99
75804 .
75805 _T11
75806
75807
75808         )
75809
75810 12038 \ 1
75811         #TCDT1_T10
75812  
75813 tcdt1
75814 .
75815 b\99
75816 .
75817 _T10
75818
75819
75820         )
75821
75822 12039 \ 1
75823         #TCDT1_T9
75824  
75825 tcdt1
75826 .
75827 b\99
75828 .
75829 _T9
75830
75831
75832         )
75833
75834 12040 \ 1
75835         #TCDT1_T8
75836  
75837 tcdt1
75838 .
75839 b\99
75840 .
75841 _T8
75842
75843
75844         )
75845
75846 12041 \ 1
75847         #TCDT1_T7
75848  
75849 tcdt1
75850 .
75851 b\99
75852 .
75853 _T7
75854
75855
75856         )
75857
75858 12042 \ 1
75859         #TCDT1_T6
75860  
75861 tcdt1
75862 .
75863 b\99
75864 .
75865 _T6
75866
75867
75868         )
75869
75870 12043 \ 1
75871         #TCDT1_T5
75872  
75873 tcdt1
75874 .
75875 b\99
75876 .
75877 _T5
75878
75879
75880         )
75881
75882 12044 \ 1
75883         #TCDT1_T4
75884  
75885 tcdt1
75886 .
75887 b\99
75888 .
75889 _T4
75890
75891
75892         )
75893
75894 12045 \ 1
75895         #TCDT1_T3
75896  
75897 tcdt1
75898 .
75899 b\99
75900 .
75901 _T3
75902
75903
75904         )
75905
75906 12046 \ 1
75907         #TCDT1_T2
75908  
75909 tcdt1
75910 .
75911 b\99
75912 .
75913 _T2
75914
75915
75916         )
75917
75918 12047 \ 1
75919         #TCDT1_T1
75920  
75921 tcdt1
75922 .
75923 b\99
75924 .
75925 _T1
75926
75927
75928         )
75929
75930 12048 \ 1
75931         #TCDT1_T0
75932  
75933 tcdt1
75934 .
75935 b\99
75936 .
75937 _T0
75938
75939
75940         )
75941
75942 12049 
75943 __IO_EXTERN
75944  
75945 TCCS1STR
75946  
75947         gtccs1
75948 ;
75949
75950 12050 \ 1
75951         #TCCS1
75952  
75953 tccs1
75954 .
75955 by\8b
75956
75957
75958         )
75959
75960 12051 \ 1
75961         #TCCS1_ECLK
75962  
75963 tccs1
75964 .
75965 b\99
75966 .
75967 _ECLK
75968
75969
75970         )
75971
75972 12052 \ 1
75973         #TCCS1_IVF
75974  
75975 tccs1
75976 .
75977 b\99
75978 .
75979 _IVF
75980
75981
75982         )
75983
75984 12053 \ 1
75985         #TCCS1_IVFE
75986  
75987 tccs1
75988 .
75989 b\99
75990 .
75991 _IVFE
75992
75993
75994         )
75995
75996 12054 \ 1
75997         #TCCS1_STOP
75998  
75999 tccs1
76000 .
76001 b\99
76002 .
76003 _STOP
76004
76005
76006         )
76007
76008 12055 \ 1
76009         #TCCS1_MODE
76010  
76011 tccs1
76012 .
76013 b\99
76014 .
76015 _MODE
76016
76017
76018         )
76019
76020 12056 \ 1
76021         #TCCS1_CLR
76022  
76023 tccs1
76024 .
76025 b\99
76026 .
76027 _CLR
76028
76029
76030         )
76031
76032 12057 \ 1
76033         #TCCS1_CLK1
76034  
76035 tccs1
76036 .
76037 b\99
76038 .
76039 _CLK1
76040
76041
76042         )
76043
76044 12058 \ 1
76045         #TCCS1_CLK0
76046  
76047 tccs1
76048 .
76049 b\99
76050 .
76051 _CLK0
76052
76053
76054         )
76055
76056 12059 \ 1
76057         #TCCS1_CLK
76058  
76059 tccs1
76060 .
76061 b\99c
76062 .
76063 _CLK
76064
76065
76066         )
76067
76068 12060 
76069 __IO_EXTERN
76070  
76071 TCDT2STR
76072  
76073         gtcdt2
76074 ;
76075
76076 12061 \ 1
76077         #TCDT2
76078  
76079 tcdt2
76080 .
76081 wÜd
76082
76083
76084         )
76085
76086 12062 \ 1
76087         #TCDT2_T15
76088  
76089 tcdt2
76090 .
76091 b\99
76092 .
76093 _T15
76094
76095
76096         )
76097
76098 12063 \ 1
76099         #TCDT2_T14
76100  
76101 tcdt2
76102 .
76103 b\99
76104 .
76105 _T14
76106
76107
76108         )
76109
76110 12064 \ 1
76111         #TCDT2_T13
76112  
76113 tcdt2
76114 .
76115 b\99
76116 .
76117 _T13
76118
76119
76120         )
76121
76122 12065 \ 1
76123         #TCDT2_T12
76124  
76125 tcdt2
76126 .
76127 b\99
76128 .
76129 _T12
76130
76131
76132         )
76133
76134 12066 \ 1
76135         #TCDT2_T11
76136  
76137 tcdt2
76138 .
76139 b\99
76140 .
76141 _T11
76142
76143
76144         )
76145
76146 12067 \ 1
76147         #TCDT2_T10
76148  
76149 tcdt2
76150 .
76151 b\99
76152 .
76153 _T10
76154
76155
76156         )
76157
76158 12068 \ 1
76159         #TCDT2_T9
76160  
76161 tcdt2
76162 .
76163 b\99
76164 .
76165 _T9
76166
76167
76168         )
76169
76170 12069 \ 1
76171         #TCDT2_T8
76172  
76173 tcdt2
76174 .
76175 b\99
76176 .
76177 _T8
76178
76179
76180         )
76181
76182 12070 \ 1
76183         #TCDT2_T7
76184  
76185 tcdt2
76186 .
76187 b\99
76188 .
76189 _T7
76190
76191
76192         )
76193
76194 12071 \ 1
76195         #TCDT2_T6
76196  
76197 tcdt2
76198 .
76199 b\99
76200 .
76201 _T6
76202
76203
76204         )
76205
76206 12072 \ 1
76207         #TCDT2_T5
76208  
76209 tcdt2
76210 .
76211 b\99
76212 .
76213 _T5
76214
76215
76216         )
76217
76218 12073 \ 1
76219         #TCDT2_T4
76220  
76221 tcdt2
76222 .
76223 b\99
76224 .
76225 _T4
76226
76227
76228         )
76229
76230 12074 \ 1
76231         #TCDT2_T3
76232  
76233 tcdt2
76234 .
76235 b\99
76236 .
76237 _T3
76238
76239
76240         )
76241
76242 12075 \ 1
76243         #TCDT2_T2
76244  
76245 tcdt2
76246 .
76247 b\99
76248 .
76249 _T2
76250
76251
76252         )
76253
76254 12076 \ 1
76255         #TCDT2_T1
76256  
76257 tcdt2
76258 .
76259 b\99
76260 .
76261 _T1
76262
76263
76264         )
76265
76266 12077 \ 1
76267         #TCDT2_T0
76268  
76269 tcdt2
76270 .
76271 b\99
76272 .
76273 _T0
76274
76275
76276         )
76277
76278 12078 
76279 __IO_EXTERN
76280  
76281 TCCS2STR
76282  
76283         gtccs2
76284 ;
76285
76286 12079 \ 1
76287         #TCCS2
76288  
76289 tccs2
76290 .
76291 by\8b
76292
76293
76294         )
76295
76296 12080 \ 1
76297         #TCCS2_ECLK
76298  
76299 tccs2
76300 .
76301 b\99
76302 .
76303 _ECLK
76304
76305
76306         )
76307
76308 12081 \ 1
76309         #TCCS2_IVF
76310  
76311 tccs2
76312 .
76313 b\99
76314 .
76315 _IVF
76316
76317
76318         )
76319
76320 12082 \ 1
76321         #TCCS2_IVFE
76322  
76323 tccs2
76324 .
76325 b\99
76326 .
76327 _IVFE
76328
76329
76330         )
76331
76332 12083 \ 1
76333         #TCCS2_STOP
76334  
76335 tccs2
76336 .
76337 b\99
76338 .
76339 _STOP
76340
76341
76342         )
76343
76344 12084 \ 1
76345         #TCCS2_MODE
76346  
76347 tccs2
76348 .
76349 b\99
76350 .
76351 _MODE
76352
76353
76354         )
76355
76356 12085 \ 1
76357         #TCCS2_CLR
76358  
76359 tccs2
76360 .
76361 b\99
76362 .
76363 _CLR
76364
76365
76366         )
76367
76368 12086 \ 1
76369         #TCCS2_CLK1
76370  
76371 tccs2
76372 .
76373 b\99
76374 .
76375 _CLK1
76376
76377
76378         )
76379
76380 12087 \ 1
76381         #TCCS2_CLK0
76382  
76383 tccs2
76384 .
76385 b\99
76386 .
76387 _CLK0
76388
76389
76390         )
76391
76392 12088 \ 1
76393         #TCCS2_CLK
76394  
76395 tccs2
76396 .
76397 b\99c
76398 .
76399 _CLK
76400
76401
76402         )
76403
76404 12089 
76405 __IO_EXTERN
76406  
76407 TCDT3STR
76408  
76409         gtcdt3
76410 ;
76411
76412 12090 \ 1
76413         #TCDT3
76414  
76415 tcdt3
76416 .
76417 wÜd
76418
76419
76420         )
76421
76422 12091 \ 1
76423         #TCDT3_T15
76424  
76425 tcdt3
76426 .
76427 b\99
76428 .
76429 _T15
76430
76431
76432         )
76433
76434 12092 \ 1
76435         #TCDT3_T14
76436  
76437 tcdt3
76438 .
76439 b\99
76440 .
76441 _T14
76442
76443
76444         )
76445
76446 12093 \ 1
76447         #TCDT3_T13
76448  
76449 tcdt3
76450 .
76451 b\99
76452 .
76453 _T13
76454
76455
76456         )
76457
76458 12094 \ 1
76459         #TCDT3_T12
76460  
76461 tcdt3
76462 .
76463 b\99
76464 .
76465 _T12
76466
76467
76468         )
76469
76470 12095 \ 1
76471         #TCDT3_T11
76472  
76473 tcdt3
76474 .
76475 b\99
76476 .
76477 _T11
76478
76479
76480         )
76481
76482 12096 \ 1
76483         #TCDT3_T10
76484  
76485 tcdt3
76486 .
76487 b\99
76488 .
76489 _T10
76490
76491
76492         )
76493
76494 12097 \ 1
76495         #TCDT3_T9
76496  
76497 tcdt3
76498 .
76499 b\99
76500 .
76501 _T9
76502
76503
76504         )
76505
76506 12098 \ 1
76507         #TCDT3_T8
76508  
76509 tcdt3
76510 .
76511 b\99
76512 .
76513 _T8
76514
76515
76516         )
76517
76518 12099 \ 1
76519         #TCDT3_T7
76520  
76521 tcdt3
76522 .
76523 b\99
76524 .
76525 _T7
76526
76527
76528         )
76529
76530 12100 \ 1
76531         #TCDT3_T6
76532  
76533 tcdt3
76534 .
76535 b\99
76536 .
76537 _T6
76538
76539
76540         )
76541
76542 12101 \ 1
76543         #TCDT3_T5
76544  
76545 tcdt3
76546 .
76547 b\99
76548 .
76549 _T5
76550
76551
76552         )
76553
76554 12102 \ 1
76555         #TCDT3_T4
76556  
76557 tcdt3
76558 .
76559 b\99
76560 .
76561 _T4
76562
76563
76564         )
76565
76566 12103 \ 1
76567         #TCDT3_T3
76568  
76569 tcdt3
76570 .
76571 b\99
76572 .
76573 _T3
76574
76575
76576         )
76577
76578 12104 \ 1
76579         #TCDT3_T2
76580  
76581 tcdt3
76582 .
76583 b\99
76584 .
76585 _T2
76586
76587
76588         )
76589
76590 12105 \ 1
76591         #TCDT3_T1
76592  
76593 tcdt3
76594 .
76595 b\99
76596 .
76597 _T1
76598
76599
76600         )
76601
76602 12106 \ 1
76603         #TCDT3_T0
76604  
76605 tcdt3
76606 .
76607 b\99
76608 .
76609 _T0
76610
76611
76612         )
76613
76614 12107 
76615 __IO_EXTERN
76616  
76617 TCCS3STR
76618  
76619         gtccs3
76620 ;
76621
76622 12108 \ 1
76623         #TCCS3
76624  
76625 tccs3
76626 .
76627 by\8b
76628
76629
76630         )
76631
76632 12109 \ 1
76633         #TCCS3_ECLK
76634  
76635 tccs3
76636 .
76637 b\99
76638 .
76639 _ECLK
76640
76641
76642         )
76643
76644 12110 \ 1
76645         #TCCS3_IVF
76646  
76647 tccs3
76648 .
76649 b\99
76650 .
76651 _IVF
76652
76653
76654         )
76655
76656 12111 \ 1
76657         #TCCS3_IVFE
76658  
76659 tccs3
76660 .
76661 b\99
76662 .
76663 _IVFE
76664
76665
76666         )
76667
76668 12112 \ 1
76669         #TCCS3_STOP
76670  
76671 tccs3
76672 .
76673 b\99
76674 .
76675 _STOP
76676
76677
76678         )
76679
76680 12113 \ 1
76681         #TCCS3_MODE
76682  
76683 tccs3
76684 .
76685 b\99
76686 .
76687 _MODE
76688
76689
76690         )
76691
76692 12114 \ 1
76693         #TCCS3_CLR
76694  
76695 tccs3
76696 .
76697 b\99
76698 .
76699 _CLR
76700
76701
76702         )
76703
76704 12115 \ 1
76705         #TCCS3_CLK1
76706  
76707 tccs3
76708 .
76709 b\99
76710 .
76711 _CLK1
76712
76713
76714         )
76715
76716 12116 \ 1
76717         #TCCS3_CLK0
76718  
76719 tccs3
76720 .
76721 b\99
76722 .
76723 _CLK0
76724
76725
76726         )
76727
76728 12117 \ 1
76729         #TCCS3_CLK
76730  
76731 tccs3
76732 .
76733 b\99c
76734 .
76735 _CLK
76736
76737
76738         )
76739
76740 12118 
76741 __IO_EXTERN
76742  
76743 DMACA0STR
76744  
76745         gdmaÿ0
76746 ;
76747
76748 12119 \ 1
76749         #DMACA0
76750  
76751 dmaÿ0
76752 .
76753 lwÜd
76754
76755
76756         )
76757
76758 12120 \ 1
76759         #DMACA0_DENB
76760  
76761 dmaÿ0
76762 .
76763 b\99
76764 .
76765 _DENB
76766
76767
76768         )
76769
76770 12121 \ 1
76771         #DMACA0_PAUS
76772  
76773 dmaÿ0
76774 .
76775 b\99
76776 .
76777 _PAUS
76778
76779
76780         )
76781
76782 12122 \ 1
76783         #DMACA0_STRG
76784  
76785 dmaÿ0
76786 .
76787 b\99
76788 .
76789 _STRG
76790
76791
76792         )
76793
76794 12123 \ 1
76795         #DMACA0_IS4
76796  
76797 dmaÿ0
76798 .
76799 b\99
76800 .
76801 _IS4
76802
76803
76804         )
76805
76806 12124 \ 1
76807         #DMACA0_IS3
76808  
76809 dmaÿ0
76810 .
76811 b\99
76812 .
76813 _IS3
76814
76815
76816         )
76817
76818 12125 \ 1
76819         #DMACA0_IS2
76820  
76821 dmaÿ0
76822 .
76823 b\99
76824 .
76825 _IS2
76826
76827
76828         )
76829
76830 12126 \ 1
76831         #DMACA0_IS1
76832  
76833 dmaÿ0
76834 .
76835 b\99
76836 .
76837 _IS1
76838
76839
76840         )
76841
76842 12127 \ 1
76843         #DMACA0_IS0
76844  
76845 dmaÿ0
76846 .
76847 b\99
76848 .
76849 _IS0
76850
76851
76852         )
76853
76854 12128 \ 1
76855         #DMACA0_EIS3
76856  
76857 dmaÿ0
76858 .
76859 b\99
76860 .
76861 _EIS3
76862
76863
76864         )
76865
76866 12129 \ 1
76867         #DMACA0_EIS2
76868  
76869 dmaÿ0
76870 .
76871 b\99
76872 .
76873 _EIS2
76874
76875
76876         )
76877
76878 12130 \ 1
76879         #DMACA0_EIS1
76880  
76881 dmaÿ0
76882 .
76883 b\99
76884 .
76885 _EIS1
76886
76887
76888         )
76889
76890 12131 \ 1
76891         #DMACA0_EIS0
76892  
76893 dmaÿ0
76894 .
76895 b\99
76896 .
76897 _EIS0
76898
76899
76900         )
76901
76902 12132 \ 1
76903         #DMACA0_BLK3
76904  
76905 dmaÿ0
76906 .
76907 b\99
76908 .
76909 _BLK3
76910
76911
76912         )
76913
76914 12133 \ 1
76915         #DMACA0_BLK2
76916  
76917 dmaÿ0
76918 .
76919 b\99
76920 .
76921 _BLK2
76922
76923
76924         )
76925
76926 12134 \ 1
76927         #DMACA0_BLK1
76928  
76929 dmaÿ0
76930 .
76931 b\99
76932 .
76933 _BLK1
76934
76935
76936         )
76937
76938 12135 \ 1
76939         #DMACA0_BLK0
76940  
76941 dmaÿ0
76942 .
76943 b\99
76944 .
76945 _BLK0
76946
76947
76948         )
76949
76950 12136 \ 1
76951         #DMACA0_DTCF
76952  
76953 dmaÿ0
76954 .
76955 b\99
76956 .
76957 _DTCF
76958
76959
76960         )
76961
76962 12137 \ 1
76963         #DMACA0_DTCE
76964  
76965 dmaÿ0
76966 .
76967 b\99
76968 .
76969 _DTCE
76970
76971
76972         )
76973
76974 12138 \ 1
76975         #DMACA0_DTCD
76976  
76977 dmaÿ0
76978 .
76979 b\99
76980 .
76981 _DTCD
76982
76983
76984         )
76985
76986 12139 \ 1
76987         #DMACA0_DTCC
76988  
76989 dmaÿ0
76990 .
76991 b\99
76992 .
76993 _DTCC
76994
76995
76996         )
76997
76998 12140 \ 1
76999         #DMACA0_DTCB
77000  
77001 dmaÿ0
77002 .
77003 b\99
77004 .
77005 _DTCB
77006
77007
77008         )
77009
77010 12141 \ 1
77011         #DMACA0_DTCA
77012  
77013 dmaÿ0
77014 .
77015 b\99
77016 .
77017 _DTCA
77018
77019
77020         )
77021
77022 12142 \ 1
77023         #DMACA0_DTC9
77024  
77025 dmaÿ0
77026 .
77027 b\99
77028 .
77029 _DTC9
77030
77031
77032         )
77033
77034 12143 \ 1
77035         #DMACA0_DTC8
77036  
77037 dmaÿ0
77038 .
77039 b\99
77040 .
77041 _DTC8
77042
77043
77044         )
77045
77046 12144 \ 1
77047         #DMACA0_DTC7
77048  
77049 dmaÿ0
77050 .
77051 b\99
77052 .
77053 _DTC7
77054
77055
77056         )
77057
77058 12145 \ 1
77059         #DMACA0_DTC6
77060  
77061 dmaÿ0
77062 .
77063 b\99
77064 .
77065 _DTC6
77066
77067
77068         )
77069
77070 12146 \ 1
77071         #DMACA0_DTC5
77072  
77073 dmaÿ0
77074 .
77075 b\99
77076 .
77077 _DTC5
77078
77079
77080         )
77081
77082 12147 \ 1
77083         #DMACA0_DTC4
77084  
77085 dmaÿ0
77086 .
77087 b\99
77088 .
77089 _DTC4
77090
77091
77092         )
77093
77094 12148 \ 1
77095         #DMACA0_DTC3
77096  
77097 dmaÿ0
77098 .
77099 b\99
77100 .
77101 _DTC3
77102
77103
77104         )
77105
77106 12149 \ 1
77107         #DMACA0_DTC2
77108  
77109 dmaÿ0
77110 .
77111 b\99
77112 .
77113 _DTC2
77114
77115
77116         )
77117
77118 12150 \ 1
77119         #DMACA0_DTC1
77120  
77121 dmaÿ0
77122 .
77123 b\99
77124 .
77125 _DTC1
77126
77127
77128         )
77129
77130 12151 \ 1
77131         #DMACA0_DTC0
77132  
77133 dmaÿ0
77134 .
77135 b\99
77136 .
77137 _DTC0
77138
77139
77140         )
77141
77142 12152 \ 1
77143         #DMACA0_IS
77144  
77145 dmaÿ0
77146 .
77147 b\99c
77148 .
77149 _IS
77150
77151
77152         )
77153
77154 12153 \ 1
77155         #DMACA0_EIS
77156  
77157 dmaÿ0
77158 .
77159 b\99c
77160 .
77161 _EIS
77162
77163
77164         )
77165
77166 12154 \ 1
77167         #DMACA0_BLK
77168  
77169 dmaÿ0
77170 .
77171 b\99c
77172 .
77173 _BLK
77174
77175
77176         )
77177
77178 12155 \ 1
77179         #DMACA0_DTC
77180  
77181 dmaÿ0
77182 .
77183 b\99c
77184 .
77185 _DTC
77186
77187
77188         )
77189
77190 12156 
77191 __IO_EXTERN
77192  
77193 DMACB0STR
77194  
77195         gdmacb0
77196 ;
77197
77198 12157 \ 1
77199         #DMACB0
77200  
77201 dmacb0
77202 .
77203 lwÜd
77204
77205
77206         )
77207
77208 12158 \ 1
77209         #DMACB0_TYPE1
77210  
77211 dmacb0
77212 .
77213 b\99
77214 .
77215 _TYPE1
77216
77217
77218         )
77219
77220 12159 \ 1
77221         #DMACB0_TYPE0
77222  
77223 dmacb0
77224 .
77225 b\99
77226 .
77227 _TYPE0
77228
77229
77230         )
77231
77232 12160 \ 1
77233         #DMACB0_MOD1
77234  
77235 dmacb0
77236 .
77237 b\99
77238 .
77239 _MOD1
77240
77241
77242         )
77243
77244 12161 \ 1
77245         #DMACB0_MOD0
77246  
77247 dmacb0
77248 .
77249 b\99
77250 .
77251 _MOD0
77252
77253
77254         )
77255
77256 12162 \ 1
77257         #DMACB0_WS1
77258  
77259 dmacb0
77260 .
77261 b\99
77262 .
77263 _WS1
77264
77265
77266         )
77267
77268 12163 \ 1
77269         #DMACB0_WS0
77270  
77271 dmacb0
77272 .
77273 b\99
77274 .
77275 _WS0
77276
77277
77278         )
77279
77280 12164 \ 1
77281         #DMACB0_SADM
77282  
77283 dmacb0
77284 .
77285 b\99
77286 .
77287 _SADM
77288
77289
77290         )
77291
77292 12165 \ 1
77293         #DMACB0_DADM
77294  
77295 dmacb0
77296 .
77297 b\99
77298 .
77299 _DADM
77300
77301
77302         )
77303
77304 12166 \ 1
77305         #DMACB0_DTCR
77306  
77307 dmacb0
77308 .
77309 b\99
77310 .
77311 _DTCR
77312
77313
77314         )
77315
77316 12167 \ 1
77317         #DMACB0_SADR
77318  
77319 dmacb0
77320 .
77321 b\99
77322 .
77323 _SADR
77324
77325
77326         )
77327
77328 12168 \ 1
77329         #DMACB0_DADR
77330  
77331 dmacb0
77332 .
77333 b\99
77334 .
77335 _DADR
77336
77337
77338         )
77339
77340 12169 \ 1
77341         #DMACB0_ERIE
77342  
77343 dmacb0
77344 .
77345 b\99
77346 .
77347 _ERIE
77348
77349
77350         )
77351
77352 12170 \ 1
77353         #DMACB0_EDIE
77354  
77355 dmacb0
77356 .
77357 b\99
77358 .
77359 _EDIE
77360
77361
77362         )
77363
77364 12171 \ 1
77365         #DMACB0_DSS2
77366  
77367 dmacb0
77368 .
77369 b\99
77370 .
77371 _DSS2
77372
77373
77374         )
77375
77376 12172 \ 1
77377         #DMACB0_DSS1
77378  
77379 dmacb0
77380 .
77381 b\99
77382 .
77383 _DSS1
77384
77385
77386         )
77387
77388 12173 \ 1
77389         #DMACB0_DSS0
77390  
77391 dmacb0
77392 .
77393 b\99
77394 .
77395 _DSS0
77396
77397
77398         )
77399
77400 12174 \ 1
77401         #DMACB0_SASZ7
77402  
77403 dmacb0
77404 .
77405 b\99
77406 .
77407 _SASZ7
77408
77409
77410         )
77411
77412 12175 \ 1
77413         #DMACB0_SASZ6
77414  
77415 dmacb0
77416 .
77417 b\99
77418 .
77419 _SASZ6
77420
77421
77422         )
77423
77424 12176 \ 1
77425         #DMACB0_SASZ5
77426  
77427 dmacb0
77428 .
77429 b\99
77430 .
77431 _SASZ5
77432
77433
77434         )
77435
77436 12177 \ 1
77437         #DMACB0_SASZ4
77438  
77439 dmacb0
77440 .
77441 b\99
77442 .
77443 _SASZ4
77444
77445
77446         )
77447
77448 12178 \ 1
77449         #DMACB0_SASZ3
77450  
77451 dmacb0
77452 .
77453 b\99
77454 .
77455 _SASZ3
77456
77457
77458         )
77459
77460 12179 \ 1
77461         #DMACB0_SASZ2
77462  
77463 dmacb0
77464 .
77465 b\99
77466 .
77467 _SASZ2
77468
77469
77470         )
77471
77472 12180 \ 1
77473         #DMACB0_SASZ1
77474  
77475 dmacb0
77476 .
77477 b\99
77478 .
77479 _SASZ1
77480
77481
77482         )
77483
77484 12181 \ 1
77485         #DMACB0_SASZ0
77486  
77487 dmacb0
77488 .
77489 b\99
77490 .
77491 _SASZ0
77492
77493
77494         )
77495
77496 12182 \ 1
77497         #DMACB0_DASZ7
77498  
77499 dmacb0
77500 .
77501 b\99
77502 .
77503 _DASZ7
77504
77505
77506         )
77507
77508 12183 \ 1
77509         #DMACB0_DASZ6
77510  
77511 dmacb0
77512 .
77513 b\99
77514 .
77515 _DASZ6
77516
77517
77518         )
77519
77520 12184 \ 1
77521         #DMACB0_DASZ5
77522  
77523 dmacb0
77524 .
77525 b\99
77526 .
77527 _DASZ5
77528
77529
77530         )
77531
77532 12185 \ 1
77533         #DMACB0_DASZ4
77534  
77535 dmacb0
77536 .
77537 b\99
77538 .
77539 _DASZ4
77540
77541
77542         )
77543
77544 12186 \ 1
77545         #DMACB0_DASZ3
77546  
77547 dmacb0
77548 .
77549 b\99
77550 .
77551 _DASZ3
77552
77553
77554         )
77555
77556 12187 \ 1
77557         #DMACB0_DASZ2
77558  
77559 dmacb0
77560 .
77561 b\99
77562 .
77563 _DASZ2
77564
77565
77566         )
77567
77568 12188 \ 1
77569         #DMACB0_DASZ1
77570  
77571 dmacb0
77572 .
77573 b\99
77574 .
77575 _DASZ1
77576
77577
77578         )
77579
77580 12189 \ 1
77581         #DMACB0_DASZ0
77582  
77583 dmacb0
77584 .
77585 b\99
77586 .
77587 _DASZ0
77588
77589
77590         )
77591
77592 12190 \ 1
77593         #DMACB0_TYPE
77594  
77595 dmacb0
77596 .
77597 b\99c
77598 .
77599 _TYPE
77600
77601
77602         )
77603
77604 12191 \ 1
77605         #DMACB0_MOD
77606  
77607 dmacb0
77608 .
77609 b\99c
77610 .
77611 _MOD
77612
77613
77614         )
77615
77616 12192 \ 1
77617         #DMACB0_WS
77618  
77619 dmacb0
77620 .
77621 b\99c
77622 .
77623 _WS
77624
77625
77626         )
77627
77628 12193 \ 1
77629         #DMACB0_DSS
77630  
77631 dmacb0
77632 .
77633 b\99c
77634 .
77635 _DSS
77636
77637
77638         )
77639
77640 12194 \ 1
77641         #DMACB0_SASZ
77642  
77643 dmacb0
77644 .
77645 b\99c
77646 .
77647 _SASZ
77648
77649
77650         )
77651
77652 12195 \ 1
77653         #DMACB0_DASZ
77654  
77655 dmacb0
77656 .
77657 b\99c
77658 .
77659 _DASZ
77660
77661
77662         )
77663
77664 12196 
77665 __IO_EXTERN
77666  
77667 DMACA1STR
77668  
77669         gdmaÿ1
77670 ;
77671
77672 12197 \ 1
77673         #DMACA1
77674  
77675 dmaÿ1
77676 .
77677 lwÜd
77678
77679
77680         )
77681
77682 12198 \ 1
77683         #DMACA1_DENB
77684  
77685 dmaÿ1
77686 .
77687 b\99
77688 .
77689 _DENB
77690
77691
77692         )
77693
77694 12199 \ 1
77695         #DMACA1_PAUS
77696  
77697 dmaÿ1
77698 .
77699 b\99
77700 .
77701 _PAUS
77702
77703
77704         )
77705
77706 12200 \ 1
77707         #DMACA1_STRG
77708  
77709 dmaÿ1
77710 .
77711 b\99
77712 .
77713 _STRG
77714
77715
77716         )
77717
77718 12201 \ 1
77719         #DMACA1_IS4
77720  
77721 dmaÿ1
77722 .
77723 b\99
77724 .
77725 _IS4
77726
77727
77728         )
77729
77730 12202 \ 1
77731         #DMACA1_IS3
77732  
77733 dmaÿ1
77734 .
77735 b\99
77736 .
77737 _IS3
77738
77739
77740         )
77741
77742 12203 \ 1
77743         #DMACA1_IS2
77744  
77745 dmaÿ1
77746 .
77747 b\99
77748 .
77749 _IS2
77750
77751
77752         )
77753
77754 12204 \ 1
77755         #DMACA1_IS1
77756  
77757 dmaÿ1
77758 .
77759 b\99
77760 .
77761 _IS1
77762
77763
77764         )
77765
77766 12205 \ 1
77767         #DMACA1_IS0
77768  
77769 dmaÿ1
77770 .
77771 b\99
77772 .
77773 _IS0
77774
77775
77776         )
77777
77778 12206 \ 1
77779         #DMACA1_EIS3
77780  
77781 dmaÿ1
77782 .
77783 b\99
77784 .
77785 _EIS3
77786
77787
77788         )
77789
77790 12207 \ 1
77791         #DMACA1_EIS2
77792  
77793 dmaÿ1
77794 .
77795 b\99
77796 .
77797 _EIS2
77798
77799
77800         )
77801
77802 12208 \ 1
77803         #DMACA1_EIS1
77804  
77805 dmaÿ1
77806 .
77807 b\99
77808 .
77809 _EIS1
77810
77811
77812         )
77813
77814 12209 \ 1
77815         #DMACA1_EIS0
77816  
77817 dmaÿ1
77818 .
77819 b\99
77820 .
77821 _EIS0
77822
77823
77824         )
77825
77826 12210 \ 1
77827         #DMACA1_BLK3
77828  
77829 dmaÿ1
77830 .
77831 b\99
77832 .
77833 _BLK3
77834
77835
77836         )
77837
77838 12211 \ 1
77839         #DMACA1_BLK2
77840  
77841 dmaÿ1
77842 .
77843 b\99
77844 .
77845 _BLK2
77846
77847
77848         )
77849
77850 12212 \ 1
77851         #DMACA1_BLK1
77852  
77853 dmaÿ1
77854 .
77855 b\99
77856 .
77857 _BLK1
77858
77859
77860         )
77861
77862 12213 \ 1
77863         #DMACA1_BLK0
77864  
77865 dmaÿ1
77866 .
77867 b\99
77868 .
77869 _BLK0
77870
77871
77872         )
77873
77874 12214 \ 1
77875         #DMACA1_DTCF
77876  
77877 dmaÿ1
77878 .
77879 b\99
77880 .
77881 _DTCF
77882
77883
77884         )
77885
77886 12215 \ 1
77887         #DMACA1_DTCE
77888  
77889 dmaÿ1
77890 .
77891 b\99
77892 .
77893 _DTCE
77894
77895
77896         )
77897
77898 12216 \ 1
77899         #DMACA1_DTCD
77900  
77901 dmaÿ1
77902 .
77903 b\99
77904 .
77905 _DTCD
77906
77907
77908         )
77909
77910 12217 \ 1
77911         #DMACA1_DTCC
77912  
77913 dmaÿ1
77914 .
77915 b\99
77916 .
77917 _DTCC
77918
77919
77920         )
77921
77922 12218 \ 1
77923         #DMACA1_DTCB
77924  
77925 dmaÿ1
77926 .
77927 b\99
77928 .
77929 _DTCB
77930
77931
77932         )
77933
77934 12219 \ 1
77935         #DMACA1_DTCA
77936  
77937 dmaÿ1
77938 .
77939 b\99
77940 .
77941 _DTCA
77942
77943
77944         )
77945
77946 12220 \ 1
77947         #DMACA1_DTC9
77948  
77949 dmaÿ1
77950 .
77951 b\99
77952 .
77953 _DTC9
77954
77955
77956         )
77957
77958 12221 \ 1
77959         #DMACA1_DTC8
77960  
77961 dmaÿ1
77962 .
77963 b\99
77964 .
77965 _DTC8
77966
77967
77968         )
77969
77970 12222 \ 1
77971         #DMACA1_DTC7
77972  
77973 dmaÿ1
77974 .
77975 b\99
77976 .
77977 _DTC7
77978
77979
77980         )
77981
77982 12223 \ 1
77983         #DMACA1_DTC6
77984  
77985 dmaÿ1
77986 .
77987 b\99
77988 .
77989 _DTC6
77990
77991
77992         )
77993
77994 12224 \ 1
77995         #DMACA1_DTC5
77996  
77997 dmaÿ1
77998 .
77999 b\99
78000 .
78001 _DTC5
78002
78003
78004         )
78005
78006 12225 \ 1
78007         #DMACA1_DTC4
78008  
78009 dmaÿ1
78010 .
78011 b\99
78012 .
78013 _DTC4
78014
78015
78016         )
78017
78018 12226 \ 1
78019         #DMACA1_DTC3
78020  
78021 dmaÿ1
78022 .
78023 b\99
78024 .
78025 _DTC3
78026
78027
78028         )
78029
78030 12227 \ 1
78031         #DMACA1_DTC2
78032  
78033 dmaÿ1
78034 .
78035 b\99
78036 .
78037 _DTC2
78038
78039
78040         )
78041
78042 12228 \ 1
78043         #DMACA1_DTC1
78044  
78045 dmaÿ1
78046 .
78047 b\99
78048 .
78049 _DTC1
78050
78051
78052         )
78053
78054 12229 \ 1
78055         #DMACA1_DTC0
78056  
78057 dmaÿ1
78058 .
78059 b\99
78060 .
78061 _DTC0
78062
78063
78064         )
78065
78066 12230 \ 1
78067         #DMACA1_IS
78068  
78069 dmaÿ1
78070 .
78071 b\99c
78072 .
78073 _IS
78074
78075
78076         )
78077
78078 12231 \ 1
78079         #DMACA1_EIS
78080  
78081 dmaÿ1
78082 .
78083 b\99c
78084 .
78085 _EIS
78086
78087
78088         )
78089
78090 12232 \ 1
78091         #DMACA1_BLK
78092  
78093 dmaÿ1
78094 .
78095 b\99c
78096 .
78097 _BLK
78098
78099
78100         )
78101
78102 12233 \ 1
78103         #DMACA1_DTC
78104  
78105 dmaÿ1
78106 .
78107 b\99c
78108 .
78109 _DTC
78110
78111
78112         )
78113
78114 12234 
78115 __IO_EXTERN
78116  
78117 DMACB1STR
78118  
78119         gdmacb1
78120 ;
78121
78122 12235 \ 1
78123         #DMACB1
78124  
78125 dmacb1
78126 .
78127 lwÜd
78128
78129
78130         )
78131
78132 12236 \ 1
78133         #DMACB1_TYPE1
78134  
78135 dmacb1
78136 .
78137 b\99
78138 .
78139 _TYPE1
78140
78141
78142         )
78143
78144 12237 \ 1
78145         #DMACB1_TYPE0
78146  
78147 dmacb1
78148 .
78149 b\99
78150 .
78151 _TYPE0
78152
78153
78154         )
78155
78156 12238 \ 1
78157         #DMACB1_MOD1
78158  
78159 dmacb1
78160 .
78161 b\99
78162 .
78163 _MOD1
78164
78165
78166         )
78167
78168 12239 \ 1
78169         #DMACB1_MOD0
78170  
78171 dmacb1
78172 .
78173 b\99
78174 .
78175 _MOD0
78176
78177
78178         )
78179
78180 12240 \ 1
78181         #DMACB1_WS1
78182  
78183 dmacb1
78184 .
78185 b\99
78186 .
78187 _WS1
78188
78189
78190         )
78191
78192 12241 \ 1
78193         #DMACB1_WS0
78194  
78195 dmacb1
78196 .
78197 b\99
78198 .
78199 _WS0
78200
78201
78202         )
78203
78204 12242 \ 1
78205         #DMACB1_SADM
78206  
78207 dmacb1
78208 .
78209 b\99
78210 .
78211 _SADM
78212
78213
78214         )
78215
78216 12243 \ 1
78217         #DMACB1_DADM
78218  
78219 dmacb1
78220 .
78221 b\99
78222 .
78223 _DADM
78224
78225
78226         )
78227
78228 12244 \ 1
78229         #DMACB1_DTCR
78230  
78231 dmacb1
78232 .
78233 b\99
78234 .
78235 _DTCR
78236
78237
78238         )
78239
78240 12245 \ 1
78241         #DMACB1_SADR
78242  
78243 dmacb1
78244 .
78245 b\99
78246 .
78247 _SADR
78248
78249
78250         )
78251
78252 12246 \ 1
78253         #DMACB1_DADR
78254  
78255 dmacb1
78256 .
78257 b\99
78258 .
78259 _DADR
78260
78261
78262         )
78263
78264 12247 \ 1
78265         #DMACB1_ERIE
78266  
78267 dmacb1
78268 .
78269 b\99
78270 .
78271 _ERIE
78272
78273
78274         )
78275
78276 12248 \ 1
78277         #DMACB1_EDIE
78278  
78279 dmacb1
78280 .
78281 b\99
78282 .
78283 _EDIE
78284
78285
78286         )
78287
78288 12249 \ 1
78289         #DMACB1_DSS2
78290  
78291 dmacb1
78292 .
78293 b\99
78294 .
78295 _DSS2
78296
78297
78298         )
78299
78300 12250 \ 1
78301         #DMACB1_DSS1
78302  
78303 dmacb1
78304 .
78305 b\99
78306 .
78307 _DSS1
78308
78309
78310         )
78311
78312 12251 \ 1
78313         #DMACB1_DSS0
78314  
78315 dmacb1
78316 .
78317 b\99
78318 .
78319 _DSS0
78320
78321
78322         )
78323
78324 12252 \ 1
78325         #DMACB1_SASZ7
78326  
78327 dmacb1
78328 .
78329 b\99
78330 .
78331 _SASZ7
78332
78333
78334         )
78335
78336 12253 \ 1
78337         #DMACB1_SASZ6
78338  
78339 dmacb1
78340 .
78341 b\99
78342 .
78343 _SASZ6
78344
78345
78346         )
78347
78348 12254 \ 1
78349         #DMACB1_SASZ5
78350  
78351 dmacb1
78352 .
78353 b\99
78354 .
78355 _SASZ5
78356
78357
78358         )
78359
78360 12255 \ 1
78361         #DMACB1_SASZ4
78362  
78363 dmacb1
78364 .
78365 b\99
78366 .
78367 _SASZ4
78368
78369
78370         )
78371
78372 12256 \ 1
78373         #DMACB1_SASZ3
78374  
78375 dmacb1
78376 .
78377 b\99
78378 .
78379 _SASZ3
78380
78381
78382         )
78383
78384 12257 \ 1
78385         #DMACB1_SASZ2
78386  
78387 dmacb1
78388 .
78389 b\99
78390 .
78391 _SASZ2
78392
78393
78394         )
78395
78396 12258 \ 1
78397         #DMACB1_SASZ1
78398  
78399 dmacb1
78400 .
78401 b\99
78402 .
78403 _SASZ1
78404
78405
78406         )
78407
78408 12259 \ 1
78409         #DMACB1_SASZ0
78410  
78411 dmacb1
78412 .
78413 b\99
78414 .
78415 _SASZ0
78416
78417
78418         )
78419
78420 12260 \ 1
78421         #DMACB1_DASZ7
78422  
78423 dmacb1
78424 .
78425 b\99
78426 .
78427 _DASZ7
78428
78429
78430         )
78431
78432 12261 \ 1
78433         #DMACB1_DASZ6
78434  
78435 dmacb1
78436 .
78437 b\99
78438 .
78439 _DASZ6
78440
78441
78442         )
78443
78444 12262 \ 1
78445         #DMACB1_DASZ5
78446  
78447 dmacb1
78448 .
78449 b\99
78450 .
78451 _DASZ5
78452
78453
78454         )
78455
78456 12263 \ 1
78457         #DMACB1_DASZ4
78458  
78459 dmacb1
78460 .
78461 b\99
78462 .
78463 _DASZ4
78464
78465
78466         )
78467
78468 12264 \ 1
78469         #DMACB1_DASZ3
78470  
78471 dmacb1
78472 .
78473 b\99
78474 .
78475 _DASZ3
78476
78477
78478         )
78479
78480 12265 \ 1
78481         #DMACB1_DASZ2
78482  
78483 dmacb1
78484 .
78485 b\99
78486 .
78487 _DASZ2
78488
78489
78490         )
78491
78492 12266 \ 1
78493         #DMACB1_DASZ1
78494  
78495 dmacb1
78496 .
78497 b\99
78498 .
78499 _DASZ1
78500
78501
78502         )
78503
78504 12267 \ 1
78505         #DMACB1_DASZ0
78506  
78507 dmacb1
78508 .
78509 b\99
78510 .
78511 _DASZ0
78512
78513
78514         )
78515
78516 12268 \ 1
78517         #DMACB1_TYPE
78518  
78519 dmacb1
78520 .
78521 b\99c
78522 .
78523 _TYPE
78524
78525
78526         )
78527
78528 12269 \ 1
78529         #DMACB1_MOD
78530  
78531 dmacb1
78532 .
78533 b\99c
78534 .
78535 _MOD
78536
78537
78538         )
78539
78540 12270 \ 1
78541         #DMACB1_WS
78542  
78543 dmacb1
78544 .
78545 b\99c
78546 .
78547 _WS
78548
78549
78550         )
78551
78552 12271 \ 1
78553         #DMACB1_DSS
78554  
78555 dmacb1
78556 .
78557 b\99c
78558 .
78559 _DSS
78560
78561
78562         )
78563
78564 12272 \ 1
78565         #DMACB1_SASZ
78566  
78567 dmacb1
78568 .
78569 b\99c
78570 .
78571 _SASZ
78572
78573
78574         )
78575
78576 12273 \ 1
78577         #DMACB1_DASZ
78578  
78579 dmacb1
78580 .
78581 b\99c
78582 .
78583 _DASZ
78584
78585
78586         )
78587
78588 12274 
78589 __IO_EXTERN
78590  
78591 DMACA2STR
78592  
78593         gdmaÿ2
78594 ;
78595
78596 12275 \ 1
78597         #DMACA2
78598  
78599 dmaÿ2
78600 .
78601 lwÜd
78602
78603
78604         )
78605
78606 12276 \ 1
78607         #DMACA2_DENB
78608  
78609 dmaÿ2
78610 .
78611 b\99
78612 .
78613 _DENB
78614
78615
78616         )
78617
78618 12277 \ 1
78619         #DMACA2_PAUS
78620  
78621 dmaÿ2
78622 .
78623 b\99
78624 .
78625 _PAUS
78626
78627
78628         )
78629
78630 12278 \ 1
78631         #DMACA2_STRG
78632  
78633 dmaÿ2
78634 .
78635 b\99
78636 .
78637 _STRG
78638
78639
78640         )
78641
78642 12279 \ 1
78643         #DMACA2_IS4
78644  
78645 dmaÿ2
78646 .
78647 b\99
78648 .
78649 _IS4
78650
78651
78652         )
78653
78654 12280 \ 1
78655         #DMACA2_IS3
78656  
78657 dmaÿ2
78658 .
78659 b\99
78660 .
78661 _IS3
78662
78663
78664         )
78665
78666 12281 \ 1
78667         #DMACA2_IS2
78668  
78669 dmaÿ2
78670 .
78671 b\99
78672 .
78673 _IS2
78674
78675
78676         )
78677
78678 12282 \ 1
78679         #DMACA2_IS1
78680  
78681 dmaÿ2
78682 .
78683 b\99
78684 .
78685 _IS1
78686
78687
78688         )
78689
78690 12283 \ 1
78691         #DMACA2_IS0
78692  
78693 dmaÿ2
78694 .
78695 b\99
78696 .
78697 _IS0
78698
78699
78700         )
78701
78702 12284 \ 1
78703         #DMACA2_EIS3
78704  
78705 dmaÿ2
78706 .
78707 b\99
78708 .
78709 _EIS3
78710
78711
78712         )
78713
78714 12285 \ 1
78715         #DMACA2_EIS2
78716  
78717 dmaÿ2
78718 .
78719 b\99
78720 .
78721 _EIS2
78722
78723
78724         )
78725
78726 12286 \ 1
78727         #DMACA2_EIS1
78728  
78729 dmaÿ2
78730 .
78731 b\99
78732 .
78733 _EIS1
78734
78735
78736         )
78737
78738 12287 \ 1
78739         #DMACA2_EIS0
78740  
78741 dmaÿ2
78742 .
78743 b\99
78744 .
78745 _EIS0
78746
78747
78748         )
78749
78750 12288 \ 1
78751         #DMACA2_BLK3
78752  
78753 dmaÿ2
78754 .
78755 b\99
78756 .
78757 _BLK3
78758
78759
78760         )
78761
78762 12289 \ 1
78763         #DMACA2_BLK2
78764  
78765 dmaÿ2
78766 .
78767 b\99
78768 .
78769 _BLK2
78770
78771
78772         )
78773
78774 12290 \ 1
78775         #DMACA2_BLK1
78776  
78777 dmaÿ2
78778 .
78779 b\99
78780 .
78781 _BLK1
78782
78783
78784         )
78785
78786 12291 \ 1
78787         #DMACA2_BLK0
78788  
78789 dmaÿ2
78790 .
78791 b\99
78792 .
78793 _BLK0
78794
78795
78796         )
78797
78798 12292 \ 1
78799         #DMACA2_DTCF
78800  
78801 dmaÿ2
78802 .
78803 b\99
78804 .
78805 _DTCF
78806
78807
78808         )
78809
78810 12293 \ 1
78811         #DMACA2_DTCE
78812  
78813 dmaÿ2
78814 .
78815 b\99
78816 .
78817 _DTCE
78818
78819
78820         )
78821
78822 12294 \ 1
78823         #DMACA2_DTCD
78824  
78825 dmaÿ2
78826 .
78827 b\99
78828 .
78829 _DTCD
78830
78831
78832         )
78833
78834 12295 \ 1
78835         #DMACA2_DTCC
78836  
78837 dmaÿ2
78838 .
78839 b\99
78840 .
78841 _DTCC
78842
78843
78844         )
78845
78846 12296 \ 1
78847         #DMACA2_DTCB
78848  
78849 dmaÿ2
78850 .
78851 b\99
78852 .
78853 _DTCB
78854
78855
78856         )
78857
78858 12297 \ 1
78859         #DMACA2_DTCA
78860  
78861 dmaÿ2
78862 .
78863 b\99
78864 .
78865 _DTCA
78866
78867
78868         )
78869
78870 12298 \ 1
78871         #DMACA2_DTC9
78872  
78873 dmaÿ2
78874 .
78875 b\99
78876 .
78877 _DTC9
78878
78879
78880         )
78881
78882 12299 \ 1
78883         #DMACA2_DTC8
78884  
78885 dmaÿ2
78886 .
78887 b\99
78888 .
78889 _DTC8
78890
78891
78892         )
78893
78894 12300 \ 1
78895         #DMACA2_DTC7
78896  
78897 dmaÿ2
78898 .
78899 b\99
78900 .
78901 _DTC7
78902
78903
78904         )
78905
78906 12301 \ 1
78907         #DMACA2_DTC6
78908  
78909 dmaÿ2
78910 .
78911 b\99
78912 .
78913 _DTC6
78914
78915
78916         )
78917
78918 12302 \ 1
78919         #DMACA2_DTC5
78920  
78921 dmaÿ2
78922 .
78923 b\99
78924 .
78925 _DTC5
78926
78927
78928         )
78929
78930 12303 \ 1
78931         #DMACA2_DTC4
78932  
78933 dmaÿ2
78934 .
78935 b\99
78936 .
78937 _DTC4
78938
78939
78940         )
78941
78942 12304 \ 1
78943         #DMACA2_DTC3
78944  
78945 dmaÿ2
78946 .
78947 b\99
78948 .
78949 _DTC3
78950
78951
78952         )
78953
78954 12305 \ 1
78955         #DMACA2_DTC2
78956  
78957 dmaÿ2
78958 .
78959 b\99
78960 .
78961 _DTC2
78962
78963
78964         )
78965
78966 12306 \ 1
78967         #DMACA2_DTC1
78968  
78969 dmaÿ2
78970 .
78971 b\99
78972 .
78973 _DTC1
78974
78975
78976         )
78977
78978 12307 \ 1
78979         #DMACA2_DTC0
78980  
78981 dmaÿ2
78982 .
78983 b\99
78984 .
78985 _DTC0
78986
78987
78988         )
78989
78990 12308 \ 1
78991         #DMACA2_IS
78992  
78993 dmaÿ2
78994 .
78995 b\99c
78996 .
78997 _IS
78998
78999
79000         )
79001
79002 12309 \ 1
79003         #DMACA2_EIS
79004  
79005 dmaÿ2
79006 .
79007 b\99c
79008 .
79009 _EIS
79010
79011
79012         )
79013
79014 12310 \ 1
79015         #DMACA2_BLK
79016  
79017 dmaÿ2
79018 .
79019 b\99c
79020 .
79021 _BLK
79022
79023
79024         )
79025
79026 12311 \ 1
79027         #DMACA2_DTC
79028  
79029 dmaÿ2
79030 .
79031 b\99c
79032 .
79033 _DTC
79034
79035
79036         )
79037
79038 12312 
79039 __IO_EXTERN
79040  
79041 DMACB2STR
79042  
79043         gdmacb2
79044 ;
79045
79046 12313 \ 1
79047         #DMACB2
79048  
79049 dmacb2
79050 .
79051 lwÜd
79052
79053
79054         )
79055
79056 12314 \ 1
79057         #DMACB2_TYPE1
79058  
79059 dmacb2
79060 .
79061 b\99
79062 .
79063 _TYPE1
79064
79065
79066         )
79067
79068 12315 \ 1
79069         #DMACB2_TYPE0
79070  
79071 dmacb2
79072 .
79073 b\99
79074 .
79075 _TYPE0
79076
79077
79078         )
79079
79080 12316 \ 1
79081         #DMACB2_MOD1
79082  
79083 dmacb2
79084 .
79085 b\99
79086 .
79087 _MOD1
79088
79089
79090         )
79091
79092 12317 \ 1
79093         #DMACB2_MOD0
79094  
79095 dmacb2
79096 .
79097 b\99
79098 .
79099 _MOD0
79100
79101
79102         )
79103
79104 12318 \ 1
79105         #DMACB2_WS1
79106  
79107 dmacb2
79108 .
79109 b\99
79110 .
79111 _WS1
79112
79113
79114         )
79115
79116 12319 \ 1
79117         #DMACB2_WS0
79118  
79119 dmacb2
79120 .
79121 b\99
79122 .
79123 _WS0
79124
79125
79126         )
79127
79128 12320 \ 1
79129         #DMACB2_SADM
79130  
79131 dmacb2
79132 .
79133 b\99
79134 .
79135 _SADM
79136
79137
79138         )
79139
79140 12321 \ 1
79141         #DMACB2_DADM
79142  
79143 dmacb2
79144 .
79145 b\99
79146 .
79147 _DADM
79148
79149
79150         )
79151
79152 12322 \ 1
79153         #DMACB2_DTCR
79154  
79155 dmacb2
79156 .
79157 b\99
79158 .
79159 _DTCR
79160
79161
79162         )
79163
79164 12323 \ 1
79165         #DMACB2_SADR
79166  
79167 dmacb2
79168 .
79169 b\99
79170 .
79171 _SADR
79172
79173
79174         )
79175
79176 12324 \ 1
79177         #DMACB2_DADR
79178  
79179 dmacb2
79180 .
79181 b\99
79182 .
79183 _DADR
79184
79185
79186         )
79187
79188 12325 \ 1
79189         #DMACB2_ERIE
79190  
79191 dmacb2
79192 .
79193 b\99
79194 .
79195 _ERIE
79196
79197
79198         )
79199
79200 12326 \ 1
79201         #DMACB2_EDIE
79202  
79203 dmacb2
79204 .
79205 b\99
79206 .
79207 _EDIE
79208
79209
79210         )
79211
79212 12327 \ 1
79213         #DMACB2_DSS2
79214  
79215 dmacb2
79216 .
79217 b\99
79218 .
79219 _DSS2
79220
79221
79222         )
79223
79224 12328 \ 1
79225         #DMACB2_DSS1
79226  
79227 dmacb2
79228 .
79229 b\99
79230 .
79231 _DSS1
79232
79233
79234         )
79235
79236 12329 \ 1
79237         #DMACB2_DSS0
79238  
79239 dmacb2
79240 .
79241 b\99
79242 .
79243 _DSS0
79244
79245
79246         )
79247
79248 12330 \ 1
79249         #DMACB2_SASZ7
79250  
79251 dmacb2
79252 .
79253 b\99
79254 .
79255 _SASZ7
79256
79257
79258         )
79259
79260 12331 \ 1
79261         #DMACB2_SASZ6
79262  
79263 dmacb2
79264 .
79265 b\99
79266 .
79267 _SASZ6
79268
79269
79270         )
79271
79272 12332 \ 1
79273         #DMACB2_SASZ5
79274  
79275 dmacb2
79276 .
79277 b\99
79278 .
79279 _SASZ5
79280
79281
79282         )
79283
79284 12333 \ 1
79285         #DMACB2_SASZ4
79286  
79287 dmacb2
79288 .
79289 b\99
79290 .
79291 _SASZ4
79292
79293
79294         )
79295
79296 12334 \ 1
79297         #DMACB2_SASZ3
79298  
79299 dmacb2
79300 .
79301 b\99
79302 .
79303 _SASZ3
79304
79305
79306         )
79307
79308 12335 \ 1
79309         #DMACB2_SASZ2
79310  
79311 dmacb2
79312 .
79313 b\99
79314 .
79315 _SASZ2
79316
79317
79318         )
79319
79320 12336 \ 1
79321         #DMACB2_SASZ1
79322  
79323 dmacb2
79324 .
79325 b\99
79326 .
79327 _SASZ1
79328
79329
79330         )
79331
79332 12337 \ 1
79333         #DMACB2_SASZ0
79334  
79335 dmacb2
79336 .
79337 b\99
79338 .
79339 _SASZ0
79340
79341
79342         )
79343
79344 12338 \ 1
79345         #DMACB2_DASZ7
79346  
79347 dmacb2
79348 .
79349 b\99
79350 .
79351 _DASZ7
79352
79353
79354         )
79355
79356 12339 \ 1
79357         #DMACB2_DASZ6
79358  
79359 dmacb2
79360 .
79361 b\99
79362 .
79363 _DASZ6
79364
79365
79366         )
79367
79368 12340 \ 1
79369         #DMACB2_DASZ5
79370  
79371 dmacb2
79372 .
79373 b\99
79374 .
79375 _DASZ5
79376
79377
79378         )
79379
79380 12341 \ 1
79381         #DMACB2_DASZ4
79382  
79383 dmacb2
79384 .
79385 b\99
79386 .
79387 _DASZ4
79388
79389
79390         )
79391
79392 12342 \ 1
79393         #DMACB2_DASZ3
79394  
79395 dmacb2
79396 .
79397 b\99
79398 .
79399 _DASZ3
79400
79401
79402         )
79403
79404 12343 \ 1
79405         #DMACB2_DASZ2
79406  
79407 dmacb2
79408 .
79409 b\99
79410 .
79411 _DASZ2
79412
79413
79414         )
79415
79416 12344 \ 1
79417         #DMACB2_DASZ1
79418  
79419 dmacb2
79420 .
79421 b\99
79422 .
79423 _DASZ1
79424
79425
79426         )
79427
79428 12345 \ 1
79429         #DMACB2_DASZ0
79430  
79431 dmacb2
79432 .
79433 b\99
79434 .
79435 _DASZ0
79436
79437
79438         )
79439
79440 12346 \ 1
79441         #DMACB2_TYPE
79442  
79443 dmacb2
79444 .
79445 b\99c
79446 .
79447 _TYPE
79448
79449
79450         )
79451
79452 12347 \ 1
79453         #DMACB2_MOD
79454  
79455 dmacb2
79456 .
79457 b\99c
79458 .
79459 _MOD
79460
79461
79462         )
79463
79464 12348 \ 1
79465         #DMACB2_WS
79466  
79467 dmacb2
79468 .
79469 b\99c
79470 .
79471 _WS
79472
79473
79474         )
79475
79476 12349 \ 1
79477         #DMACB2_DSS
79478  
79479 dmacb2
79480 .
79481 b\99c
79482 .
79483 _DSS
79484
79485
79486         )
79487
79488 12350 \ 1
79489         #DMACB2_SASZ
79490  
79491 dmacb2
79492 .
79493 b\99c
79494 .
79495 _SASZ
79496
79497
79498         )
79499
79500 12351 \ 1
79501         #DMACB2_DASZ
79502  
79503 dmacb2
79504 .
79505 b\99c
79506 .
79507 _DASZ
79508
79509
79510         )
79511
79512 12352 
79513 __IO_EXTERN
79514  
79515 DMACA3STR
79516  
79517         gdmaÿ3
79518 ;
79519
79520 12353 \ 1
79521         #DMACA3
79522  
79523 dmaÿ3
79524 .
79525 lwÜd
79526
79527
79528         )
79529
79530 12354 \ 1
79531         #DMACA3_DENB
79532  
79533 dmaÿ3
79534 .
79535 b\99
79536 .
79537 _DENB
79538
79539
79540         )
79541
79542 12355 \ 1
79543         #DMACA3_PAUS
79544  
79545 dmaÿ3
79546 .
79547 b\99
79548 .
79549 _PAUS
79550
79551
79552         )
79553
79554 12356 \ 1
79555         #DMACA3_STRG
79556  
79557 dmaÿ3
79558 .
79559 b\99
79560 .
79561 _STRG
79562
79563
79564         )
79565
79566 12357 \ 1
79567         #DMACA3_IS4
79568  
79569 dmaÿ3
79570 .
79571 b\99
79572 .
79573 _IS4
79574
79575
79576         )
79577
79578 12358 \ 1
79579         #DMACA3_IS3
79580  
79581 dmaÿ3
79582 .
79583 b\99
79584 .
79585 _IS3
79586
79587
79588         )
79589
79590 12359 \ 1
79591         #DMACA3_IS2
79592  
79593 dmaÿ3
79594 .
79595 b\99
79596 .
79597 _IS2
79598
79599
79600         )
79601
79602 12360 \ 1
79603         #DMACA3_IS1
79604  
79605 dmaÿ3
79606 .
79607 b\99
79608 .
79609 _IS1
79610
79611
79612         )
79613
79614 12361 \ 1
79615         #DMACA3_IS0
79616  
79617 dmaÿ3
79618 .
79619 b\99
79620 .
79621 _IS0
79622
79623
79624         )
79625
79626 12362 \ 1
79627         #DMACA3_EIS3
79628  
79629 dmaÿ3
79630 .
79631 b\99
79632 .
79633 _EIS3
79634
79635
79636         )
79637
79638 12363 \ 1
79639         #DMACA3_EIS2
79640  
79641 dmaÿ3
79642 .
79643 b\99
79644 .
79645 _EIS2
79646
79647
79648         )
79649
79650 12364 \ 1
79651         #DMACA3_EIS1
79652  
79653 dmaÿ3
79654 .
79655 b\99
79656 .
79657 _EIS1
79658
79659
79660         )
79661
79662 12365 \ 1
79663         #DMACA3_EIS0
79664  
79665 dmaÿ3
79666 .
79667 b\99
79668 .
79669 _EIS0
79670
79671
79672         )
79673
79674 12366 \ 1
79675         #DMACA3_BLK3
79676  
79677 dmaÿ3
79678 .
79679 b\99
79680 .
79681 _BLK3
79682
79683
79684         )
79685
79686 12367 \ 1
79687         #DMACA3_BLK2
79688  
79689 dmaÿ3
79690 .
79691 b\99
79692 .
79693 _BLK2
79694
79695
79696         )
79697
79698 12368 \ 1
79699         #DMACA3_BLK1
79700  
79701 dmaÿ3
79702 .
79703 b\99
79704 .
79705 _BLK1
79706
79707
79708         )
79709
79710 12369 \ 1
79711         #DMACA3_BLK0
79712  
79713 dmaÿ3
79714 .
79715 b\99
79716 .
79717 _BLK0
79718
79719
79720         )
79721
79722 12370 \ 1
79723         #DMACA3_DTCF
79724  
79725 dmaÿ3
79726 .
79727 b\99
79728 .
79729 _DTCF
79730
79731
79732         )
79733
79734 12371 \ 1
79735         #DMACA3_DTCE
79736  
79737 dmaÿ3
79738 .
79739 b\99
79740 .
79741 _DTCE
79742
79743
79744         )
79745
79746 12372 \ 1
79747         #DMACA3_DTCD
79748  
79749 dmaÿ3
79750 .
79751 b\99
79752 .
79753 _DTCD
79754
79755
79756         )
79757
79758 12373 \ 1
79759         #DMACA3_DTCC
79760  
79761 dmaÿ3
79762 .
79763 b\99
79764 .
79765 _DTCC
79766
79767
79768         )
79769
79770 12374 \ 1
79771         #DMACA3_DTCB
79772  
79773 dmaÿ3
79774 .
79775 b\99
79776 .
79777 _DTCB
79778
79779
79780         )
79781
79782 12375 \ 1
79783         #DMACA3_DTCA
79784  
79785 dmaÿ3
79786 .
79787 b\99
79788 .
79789 _DTCA
79790
79791
79792         )
79793
79794 12376 \ 1
79795         #DMACA3_DTC9
79796  
79797 dmaÿ3
79798 .
79799 b\99
79800 .
79801 _DTC9
79802
79803
79804         )
79805
79806 12377 \ 1
79807         #DMACA3_DTC8
79808  
79809 dmaÿ3
79810 .
79811 b\99
79812 .
79813 _DTC8
79814
79815
79816         )
79817
79818 12378 \ 1
79819         #DMACA3_DTC7
79820  
79821 dmaÿ3
79822 .
79823 b\99
79824 .
79825 _DTC7
79826
79827
79828         )
79829
79830 12379 \ 1
79831         #DMACA3_DTC6
79832  
79833 dmaÿ3
79834 .
79835 b\99
79836 .
79837 _DTC6
79838
79839
79840         )
79841
79842 12380 \ 1
79843         #DMACA3_DTC5
79844  
79845 dmaÿ3
79846 .
79847 b\99
79848 .
79849 _DTC5
79850
79851
79852         )
79853
79854 12381 \ 1
79855         #DMACA3_DTC4
79856  
79857 dmaÿ3
79858 .
79859 b\99
79860 .
79861 _DTC4
79862
79863
79864         )
79865
79866 12382 \ 1
79867         #DMACA3_DTC3
79868  
79869 dmaÿ3
79870 .
79871 b\99
79872 .
79873 _DTC3
79874
79875
79876         )
79877
79878 12383 \ 1
79879         #DMACA3_DTC2
79880  
79881 dmaÿ3
79882 .
79883 b\99
79884 .
79885 _DTC2
79886
79887
79888         )
79889
79890 12384 \ 1
79891         #DMACA3_DTC1
79892  
79893 dmaÿ3
79894 .
79895 b\99
79896 .
79897 _DTC1
79898
79899
79900         )
79901
79902 12385 \ 1
79903         #DMACA3_DTC0
79904  
79905 dmaÿ3
79906 .
79907 b\99
79908 .
79909 _DTC0
79910
79911
79912         )
79913
79914 12386 \ 1
79915         #DMACA3_IS
79916  
79917 dmaÿ3
79918 .
79919 b\99c
79920 .
79921 _IS
79922
79923
79924         )
79925
79926 12387 \ 1
79927         #DMACA3_EIS
79928  
79929 dmaÿ3
79930 .
79931 b\99c
79932 .
79933 _EIS
79934
79935
79936         )
79937
79938 12388 \ 1
79939         #DMACA3_BLK
79940  
79941 dmaÿ3
79942 .
79943 b\99c
79944 .
79945 _BLK
79946
79947
79948         )
79949
79950 12389 \ 1
79951         #DMACA3_DTC
79952  
79953 dmaÿ3
79954 .
79955 b\99c
79956 .
79957 _DTC
79958
79959
79960         )
79961
79962 12390 
79963 __IO_EXTERN
79964  
79965 DMACB3STR
79966  
79967         gdmacb3
79968 ;
79969
79970 12391 \ 1
79971         #DMACB3
79972  
79973 dmacb3
79974 .
79975 lwÜd
79976
79977
79978         )
79979
79980 12392 \ 1
79981         #DMACB3_TYPE1
79982  
79983 dmacb3
79984 .
79985 b\99
79986 .
79987 _TYPE1
79988
79989
79990         )
79991
79992 12393 \ 1
79993         #DMACB3_TYPE0
79994  
79995 dmacb3
79996 .
79997 b\99
79998 .
79999 _TYPE0
80000
80001
80002         )
80003
80004 12394 \ 1
80005         #DMACB3_MOD1
80006  
80007 dmacb3
80008 .
80009 b\99
80010 .
80011 _MOD1
80012
80013
80014         )
80015
80016 12395 \ 1
80017         #DMACB3_MOD0
80018  
80019 dmacb3
80020 .
80021 b\99
80022 .
80023 _MOD0
80024
80025
80026         )
80027
80028 12396 \ 1
80029         #DMACB3_WS1
80030  
80031 dmacb3
80032 .
80033 b\99
80034 .
80035 _WS1
80036
80037
80038         )
80039
80040 12397 \ 1
80041         #DMACB3_WS0
80042  
80043 dmacb3
80044 .
80045 b\99
80046 .
80047 _WS0
80048
80049
80050         )
80051
80052 12398 \ 1
80053         #DMACB3_SADM
80054  
80055 dmacb3
80056 .
80057 b\99
80058 .
80059 _SADM
80060
80061
80062         )
80063
80064 12399 \ 1
80065         #DMACB3_DADM
80066  
80067 dmacb3
80068 .
80069 b\99
80070 .
80071 _DADM
80072
80073
80074         )
80075
80076 12400 \ 1
80077         #DMACB3_DTCR
80078  
80079 dmacb3
80080 .
80081 b\99
80082 .
80083 _DTCR
80084
80085
80086         )
80087
80088 12401 \ 1
80089         #DMACB3_SADR
80090  
80091 dmacb3
80092 .
80093 b\99
80094 .
80095 _SADR
80096
80097
80098         )
80099
80100 12402 \ 1
80101         #DMACB3_DADR
80102  
80103 dmacb3
80104 .
80105 b\99
80106 .
80107 _DADR
80108
80109
80110         )
80111
80112 12403 \ 1
80113         #DMACB3_ERIE
80114  
80115 dmacb3
80116 .
80117 b\99
80118 .
80119 _ERIE
80120
80121
80122         )
80123
80124 12404 \ 1
80125         #DMACB3_EDIE
80126  
80127 dmacb3
80128 .
80129 b\99
80130 .
80131 _EDIE
80132
80133
80134         )
80135
80136 12405 \ 1
80137         #DMACB3_DSS2
80138  
80139 dmacb3
80140 .
80141 b\99
80142 .
80143 _DSS2
80144
80145
80146         )
80147
80148 12406 \ 1
80149         #DMACB3_DSS1
80150  
80151 dmacb3
80152 .
80153 b\99
80154 .
80155 _DSS1
80156
80157
80158         )
80159
80160 12407 \ 1
80161         #DMACB3_DSS0
80162  
80163 dmacb3
80164 .
80165 b\99
80166 .
80167 _DSS0
80168
80169
80170         )
80171
80172 12408 \ 1
80173         #DMACB3_SASZ7
80174  
80175 dmacb3
80176 .
80177 b\99
80178 .
80179 _SASZ7
80180
80181
80182         )
80183
80184 12409 \ 1
80185         #DMACB3_SASZ6
80186  
80187 dmacb3
80188 .
80189 b\99
80190 .
80191 _SASZ6
80192
80193
80194         )
80195
80196 12410 \ 1
80197         #DMACB3_SASZ5
80198  
80199 dmacb3
80200 .
80201 b\99
80202 .
80203 _SASZ5
80204
80205
80206         )
80207
80208 12411 \ 1
80209         #DMACB3_SASZ4
80210  
80211 dmacb3
80212 .
80213 b\99
80214 .
80215 _SASZ4
80216
80217
80218         )
80219
80220 12412 \ 1
80221         #DMACB3_SASZ3
80222  
80223 dmacb3
80224 .
80225 b\99
80226 .
80227 _SASZ3
80228
80229
80230         )
80231
80232 12413 \ 1
80233         #DMACB3_SASZ2
80234  
80235 dmacb3
80236 .
80237 b\99
80238 .
80239 _SASZ2
80240
80241
80242         )
80243
80244 12414 \ 1
80245         #DMACB3_SASZ1
80246  
80247 dmacb3
80248 .
80249 b\99
80250 .
80251 _SASZ1
80252
80253
80254         )
80255
80256 12415 \ 1
80257         #DMACB3_SASZ0
80258  
80259 dmacb3
80260 .
80261 b\99
80262 .
80263 _SASZ0
80264
80265
80266         )
80267
80268 12416 \ 1
80269         #DMACB3_DASZ7
80270  
80271 dmacb3
80272 .
80273 b\99
80274 .
80275 _DASZ7
80276
80277
80278         )
80279
80280 12417 \ 1
80281         #DMACB3_DASZ6
80282  
80283 dmacb3
80284 .
80285 b\99
80286 .
80287 _DASZ6
80288
80289
80290         )
80291
80292 12418 \ 1
80293         #DMACB3_DASZ5
80294  
80295 dmacb3
80296 .
80297 b\99
80298 .
80299 _DASZ5
80300
80301
80302         )
80303
80304 12419 \ 1
80305         #DMACB3_DASZ4
80306  
80307 dmacb3
80308 .
80309 b\99
80310 .
80311 _DASZ4
80312
80313
80314         )
80315
80316 12420 \ 1
80317         #DMACB3_DASZ3
80318  
80319 dmacb3
80320 .
80321 b\99
80322 .
80323 _DASZ3
80324
80325
80326         )
80327
80328 12421 \ 1
80329         #DMACB3_DASZ2
80330  
80331 dmacb3
80332 .
80333 b\99
80334 .
80335 _DASZ2
80336
80337
80338         )
80339
80340 12422 \ 1
80341         #DMACB3_DASZ1
80342  
80343 dmacb3
80344 .
80345 b\99
80346 .
80347 _DASZ1
80348
80349
80350         )
80351
80352 12423 \ 1
80353         #DMACB3_DASZ0
80354  
80355 dmacb3
80356 .
80357 b\99
80358 .
80359 _DASZ0
80360
80361
80362         )
80363
80364 12424 \ 1
80365         #DMACB3_TYPE
80366  
80367 dmacb3
80368 .
80369 b\99c
80370 .
80371 _TYPE
80372
80373
80374         )
80375
80376 12425 \ 1
80377         #DMACB3_MOD
80378  
80379 dmacb3
80380 .
80381 b\99c
80382 .
80383 _MOD
80384
80385
80386         )
80387
80388 12426 \ 1
80389         #DMACB3_WS
80390  
80391 dmacb3
80392 .
80393 b\99c
80394 .
80395 _WS
80396
80397
80398         )
80399
80400 12427 \ 1
80401         #DMACB3_DSS
80402  
80403 dmacb3
80404 .
80405 b\99c
80406 .
80407 _DSS
80408
80409
80410         )
80411
80412 12428 \ 1
80413         #DMACB3_SASZ
80414  
80415 dmacb3
80416 .
80417 b\99c
80418 .
80419 _SASZ
80420
80421
80422         )
80423
80424 12429 \ 1
80425         #DMACB3_DASZ
80426  
80427 dmacb3
80428 .
80429 b\99c
80430 .
80431 _DASZ
80432
80433
80434         )
80435
80436 12430 
80437 __IO_EXTERN
80438  
80439 DMACA4STR
80440  
80441         gdmaÿ4
80442 ;
80443
80444 12431 \ 1
80445         #DMACA4
80446  
80447 dmaÿ4
80448 .
80449 lwÜd
80450
80451
80452         )
80453
80454 12432 \ 1
80455         #DMACA4_DENB
80456  
80457 dmaÿ4
80458 .
80459 b\99
80460 .
80461 _DENB
80462
80463
80464         )
80465
80466 12433 \ 1
80467         #DMACA4_PAUS
80468  
80469 dmaÿ4
80470 .
80471 b\99
80472 .
80473 _PAUS
80474
80475
80476         )
80477
80478 12434 \ 1
80479         #DMACA4_STRG
80480  
80481 dmaÿ4
80482 .
80483 b\99
80484 .
80485 _STRG
80486
80487
80488         )
80489
80490 12435 \ 1
80491         #DMACA4_IS4
80492  
80493 dmaÿ4
80494 .
80495 b\99
80496 .
80497 _IS4
80498
80499
80500         )
80501
80502 12436 \ 1
80503         #DMACA4_IS3
80504  
80505 dmaÿ4
80506 .
80507 b\99
80508 .
80509 _IS3
80510
80511
80512         )
80513
80514 12437 \ 1
80515         #DMACA4_IS2
80516  
80517 dmaÿ4
80518 .
80519 b\99
80520 .
80521 _IS2
80522
80523
80524         )
80525
80526 12438 \ 1
80527         #DMACA4_IS1
80528  
80529 dmaÿ4
80530 .
80531 b\99
80532 .
80533 _IS1
80534
80535
80536         )
80537
80538 12439 \ 1
80539         #DMACA4_IS0
80540  
80541 dmaÿ4
80542 .
80543 b\99
80544 .
80545 _IS0
80546
80547
80548         )
80549
80550 12440 \ 1
80551         #DMACA4_EIS3
80552  
80553 dmaÿ4
80554 .
80555 b\99
80556 .
80557 _EIS3
80558
80559
80560         )
80561
80562 12441 \ 1
80563         #DMACA4_EIS2
80564  
80565 dmaÿ4
80566 .
80567 b\99
80568 .
80569 _EIS2
80570
80571
80572         )
80573
80574 12442 \ 1
80575         #DMACA4_EIS1
80576  
80577 dmaÿ4
80578 .
80579 b\99
80580 .
80581 _EIS1
80582
80583
80584         )
80585
80586 12443 \ 1
80587         #DMACA4_EIS0
80588  
80589 dmaÿ4
80590 .
80591 b\99
80592 .
80593 _EIS0
80594
80595
80596         )
80597
80598 12444 \ 1
80599         #DMACA4_BLK3
80600  
80601 dmaÿ4
80602 .
80603 b\99
80604 .
80605 _BLK3
80606
80607
80608         )
80609
80610 12445 \ 1
80611         #DMACA4_BLK2
80612  
80613 dmaÿ4
80614 .
80615 b\99
80616 .
80617 _BLK2
80618
80619
80620         )
80621
80622 12446 \ 1
80623         #DMACA4_BLK1
80624  
80625 dmaÿ4
80626 .
80627 b\99
80628 .
80629 _BLK1
80630
80631
80632         )
80633
80634 12447 \ 1
80635         #DMACA4_BLK0
80636  
80637 dmaÿ4
80638 .
80639 b\99
80640 .
80641 _BLK0
80642
80643
80644         )
80645
80646 12448 \ 1
80647         #DMACA4_DTCF
80648  
80649 dmaÿ4
80650 .
80651 b\99
80652 .
80653 _DTCF
80654
80655
80656         )
80657
80658 12449 \ 1
80659         #DMACA4_DTCE
80660  
80661 dmaÿ4
80662 .
80663 b\99
80664 .
80665 _DTCE
80666
80667
80668         )
80669
80670 12450 \ 1
80671         #DMACA4_DTCD
80672  
80673 dmaÿ4
80674 .
80675 b\99
80676 .
80677 _DTCD
80678
80679
80680         )
80681
80682 12451 \ 1
80683         #DMACA4_DTCC
80684  
80685 dmaÿ4
80686 .
80687 b\99
80688 .
80689 _DTCC
80690
80691
80692         )
80693
80694 12452 \ 1
80695         #DMACA4_DTCB
80696  
80697 dmaÿ4
80698 .
80699 b\99
80700 .
80701 _DTCB
80702
80703
80704         )
80705
80706 12453 \ 1
80707         #DMACA4_DTCA
80708  
80709 dmaÿ4
80710 .
80711 b\99
80712 .
80713 _DTCA
80714
80715
80716         )
80717
80718 12454 \ 1
80719         #DMACA4_DTC9
80720  
80721 dmaÿ4
80722 .
80723 b\99
80724 .
80725 _DTC9
80726
80727
80728         )
80729
80730 12455 \ 1
80731         #DMACA4_DTC8
80732  
80733 dmaÿ4
80734 .
80735 b\99
80736 .
80737 _DTC8
80738
80739
80740         )
80741
80742 12456 \ 1
80743         #DMACA4_DTC7
80744  
80745 dmaÿ4
80746 .
80747 b\99
80748 .
80749 _DTC7
80750
80751
80752         )
80753
80754 12457 \ 1
80755         #DMACA4_DTC6
80756  
80757 dmaÿ4
80758 .
80759 b\99
80760 .
80761 _DTC6
80762
80763
80764         )
80765
80766 12458 \ 1
80767         #DMACA4_DTC5
80768  
80769 dmaÿ4
80770 .
80771 b\99
80772 .
80773 _DTC5
80774
80775
80776         )
80777
80778 12459 \ 1
80779         #DMACA4_DTC4
80780  
80781 dmaÿ4
80782 .
80783 b\99
80784 .
80785 _DTC4
80786
80787
80788         )
80789
80790 12460 \ 1
80791         #DMACA4_DTC3
80792  
80793 dmaÿ4
80794 .
80795 b\99
80796 .
80797 _DTC3
80798
80799
80800         )
80801
80802 12461 \ 1
80803         #DMACA4_DTC2
80804  
80805 dmaÿ4
80806 .
80807 b\99
80808 .
80809 _DTC2
80810
80811
80812         )
80813
80814 12462 \ 1
80815         #DMACA4_DTC1
80816  
80817 dmaÿ4
80818 .
80819 b\99
80820 .
80821 _DTC1
80822
80823
80824         )
80825
80826 12463 \ 1
80827         #DMACA4_DTC0
80828  
80829 dmaÿ4
80830 .
80831 b\99
80832 .
80833 _DTC0
80834
80835
80836         )
80837
80838 12464 \ 1
80839         #DMACA4_IS
80840  
80841 dmaÿ4
80842 .
80843 b\99c
80844 .
80845 _IS
80846
80847
80848         )
80849
80850 12465 \ 1
80851         #DMACA4_EIS
80852  
80853 dmaÿ4
80854 .
80855 b\99c
80856 .
80857 _EIS
80858
80859
80860         )
80861
80862 12466 \ 1
80863         #DMACA4_BLK
80864  
80865 dmaÿ4
80866 .
80867 b\99c
80868 .
80869 _BLK
80870
80871
80872         )
80873
80874 12467 \ 1
80875         #DMACA4_DTC
80876  
80877 dmaÿ4
80878 .
80879 b\99c
80880 .
80881 _DTC
80882
80883
80884         )
80885
80886 12468 
80887 __IO_EXTERN
80888  
80889 DMACB4STR
80890  
80891         gdmacb4
80892 ;
80893
80894 12469 \ 1
80895         #DMACB4
80896  
80897 dmacb4
80898 .
80899 lwÜd
80900
80901
80902         )
80903
80904 12470 \ 1
80905         #DMACB4_TYPE1
80906  
80907 dmacb4
80908 .
80909 b\99
80910 .
80911 _TYPE1
80912
80913
80914         )
80915
80916 12471 \ 1
80917         #DMACB4_TYPE0
80918  
80919 dmacb4
80920 .
80921 b\99
80922 .
80923 _TYPE0
80924
80925
80926         )
80927
80928 12472 \ 1
80929         #DMACB4_MOD1
80930  
80931 dmacb4
80932 .
80933 b\99
80934 .
80935 _MOD1
80936
80937
80938         )
80939
80940 12473 \ 1
80941         #DMACB4_MOD0
80942  
80943 dmacb4
80944 .
80945 b\99
80946 .
80947 _MOD0
80948
80949
80950         )
80951
80952 12474 \ 1
80953         #DMACB4_WS1
80954  
80955 dmacb4
80956 .
80957 b\99
80958 .
80959 _WS1
80960
80961
80962         )
80963
80964 12475 \ 1
80965         #DMACB4_WS0
80966  
80967 dmacb4
80968 .
80969 b\99
80970 .
80971 _WS0
80972
80973
80974         )
80975
80976 12476 \ 1
80977         #DMACB4_SADM
80978  
80979 dmacb4
80980 .
80981 b\99
80982 .
80983 _SADM
80984
80985
80986         )
80987
80988 12477 \ 1
80989         #DMACB4_DADM
80990  
80991 dmacb4
80992 .
80993 b\99
80994 .
80995 _DADM
80996
80997
80998         )
80999
81000 12478 \ 1
81001         #DMACB4_DTCR
81002  
81003 dmacb4
81004 .
81005 b\99
81006 .
81007 _DTCR
81008
81009
81010         )
81011
81012 12479 \ 1
81013         #DMACB4_SADR
81014  
81015 dmacb4
81016 .
81017 b\99
81018 .
81019 _SADR
81020
81021
81022         )
81023
81024 12480 \ 1
81025         #DMACB4_DADR
81026  
81027 dmacb4
81028 .
81029 b\99
81030 .
81031 _DADR
81032
81033
81034         )
81035
81036 12481 \ 1
81037         #DMACB4_ERIE
81038  
81039 dmacb4
81040 .
81041 b\99
81042 .
81043 _ERIE
81044
81045
81046         )
81047
81048 12482 \ 1
81049         #DMACB4_EDIE
81050  
81051 dmacb4
81052 .
81053 b\99
81054 .
81055 _EDIE
81056
81057
81058         )
81059
81060 12483 \ 1
81061         #DMACB4_DSS2
81062  
81063 dmacb4
81064 .
81065 b\99
81066 .
81067 _DSS2
81068
81069
81070         )
81071
81072 12484 \ 1
81073         #DMACB4_DSS1
81074  
81075 dmacb4
81076 .
81077 b\99
81078 .
81079 _DSS1
81080
81081
81082         )
81083
81084 12485 \ 1
81085         #DMACB4_DSS0
81086  
81087 dmacb4
81088 .
81089 b\99
81090 .
81091 _DSS0
81092
81093
81094         )
81095
81096 12486 \ 1
81097         #DMACB4_SASZ7
81098  
81099 dmacb4
81100 .
81101 b\99
81102 .
81103 _SASZ7
81104
81105
81106         )
81107
81108 12487 \ 1
81109         #DMACB4_SASZ6
81110  
81111 dmacb4
81112 .
81113 b\99
81114 .
81115 _SASZ6
81116
81117
81118         )
81119
81120 12488 \ 1
81121         #DMACB4_SASZ5
81122  
81123 dmacb4
81124 .
81125 b\99
81126 .
81127 _SASZ5
81128
81129
81130         )
81131
81132 12489 \ 1
81133         #DMACB4_SASZ4
81134  
81135 dmacb4
81136 .
81137 b\99
81138 .
81139 _SASZ4
81140
81141
81142         )
81143
81144 12490 \ 1
81145         #DMACB4_SASZ3
81146  
81147 dmacb4
81148 .
81149 b\99
81150 .
81151 _SASZ3
81152
81153
81154         )
81155
81156 12491 \ 1
81157         #DMACB4_SASZ2
81158  
81159 dmacb4
81160 .
81161 b\99
81162 .
81163 _SASZ2
81164
81165
81166         )
81167
81168 12492 \ 1
81169         #DMACB4_SASZ1
81170  
81171 dmacb4
81172 .
81173 b\99
81174 .
81175 _SASZ1
81176
81177
81178         )
81179
81180 12493 \ 1
81181         #DMACB4_SASZ0
81182  
81183 dmacb4
81184 .
81185 b\99
81186 .
81187 _SASZ0
81188
81189
81190         )
81191
81192 12494 \ 1
81193         #DMACB4_DASZ7
81194  
81195 dmacb4
81196 .
81197 b\99
81198 .
81199 _DASZ7
81200
81201
81202         )
81203
81204 12495 \ 1
81205         #DMACB4_DASZ6
81206  
81207 dmacb4
81208 .
81209 b\99
81210 .
81211 _DASZ6
81212
81213
81214         )
81215
81216 12496 \ 1
81217         #DMACB4_DASZ5
81218  
81219 dmacb4
81220 .
81221 b\99
81222 .
81223 _DASZ5
81224
81225
81226         )
81227
81228 12497 \ 1
81229         #DMACB4_DASZ4
81230  
81231 dmacb4
81232 .
81233 b\99
81234 .
81235 _DASZ4
81236
81237
81238         )
81239
81240 12498 \ 1
81241         #DMACB4_DASZ3
81242  
81243 dmacb4
81244 .
81245 b\99
81246 .
81247 _DASZ3
81248
81249
81250         )
81251
81252 12499 \ 1
81253         #DMACB4_DASZ2
81254  
81255 dmacb4
81256 .
81257 b\99
81258 .
81259 _DASZ2
81260
81261
81262         )
81263
81264 12500 \ 1
81265         #DMACB4_DASZ1
81266  
81267 dmacb4
81268 .
81269 b\99
81270 .
81271 _DASZ1
81272
81273
81274         )
81275
81276 12501 \ 1
81277         #DMACB4_DASZ0
81278  
81279 dmacb4
81280 .
81281 b\99
81282 .
81283 _DASZ0
81284
81285
81286         )
81287
81288 12502 \ 1
81289         #DMACB4_TYPE
81290  
81291 dmacb4
81292 .
81293 b\99c
81294 .
81295 _TYPE
81296
81297
81298         )
81299
81300 12503 \ 1
81301         #DMACB4_MOD
81302  
81303 dmacb4
81304 .
81305 b\99c
81306 .
81307 _MOD
81308
81309
81310         )
81311
81312 12504 \ 1
81313         #DMACB4_WS
81314  
81315 dmacb4
81316 .
81317 b\99c
81318 .
81319 _WS
81320
81321
81322         )
81323
81324 12505 \ 1
81325         #DMACB4_DSS
81326  
81327 dmacb4
81328 .
81329 b\99c
81330 .
81331 _DSS
81332
81333
81334         )
81335
81336 12506 \ 1
81337         #DMACB4_SASZ
81338  
81339 dmacb4
81340 .
81341 b\99c
81342 .
81343 _SASZ
81344
81345
81346         )
81347
81348 12507 \ 1
81349         #DMACB4_DASZ
81350  
81351 dmacb4
81352 .
81353 b\99c
81354 .
81355 _DASZ
81356
81357
81358         )
81359
81360 12508 
81361 __IO_EXTERN
81362  
81363 DMACRSTR
81364  
81365         gdmaü
81366 ;
81367
81368 12509 \ 1
81369         #DMACR
81370  
81371 dmaü
81372 .
81373 by\8b
81374
81375
81376         )
81377
81378 12510 \ 1
81379         #DMACR_DMAE
81380  
81381 dmaü
81382 .
81383 b\99
81384 .
81385 _DMAE
81386
81387
81388         )
81389
81390 12511 \ 1
81391         #DMACR_PM01
81392  
81393 dmaü
81394 .
81395 b\99
81396 .
81397 _PM01
81398
81399
81400         )
81401
81402 12512 \ 1
81403         #DMACR_DMAH3
81404  
81405 dmaü
81406 .
81407 b\99
81408 .
81409 _DMAH3
81410
81411
81412         )
81413
81414 12513 \ 1
81415         #DMACR_DMAH2
81416  
81417 dmaü
81418 .
81419 b\99
81420 .
81421 _DMAH2
81422
81423
81424         )
81425
81426 12514 \ 1
81427         #DMACR_DMAH1
81428  
81429 dmaü
81430 .
81431 b\99
81432 .
81433 _DMAH1
81434
81435
81436         )
81437
81438 12515 \ 1
81439         #DMACR_DMAH0
81440  
81441 dmaü
81442 .
81443 b\99
81444 .
81445 _DMAH0
81446
81447
81448         )
81449
81450 12516 \ 1
81451         #DMACR_DMAH
81452  
81453 dmaü
81454 .
81455 b\99c
81456 .
81457 _DMAH
81458
81459
81460         )
81461
81462 12517 
81463 __IO_EXTERN
81464  
81465 ICS45STR
81466  
81467         gics45
81468 ;
81469
81470 12518 \ 1
81471         #ICS45
81472  
81473 ics45
81474 .
81475 by\8b
81476
81477
81478         )
81479
81480 12519 \ 1
81481         #ICS45_ICP5
81482  
81483 ics45
81484 .
81485 b\99
81486 .
81487 _ICP5
81488
81489
81490         )
81491
81492 12520 \ 1
81493         #ICS45_ICP4
81494  
81495 ics45
81496 .
81497 b\99
81498 .
81499 _ICP4
81500
81501
81502         )
81503
81504 12521 \ 1
81505         #ICS45_ICE5
81506  
81507 ics45
81508 .
81509 b\99
81510 .
81511 _ICE5
81512
81513
81514         )
81515
81516 12522 \ 1
81517         #ICS45_ICE4
81518  
81519 ics45
81520 .
81521 b\99
81522 .
81523 _ICE4
81524
81525
81526         )
81527
81528 12523 \ 1
81529         #ICS45_EG51
81530  
81531 ics45
81532 .
81533 b\99
81534 .
81535 _EG51
81536
81537
81538         )
81539
81540 12524 \ 1
81541         #ICS45_EG50
81542  
81543 ics45
81544 .
81545 b\99
81546 .
81547 _EG50
81548
81549
81550         )
81551
81552 12525 \ 1
81553         #ICS45_EG41
81554  
81555 ics45
81556 .
81557 b\99
81558 .
81559 _EG41
81560
81561
81562         )
81563
81564 12526 \ 1
81565         #ICS45_EG40
81566  
81567 ics45
81568 .
81569 b\99
81570 .
81571 _EG40
81572
81573
81574         )
81575
81576 12527 \ 1
81577         #ICS45_EG5
81578  
81579 ics45
81580 .
81581 b\99c
81582 .
81583 _EG5
81584
81585
81586         )
81587
81588 12528 \ 1
81589         #ICS45_EG4
81590  
81591 ics45
81592 .
81593 b\99c
81594 .
81595 _EG4
81596
81597
81598         )
81599
81600 12529 
81601 __IO_EXTERN
81602  
81603 ICS67STR
81604  
81605         gics67
81606 ;
81607
81608 12530 \ 1
81609         #ICS67
81610  
81611 ics67
81612 .
81613 by\8b
81614
81615
81616         )
81617
81618 12531 \ 1
81619         #ICS67_ICP7
81620  
81621 ics67
81622 .
81623 b\99
81624 .
81625 _ICP7
81626
81627
81628         )
81629
81630 12532 \ 1
81631         #ICS67_ICP6
81632  
81633 ics67
81634 .
81635 b\99
81636 .
81637 _ICP6
81638
81639
81640         )
81641
81642 12533 \ 1
81643         #ICS67_ICE7
81644  
81645 ics67
81646 .
81647 b\99
81648 .
81649 _ICE7
81650
81651
81652         )
81653
81654 12534 \ 1
81655         #ICS67_ICE6
81656  
81657 ics67
81658 .
81659 b\99
81660 .
81661 _ICE6
81662
81663
81664         )
81665
81666 12535 \ 1
81667         #ICS67_EG71
81668  
81669 ics67
81670 .
81671 b\99
81672 .
81673 _EG71
81674
81675
81676         )
81677
81678 12536 \ 1
81679         #ICS67_EG70
81680  
81681 ics67
81682 .
81683 b\99
81684 .
81685 _EG70
81686
81687
81688         )
81689
81690 12537 \ 1
81691         #ICS67_EG61
81692  
81693 ics67
81694 .
81695 b\99
81696 .
81697 _EG61
81698
81699
81700         )
81701
81702 12538 \ 1
81703         #ICS67_EG60
81704  
81705 ics67
81706 .
81707 b\99
81708 .
81709 _EG60
81710
81711
81712         )
81713
81714 12539 \ 1
81715         #ICS67_EG7
81716  
81717 ics67
81718 .
81719 b\99c
81720 .
81721 _EG7
81722
81723
81724         )
81725
81726 12540 \ 1
81727         #ICS67_EG6
81728  
81729 ics67
81730 .
81731 b\99c
81732 .
81733 _EG6
81734
81735
81736         )
81737
81738 12541 
81739 __IO_EXTERN
81740  
81741 IPCP4STR
81742  
81743         g\9dý4
81744 ;
81745
81746 12542 \ 1
81747         #IPCP4
81748  
81749 \9dý4
81750 .
81751 wÜd
81752
81753
81754         )
81755
81756 12543 \ 1
81757         #IPCP4_CP15
81758  
81759 \9dý4
81760 .
81761 b\99
81762 .
81763 _CP15
81764
81765
81766         )
81767
81768 12544 \ 1
81769         #IPCP4_CP14
81770  
81771 \9dý4
81772 .
81773 b\99
81774 .
81775 _CP14
81776
81777
81778         )
81779
81780 12545 \ 1
81781         #IPCP4_CP13
81782  
81783 \9dý4
81784 .
81785 b\99
81786 .
81787 _CP13
81788
81789
81790         )
81791
81792 12546 \ 1
81793         #IPCP4_CP12
81794  
81795 \9dý4
81796 .
81797 b\99
81798 .
81799 _CP12
81800
81801
81802         )
81803
81804 12547 \ 1
81805         #IPCP4_CP11
81806  
81807 \9dý4
81808 .
81809 b\99
81810 .
81811 _CP11
81812
81813
81814         )
81815
81816 12548 \ 1
81817         #IPCP4_CP10
81818  
81819 \9dý4
81820 .
81821 b\99
81822 .
81823 _CP10
81824
81825
81826         )
81827
81828 12549 \ 1
81829         #IPCP4_CP9
81830  
81831 \9dý4
81832 .
81833 b\99
81834 .
81835 _CP9
81836
81837
81838         )
81839
81840 12550 \ 1
81841         #IPCP4_CP8
81842  
81843 \9dý4
81844 .
81845 b\99
81846 .
81847 _CP8
81848
81849
81850         )
81851
81852 12551 \ 1
81853         #IPCP4_CP7
81854  
81855 \9dý4
81856 .
81857 b\99
81858 .
81859 _CP7
81860
81861
81862         )
81863
81864 12552 \ 1
81865         #IPCP4_CP6
81866  
81867 \9dý4
81868 .
81869 b\99
81870 .
81871 _CP6
81872
81873
81874         )
81875
81876 12553 \ 1
81877         #IPCP4_CP5
81878  
81879 \9dý4
81880 .
81881 b\99
81882 .
81883 _CP5
81884
81885
81886         )
81887
81888 12554 \ 1
81889         #IPCP4_CP4
81890  
81891 \9dý4
81892 .
81893 b\99
81894 .
81895 _CP4
81896
81897
81898         )
81899
81900 12555 \ 1
81901         #IPCP4_CP3
81902  
81903 \9dý4
81904 .
81905 b\99
81906 .
81907 _CP3
81908
81909
81910         )
81911
81912 12556 \ 1
81913         #IPCP4_CP2
81914  
81915 \9dý4
81916 .
81917 b\99
81918 .
81919 _CP2
81920
81921
81922         )
81923
81924 12557 \ 1
81925         #IPCP4_CP1
81926  
81927 \9dý4
81928 .
81929 b\99
81930 .
81931 _CP1
81932
81933
81934         )
81935
81936 12558 \ 1
81937         #IPCP4_CP0
81938  
81939 \9dý4
81940 .
81941 b\99
81942 .
81943 _CP0
81944
81945
81946         )
81947
81948 12559 
81949 __IO_EXTERN
81950  
81951 IPCP5STR
81952  
81953         g\9dý5
81954 ;
81955
81956 12560 \ 1
81957         #IPCP5
81958  
81959 \9dý5
81960 .
81961 wÜd
81962
81963
81964         )
81965
81966 12561 \ 1
81967         #IPCP5_CP15
81968  
81969 \9dý5
81970 .
81971 b\99
81972 .
81973 _CP15
81974
81975
81976         )
81977
81978 12562 \ 1
81979         #IPCP5_CP14
81980  
81981 \9dý5
81982 .
81983 b\99
81984 .
81985 _CP14
81986
81987
81988         )
81989
81990 12563 \ 1
81991         #IPCP5_CP13
81992  
81993 \9dý5
81994 .
81995 b\99
81996 .
81997 _CP13
81998
81999
82000         )
82001
82002 12564 \ 1
82003         #IPCP5_CP12
82004  
82005 \9dý5
82006 .
82007 b\99
82008 .
82009 _CP12
82010
82011
82012         )
82013
82014 12565 \ 1
82015         #IPCP5_CP11
82016  
82017 \9dý5
82018 .
82019 b\99
82020 .
82021 _CP11
82022
82023
82024         )
82025
82026 12566 \ 1
82027         #IPCP5_CP10
82028  
82029 \9dý5
82030 .
82031 b\99
82032 .
82033 _CP10
82034
82035
82036         )
82037
82038 12567 \ 1
82039         #IPCP5_CP9
82040  
82041 \9dý5
82042 .
82043 b\99
82044 .
82045 _CP9
82046
82047
82048         )
82049
82050 12568 \ 1
82051         #IPCP5_CP8
82052  
82053 \9dý5
82054 .
82055 b\99
82056 .
82057 _CP8
82058
82059
82060         )
82061
82062 12569 \ 1
82063         #IPCP5_CP7
82064  
82065 \9dý5
82066 .
82067 b\99
82068 .
82069 _CP7
82070
82071
82072         )
82073
82074 12570 \ 1
82075         #IPCP5_CP6
82076  
82077 \9dý5
82078 .
82079 b\99
82080 .
82081 _CP6
82082
82083
82084         )
82085
82086 12571 \ 1
82087         #IPCP5_CP5
82088  
82089 \9dý5
82090 .
82091 b\99
82092 .
82093 _CP5
82094
82095
82096         )
82097
82098 12572 \ 1
82099         #IPCP5_CP4
82100  
82101 \9dý5
82102 .
82103 b\99
82104 .
82105 _CP4
82106
82107
82108         )
82109
82110 12573 \ 1
82111         #IPCP5_CP3
82112  
82113 \9dý5
82114 .
82115 b\99
82116 .
82117 _CP3
82118
82119
82120         )
82121
82122 12574 \ 1
82123         #IPCP5_CP2
82124  
82125 \9dý5
82126 .
82127 b\99
82128 .
82129 _CP2
82130
82131
82132         )
82133
82134 12575 \ 1
82135         #IPCP5_CP1
82136  
82137 \9dý5
82138 .
82139 b\99
82140 .
82141 _CP1
82142
82143
82144         )
82145
82146 12576 \ 1
82147         #IPCP5_CP0
82148  
82149 \9dý5
82150 .
82151 b\99
82152 .
82153 _CP0
82154
82155
82156         )
82157
82158 12577 
82159 __IO_EXTERN
82160  
82161 IPCP6STR
82162  
82163         g\9dý6
82164 ;
82165
82166 12578 \ 1
82167         #IPCP6
82168  
82169 \9dý6
82170 .
82171 wÜd
82172
82173
82174         )
82175
82176 12579 \ 1
82177         #IPCP6_CP15
82178  
82179 \9dý6
82180 .
82181 b\99
82182 .
82183 _CP15
82184
82185
82186         )
82187
82188 12580 \ 1
82189         #IPCP6_CP14
82190  
82191 \9dý6
82192 .
82193 b\99
82194 .
82195 _CP14
82196
82197
82198         )
82199
82200 12581 \ 1
82201         #IPCP6_CP13
82202  
82203 \9dý6
82204 .
82205 b\99
82206 .
82207 _CP13
82208
82209
82210         )
82211
82212 12582 \ 1
82213         #IPCP6_CP12
82214  
82215 \9dý6
82216 .
82217 b\99
82218 .
82219 _CP12
82220
82221
82222         )
82223
82224 12583 \ 1
82225         #IPCP6_CP11
82226  
82227 \9dý6
82228 .
82229 b\99
82230 .
82231 _CP11
82232
82233
82234         )
82235
82236 12584 \ 1
82237         #IPCP6_CP10
82238  
82239 \9dý6
82240 .
82241 b\99
82242 .
82243 _CP10
82244
82245
82246         )
82247
82248 12585 \ 1
82249         #IPCP6_CP9
82250  
82251 \9dý6
82252 .
82253 b\99
82254 .
82255 _CP9
82256
82257
82258         )
82259
82260 12586 \ 1
82261         #IPCP6_CP8
82262  
82263 \9dý6
82264 .
82265 b\99
82266 .
82267 _CP8
82268
82269
82270         )
82271
82272 12587 \ 1
82273         #IPCP6_CP7
82274  
82275 \9dý6
82276 .
82277 b\99
82278 .
82279 _CP7
82280
82281
82282         )
82283
82284 12588 \ 1
82285         #IPCP6_CP6
82286  
82287 \9dý6
82288 .
82289 b\99
82290 .
82291 _CP6
82292
82293
82294         )
82295
82296 12589 \ 1
82297         #IPCP6_CP5
82298  
82299 \9dý6
82300 .
82301 b\99
82302 .
82303 _CP5
82304
82305
82306         )
82307
82308 12590 \ 1
82309         #IPCP6_CP4
82310  
82311 \9dý6
82312 .
82313 b\99
82314 .
82315 _CP4
82316
82317
82318         )
82319
82320 12591 \ 1
82321         #IPCP6_CP3
82322  
82323 \9dý6
82324 .
82325 b\99
82326 .
82327 _CP3
82328
82329
82330         )
82331
82332 12592 \ 1
82333         #IPCP6_CP2
82334  
82335 \9dý6
82336 .
82337 b\99
82338 .
82339 _CP2
82340
82341
82342         )
82343
82344 12593 \ 1
82345         #IPCP6_CP1
82346  
82347 \9dý6
82348 .
82349 b\99
82350 .
82351 _CP1
82352
82353
82354         )
82355
82356 12594 \ 1
82357         #IPCP6_CP0
82358  
82359 \9dý6
82360 .
82361 b\99
82362 .
82363 _CP0
82364
82365
82366         )
82367
82368 12595 
82369 __IO_EXTERN
82370  
82371 IPCP7STR
82372  
82373         g\9dý7
82374 ;
82375
82376 12596 \ 1
82377         #IPCP7
82378  
82379 \9dý7
82380 .
82381 wÜd
82382
82383
82384         )
82385
82386 12597 \ 1
82387         #IPCP7_CP15
82388  
82389 \9dý7
82390 .
82391 b\99
82392 .
82393 _CP15
82394
82395
82396         )
82397
82398 12598 \ 1
82399         #IPCP7_CP14
82400  
82401 \9dý7
82402 .
82403 b\99
82404 .
82405 _CP14
82406
82407
82408         )
82409
82410 12599 \ 1
82411         #IPCP7_CP13
82412  
82413 \9dý7
82414 .
82415 b\99
82416 .
82417 _CP13
82418
82419
82420         )
82421
82422 12600 \ 1
82423         #IPCP7_CP12
82424  
82425 \9dý7
82426 .
82427 b\99
82428 .
82429 _CP12
82430
82431
82432         )
82433
82434 12601 \ 1
82435         #IPCP7_CP11
82436  
82437 \9dý7
82438 .
82439 b\99
82440 .
82441 _CP11
82442
82443
82444         )
82445
82446 12602 \ 1
82447         #IPCP7_CP10
82448  
82449 \9dý7
82450 .
82451 b\99
82452 .
82453 _CP10
82454
82455
82456         )
82457
82458 12603 \ 1
82459         #IPCP7_CP9
82460  
82461 \9dý7
82462 .
82463 b\99
82464 .
82465 _CP9
82466
82467
82468         )
82469
82470 12604 \ 1
82471         #IPCP7_CP8
82472  
82473 \9dý7
82474 .
82475 b\99
82476 .
82477 _CP8
82478
82479
82480         )
82481
82482 12605 \ 1
82483         #IPCP7_CP7
82484  
82485 \9dý7
82486 .
82487 b\99
82488 .
82489 _CP7
82490
82491
82492         )
82493
82494 12606 \ 1
82495         #IPCP7_CP6
82496  
82497 \9dý7
82498 .
82499 b\99
82500 .
82501 _CP6
82502
82503
82504         )
82505
82506 12607 \ 1
82507         #IPCP7_CP5
82508  
82509 \9dý7
82510 .
82511 b\99
82512 .
82513 _CP5
82514
82515
82516         )
82517
82518 12608 \ 1
82519         #IPCP7_CP4
82520  
82521 \9dý7
82522 .
82523 b\99
82524 .
82525 _CP4
82526
82527
82528         )
82529
82530 12609 \ 1
82531         #IPCP7_CP3
82532  
82533 \9dý7
82534 .
82535 b\99
82536 .
82537 _CP3
82538
82539
82540         )
82541
82542 12610 \ 1
82543         #IPCP7_CP2
82544  
82545 \9dý7
82546 .
82547 b\99
82548 .
82549 _CP2
82550
82551
82552         )
82553
82554 12611 \ 1
82555         #IPCP7_CP1
82556  
82557 \9dý7
82558 .
82559 b\99
82560 .
82561 _CP1
82562
82563
82564         )
82565
82566 12612 \ 1
82567         #IPCP7_CP0
82568  
82569 \9dý7
82570 .
82571 b\99
82572 .
82573 _CP0
82574
82575
82576         )
82577
82578 12613 
82579 __IO_EXTERN
82580  
82581 OCS45STR
82582  
82583         gocs45
82584 ;
82585
82586 12614 \ 1
82587         #OCS45
82588  
82589 ocs45
82590 .
82591 wÜd
82592
82593
82594         )
82595
82596 12615 \ 1
82597         #OCS45_CMOD
82598  
82599 ocs45
82600 .
82601 b\99
82602 .
82603 _CMOD
82604
82605
82606         )
82607
82608 12616 \ 1
82609         #OCS45_OTD5
82610  
82611 ocs45
82612 .
82613 b\99
82614 .
82615 _OTD5
82616
82617
82618         )
82619
82620 12617 \ 1
82621         #OCS45_OTD4
82622  
82623 ocs45
82624 .
82625 b\99
82626 .
82627 _OTD4
82628
82629
82630         )
82631
82632 12618 \ 1
82633         #OCS45_ICP5
82634  
82635 ocs45
82636 .
82637 b\99
82638 .
82639 _ICP5
82640
82641
82642         )
82643
82644 12619 \ 1
82645         #OCS45_ICP4
82646  
82647 ocs45
82648 .
82649 b\99
82650 .
82651 _ICP4
82652
82653
82654         )
82655
82656 12620 \ 1
82657         #OCS45_ICE5
82658  
82659 ocs45
82660 .
82661 b\99
82662 .
82663 _ICE5
82664
82665
82666         )
82667
82668 12621 \ 1
82669         #OCS45_ICE4
82670  
82671 ocs45
82672 .
82673 b\99
82674 .
82675 _ICE4
82676
82677
82678         )
82679
82680 12622 \ 1
82681         #OCS45_CST5
82682  
82683 ocs45
82684 .
82685 b\99
82686 .
82687 _CST5
82688
82689
82690         )
82691
82692 12623 \ 1
82693         #OCS45_CST4
82694  
82695 ocs45
82696 .
82697 b\99
82698 .
82699 _CST4
82700
82701
82702         )
82703
82704 12624 
82705 __IO_EXTERN
82706  
82707 OCS67STR
82708  
82709         gocs67
82710 ;
82711
82712 12625 \ 1
82713         #OCS67
82714  
82715 ocs67
82716 .
82717 wÜd
82718
82719
82720         )
82721
82722 12626 \ 1
82723         #OCS67_CMOD
82724  
82725 ocs67
82726 .
82727 b\99
82728 .
82729 _CMOD
82730
82731
82732         )
82733
82734 12627 \ 1
82735         #OCS67_OTD7
82736  
82737 ocs67
82738 .
82739 b\99
82740 .
82741 _OTD7
82742
82743
82744         )
82745
82746 12628 \ 1
82747         #OCS67_OTD6
82748  
82749 ocs67
82750 .
82751 b\99
82752 .
82753 _OTD6
82754
82755
82756         )
82757
82758 12629 \ 1
82759         #OCS67_ICP7
82760  
82761 ocs67
82762 .
82763 b\99
82764 .
82765 _ICP7
82766
82767
82768         )
82769
82770 12630 \ 1
82771         #OCS67_ICP6
82772  
82773 ocs67
82774 .
82775 b\99
82776 .
82777 _ICP6
82778
82779
82780         )
82781
82782 12631 \ 1
82783         #OCS67_ICE7
82784  
82785 ocs67
82786 .
82787 b\99
82788 .
82789 _ICE7
82790
82791
82792         )
82793
82794 12632 \ 1
82795         #OCS67_ICE6
82796  
82797 ocs67
82798 .
82799 b\99
82800 .
82801 _ICE6
82802
82803
82804         )
82805
82806 12633 \ 1
82807         #OCS67_CST7
82808  
82809 ocs67
82810 .
82811 b\99
82812 .
82813 _CST7
82814
82815
82816         )
82817
82818 12634 \ 1
82819         #OCS67_CST6
82820  
82821 ocs67
82822 .
82823 b\99
82824 .
82825 _CST6
82826
82827
82828         )
82829
82830 12635 
82831 __IO_EXTERN
82832  
82833 OCCP4STR
82834  
82835         gocý4
82836 ;
82837
82838 12636 \ 1
82839         #OCCP4
82840  
82841 ocý4
82842 .
82843 wÜd
82844
82845
82846         )
82847
82848 12637 \ 1
82849         #OCCP4_C15
82850  
82851 ocý4
82852 .
82853 b\99
82854 .
82855 _C15
82856
82857
82858         )
82859
82860 12638 \ 1
82861         #OCCP4_C14
82862  
82863 ocý4
82864 .
82865 b\99
82866 .
82867 _C14
82868
82869
82870         )
82871
82872 12639 \ 1
82873         #OCCP4_C13
82874  
82875 ocý4
82876 .
82877 b\99
82878 .
82879 _C13
82880
82881
82882         )
82883
82884 12640 \ 1
82885         #OCCP4_C12
82886  
82887 ocý4
82888 .
82889 b\99
82890 .
82891 _C12
82892
82893
82894         )
82895
82896 12641 \ 1
82897         #OCCP4_C11
82898  
82899 ocý4
82900 .
82901 b\99
82902 .
82903 _C11
82904
82905
82906         )
82907
82908 12642 \ 1
82909         #OCCP4_C10
82910  
82911 ocý4
82912 .
82913 b\99
82914 .
82915 _C10
82916
82917
82918         )
82919
82920 12643 \ 1
82921         #OCCP4_C9
82922  
82923 ocý4
82924 .
82925 b\99
82926 .
82927 _C9
82928
82929
82930         )
82931
82932 12644 \ 1
82933         #OCCP4_C8
82934  
82935 ocý4
82936 .
82937 b\99
82938 .
82939 _C8
82940
82941
82942         )
82943
82944 12645 \ 1
82945         #OCCP4_C7
82946  
82947 ocý4
82948 .
82949 b\99
82950 .
82951 _C7
82952
82953
82954         )
82955
82956 12646 \ 1
82957         #OCCP4_C6
82958  
82959 ocý4
82960 .
82961 b\99
82962 .
82963 _C6
82964
82965
82966         )
82967
82968 12647 \ 1
82969         #OCCP4_C5
82970  
82971 ocý4
82972 .
82973 b\99
82974 .
82975 _C5
82976
82977
82978         )
82979
82980 12648 \ 1
82981         #OCCP4_C4
82982  
82983 ocý4
82984 .
82985 b\99
82986 .
82987 _C4
82988
82989
82990         )
82991
82992 12649 \ 1
82993         #OCCP4_C3
82994  
82995 ocý4
82996 .
82997 b\99
82998 .
82999 _C3
83000
83001
83002         )
83003
83004 12650 \ 1
83005         #OCCP4_C2
83006  
83007 ocý4
83008 .
83009 b\99
83010 .
83011 _C2
83012
83013
83014         )
83015
83016 12651 \ 1
83017         #OCCP4_C1
83018  
83019 ocý4
83020 .
83021 b\99
83022 .
83023 _C1
83024
83025
83026         )
83027
83028 12652 \ 1
83029         #OCCP4_C0
83030  
83031 ocý4
83032 .
83033 b\99
83034 .
83035 _C0
83036
83037
83038         )
83039
83040 12653 
83041 __IO_EXTERN
83042  
83043 OCCP5STR
83044  
83045         gocý5
83046 ;
83047
83048 12654 \ 1
83049         #OCCP5
83050  
83051 ocý5
83052 .
83053 wÜd
83054
83055
83056         )
83057
83058 12655 \ 1
83059         #OCCP5_C15
83060  
83061 ocý5
83062 .
83063 b\99
83064 .
83065 _C15
83066
83067
83068         )
83069
83070 12656 \ 1
83071         #OCCP5_C14
83072  
83073 ocý5
83074 .
83075 b\99
83076 .
83077 _C14
83078
83079
83080         )
83081
83082 12657 \ 1
83083         #OCCP5_C13
83084  
83085 ocý5
83086 .
83087 b\99
83088 .
83089 _C13
83090
83091
83092         )
83093
83094 12658 \ 1
83095         #OCCP5_C12
83096  
83097 ocý5
83098 .
83099 b\99
83100 .
83101 _C12
83102
83103
83104         )
83105
83106 12659 \ 1
83107         #OCCP5_C11
83108  
83109 ocý5
83110 .
83111 b\99
83112 .
83113 _C11
83114
83115
83116         )
83117
83118 12660 \ 1
83119         #OCCP5_C10
83120  
83121 ocý5
83122 .
83123 b\99
83124 .
83125 _C10
83126
83127
83128         )
83129
83130 12661 \ 1
83131         #OCCP5_C9
83132  
83133 ocý5
83134 .
83135 b\99
83136 .
83137 _C9
83138
83139
83140         )
83141
83142 12662 \ 1
83143         #OCCP5_C8
83144  
83145 ocý5
83146 .
83147 b\99
83148 .
83149 _C8
83150
83151
83152         )
83153
83154 12663 \ 1
83155         #OCCP5_C7
83156  
83157 ocý5
83158 .
83159 b\99
83160 .
83161 _C7
83162
83163
83164         )
83165
83166 12664 \ 1
83167         #OCCP5_C6
83168  
83169 ocý5
83170 .
83171 b\99
83172 .
83173 _C6
83174
83175
83176         )
83177
83178 12665 \ 1
83179         #OCCP5_C5
83180  
83181 ocý5
83182 .
83183 b\99
83184 .
83185 _C5
83186
83187
83188         )
83189
83190 12666 \ 1
83191         #OCCP5_C4
83192  
83193 ocý5
83194 .
83195 b\99
83196 .
83197 _C4
83198
83199
83200         )
83201
83202 12667 \ 1
83203         #OCCP5_C3
83204  
83205 ocý5
83206 .
83207 b\99
83208 .
83209 _C3
83210
83211
83212         )
83213
83214 12668 \ 1
83215         #OCCP5_C2
83216  
83217 ocý5
83218 .
83219 b\99
83220 .
83221 _C2
83222
83223
83224         )
83225
83226 12669 \ 1
83227         #OCCP5_C1
83228  
83229 ocý5
83230 .
83231 b\99
83232 .
83233 _C1
83234
83235
83236         )
83237
83238 12670 \ 1
83239         #OCCP5_C0
83240  
83241 ocý5
83242 .
83243 b\99
83244 .
83245 _C0
83246
83247
83248         )
83249
83250 12671 
83251 __IO_EXTERN
83252  
83253 OCCP6STR
83254  
83255         gocý6
83256 ;
83257
83258 12672 \ 1
83259         #OCCP6
83260  
83261 ocý6
83262 .
83263 wÜd
83264
83265
83266         )
83267
83268 12673 \ 1
83269         #OCCP6_C15
83270  
83271 ocý6
83272 .
83273 b\99
83274 .
83275 _C15
83276
83277
83278         )
83279
83280 12674 \ 1
83281         #OCCP6_C14
83282  
83283 ocý6
83284 .
83285 b\99
83286 .
83287 _C14
83288
83289
83290         )
83291
83292 12675 \ 1
83293         #OCCP6_C13
83294  
83295 ocý6
83296 .
83297 b\99
83298 .
83299 _C13
83300
83301
83302         )
83303
83304 12676 \ 1
83305         #OCCP6_C12
83306  
83307 ocý6
83308 .
83309 b\99
83310 .
83311 _C12
83312
83313
83314         )
83315
83316 12677 \ 1
83317         #OCCP6_C11
83318  
83319 ocý6
83320 .
83321 b\99
83322 .
83323 _C11
83324
83325
83326         )
83327
83328 12678 \ 1
83329         #OCCP6_C10
83330  
83331 ocý6
83332 .
83333 b\99
83334 .
83335 _C10
83336
83337
83338         )
83339
83340 12679 \ 1
83341         #OCCP6_C9
83342  
83343 ocý6
83344 .
83345 b\99
83346 .
83347 _C9
83348
83349
83350         )
83351
83352 12680 \ 1
83353         #OCCP6_C8
83354  
83355 ocý6
83356 .
83357 b\99
83358 .
83359 _C8
83360
83361
83362         )
83363
83364 12681 \ 1
83365         #OCCP6_C7
83366  
83367 ocý6
83368 .
83369 b\99
83370 .
83371 _C7
83372
83373
83374         )
83375
83376 12682 \ 1
83377         #OCCP6_C6
83378  
83379 ocý6
83380 .
83381 b\99
83382 .
83383 _C6
83384
83385
83386         )
83387
83388 12683 \ 1
83389         #OCCP6_C5
83390  
83391 ocý6
83392 .
83393 b\99
83394 .
83395 _C5
83396
83397
83398         )
83399
83400 12684 \ 1
83401         #OCCP6_C4
83402  
83403 ocý6
83404 .
83405 b\99
83406 .
83407 _C4
83408
83409
83410         )
83411
83412 12685 \ 1
83413         #OCCP6_C3
83414  
83415 ocý6
83416 .
83417 b\99
83418 .
83419 _C3
83420
83421
83422         )
83423
83424 12686 \ 1
83425         #OCCP6_C2
83426  
83427 ocý6
83428 .
83429 b\99
83430 .
83431 _C2
83432
83433
83434         )
83435
83436 12687 \ 1
83437         #OCCP6_C1
83438  
83439 ocý6
83440 .
83441 b\99
83442 .
83443 _C1
83444
83445
83446         )
83447
83448 12688 \ 1
83449         #OCCP6_C0
83450  
83451 ocý6
83452 .
83453 b\99
83454 .
83455 _C0
83456
83457
83458         )
83459
83460 12689 
83461 __IO_EXTERN
83462  
83463 OCCP7STR
83464  
83465         gocý7
83466 ;
83467
83468 12690 \ 1
83469         #OCCP7
83470  
83471 ocý7
83472 .
83473 wÜd
83474
83475
83476         )
83477
83478 12691 \ 1
83479         #OCCP7_C15
83480  
83481 ocý7
83482 .
83483 b\99
83484 .
83485 _C15
83486
83487
83488         )
83489
83490 12692 \ 1
83491         #OCCP7_C14
83492  
83493 ocý7
83494 .
83495 b\99
83496 .
83497 _C14
83498
83499
83500         )
83501
83502 12693 \ 1
83503         #OCCP7_C13
83504  
83505 ocý7
83506 .
83507 b\99
83508 .
83509 _C13
83510
83511
83512         )
83513
83514 12694 \ 1
83515         #OCCP7_C12
83516  
83517 ocý7
83518 .
83519 b\99
83520 .
83521 _C12
83522
83523
83524         )
83525
83526 12695 \ 1
83527         #OCCP7_C11
83528  
83529 ocý7
83530 .
83531 b\99
83532 .
83533 _C11
83534
83535
83536         )
83537
83538 12696 \ 1
83539         #OCCP7_C10
83540  
83541 ocý7
83542 .
83543 b\99
83544 .
83545 _C10
83546
83547
83548         )
83549
83550 12697 \ 1
83551         #OCCP7_C9
83552  
83553 ocý7
83554 .
83555 b\99
83556 .
83557 _C9
83558
83559
83560         )
83561
83562 12698 \ 1
83563         #OCCP7_C8
83564  
83565 ocý7
83566 .
83567 b\99
83568 .
83569 _C8
83570
83571
83572         )
83573
83574 12699 \ 1
83575         #OCCP7_C7
83576  
83577 ocý7
83578 .
83579 b\99
83580 .
83581 _C7
83582
83583
83584         )
83585
83586 12700 \ 1
83587         #OCCP7_C6
83588  
83589 ocý7
83590 .
83591 b\99
83592 .
83593 _C6
83594
83595
83596         )
83597
83598 12701 \ 1
83599         #OCCP7_C5
83600  
83601 ocý7
83602 .
83603 b\99
83604 .
83605 _C5
83606
83607
83608         )
83609
83610 12702 \ 1
83611         #OCCP7_C4
83612  
83613 ocý7
83614 .
83615 b\99
83616 .
83617 _C4
83618
83619
83620         )
83621
83622 12703 \ 1
83623         #OCCP7_C3
83624  
83625 ocý7
83626 .
83627 b\99
83628 .
83629 _C3
83630
83631
83632         )
83633
83634 12704 \ 1
83635         #OCCP7_C2
83636  
83637 ocý7
83638 .
83639 b\99
83640 .
83641 _C2
83642
83643
83644         )
83645
83646 12705 \ 1
83647         #OCCP7_C1
83648  
83649 ocý7
83650 .
83651 b\99
83652 .
83653 _C1
83654
83655
83656         )
83657
83658 12706 \ 1
83659         #OCCP7_C0
83660  
83661 ocý7
83662 .
83663 b\99
83664 .
83665 _C0
83666
83667
83668         )
83669
83670 12707 
83671 __IO_EXTERN
83672  
83673 TCDT4STR
83674  
83675         gtcdt4
83676 ;
83677
83678 12708 \ 1
83679         #TCDT4
83680  
83681 tcdt4
83682 .
83683 wÜd
83684
83685
83686         )
83687
83688 12709 \ 1
83689         #TCDT4_T15
83690  
83691 tcdt4
83692 .
83693 b\99
83694 .
83695 _T15
83696
83697
83698         )
83699
83700 12710 \ 1
83701         #TCDT4_T14
83702  
83703 tcdt4
83704 .
83705 b\99
83706 .
83707 _T14
83708
83709
83710         )
83711
83712 12711 \ 1
83713         #TCDT4_T13
83714  
83715 tcdt4
83716 .
83717 b\99
83718 .
83719 _T13
83720
83721
83722         )
83723
83724 12712 \ 1
83725         #TCDT4_T12
83726  
83727 tcdt4
83728 .
83729 b\99
83730 .
83731 _T12
83732
83733
83734         )
83735
83736 12713 \ 1
83737         #TCDT4_T11
83738  
83739 tcdt4
83740 .
83741 b\99
83742 .
83743 _T11
83744
83745
83746         )
83747
83748 12714 \ 1
83749         #TCDT4_T10
83750  
83751 tcdt4
83752 .
83753 b\99
83754 .
83755 _T10
83756
83757
83758         )
83759
83760 12715 \ 1
83761         #TCDT4_T9
83762  
83763 tcdt4
83764 .
83765 b\99
83766 .
83767 _T9
83768
83769
83770         )
83771
83772 12716 \ 1
83773         #TCDT4_T8
83774  
83775 tcdt4
83776 .
83777 b\99
83778 .
83779 _T8
83780
83781
83782         )
83783
83784 12717 \ 1
83785         #TCDT4_T7
83786  
83787 tcdt4
83788 .
83789 b\99
83790 .
83791 _T7
83792
83793
83794         )
83795
83796 12718 \ 1
83797         #TCDT4_T6
83798  
83799 tcdt4
83800 .
83801 b\99
83802 .
83803 _T6
83804
83805
83806         )
83807
83808 12719 \ 1
83809         #TCDT4_T5
83810  
83811 tcdt4
83812 .
83813 b\99
83814 .
83815 _T5
83816
83817
83818         )
83819
83820 12720 \ 1
83821         #TCDT4_T4
83822  
83823 tcdt4
83824 .
83825 b\99
83826 .
83827 _T4
83828
83829
83830         )
83831
83832 12721 \ 1
83833         #TCDT4_T3
83834  
83835 tcdt4
83836 .
83837 b\99
83838 .
83839 _T3
83840
83841
83842         )
83843
83844 12722 \ 1
83845         #TCDT4_T2
83846  
83847 tcdt4
83848 .
83849 b\99
83850 .
83851 _T2
83852
83853
83854         )
83855
83856 12723 \ 1
83857         #TCDT4_T1
83858  
83859 tcdt4
83860 .
83861 b\99
83862 .
83863 _T1
83864
83865
83866         )
83867
83868 12724 \ 1
83869         #TCDT4_T0
83870  
83871 tcdt4
83872 .
83873 b\99
83874 .
83875 _T0
83876
83877
83878         )
83879
83880 12725 
83881 __IO_EXTERN
83882  
83883 TCCS4STR
83884  
83885         gtccs4
83886 ;
83887
83888 12726 \ 1
83889         #TCCS4
83890  
83891 tccs4
83892 .
83893 by\8b
83894
83895
83896         )
83897
83898 12727 \ 1
83899         #TCCS4_ECLK
83900  
83901 tccs4
83902 .
83903 b\99
83904 .
83905 _ECLK
83906
83907
83908         )
83909
83910 12728 \ 1
83911         #TCCS4_IVF
83912  
83913 tccs4
83914 .
83915 b\99
83916 .
83917 _IVF
83918
83919
83920         )
83921
83922 12729 \ 1
83923         #TCCS4_IVFE
83924  
83925 tccs4
83926 .
83927 b\99
83928 .
83929 _IVFE
83930
83931
83932         )
83933
83934 12730 \ 1
83935         #TCCS4_STOP
83936  
83937 tccs4
83938 .
83939 b\99
83940 .
83941 _STOP
83942
83943
83944         )
83945
83946 12731 \ 1
83947         #TCCS4_MODE
83948  
83949 tccs4
83950 .
83951 b\99
83952 .
83953 _MODE
83954
83955
83956         )
83957
83958 12732 \ 1
83959         #TCCS4_CLR
83960  
83961 tccs4
83962 .
83963 b\99
83964 .
83965 _CLR
83966
83967
83968         )
83969
83970 12733 \ 1
83971         #TCCS4_CLK1
83972  
83973 tccs4
83974 .
83975 b\99
83976 .
83977 _CLK1
83978
83979
83980         )
83981
83982 12734 \ 1
83983         #TCCS4_CLK0
83984  
83985 tccs4
83986 .
83987 b\99
83988 .
83989 _CLK0
83990
83991
83992         )
83993
83994 12735 \ 1
83995         #TCCS4_CLK
83996  
83997 tccs4
83998 .
83999 b\99c
84000 .
84001 _CLK
84002
84003
84004         )
84005
84006 12736 
84007 __IO_EXTERN
84008  
84009 TCDT5STR
84010  
84011         gtcdt5
84012 ;
84013
84014 12737 \ 1
84015         #TCDT5
84016  
84017 tcdt5
84018 .
84019 wÜd
84020
84021
84022         )
84023
84024 12738 \ 1
84025         #TCDT5_T15
84026  
84027 tcdt5
84028 .
84029 b\99
84030 .
84031 _T15
84032
84033
84034         )
84035
84036 12739 \ 1
84037         #TCDT5_T14
84038  
84039 tcdt5
84040 .
84041 b\99
84042 .
84043 _T14
84044
84045
84046         )
84047
84048 12740 \ 1
84049         #TCDT5_T13
84050  
84051 tcdt5
84052 .
84053 b\99
84054 .
84055 _T13
84056
84057
84058         )
84059
84060 12741 \ 1
84061         #TCDT5_T12
84062  
84063 tcdt5
84064 .
84065 b\99
84066 .
84067 _T12
84068
84069
84070         )
84071
84072 12742 \ 1
84073         #TCDT5_T11
84074  
84075 tcdt5
84076 .
84077 b\99
84078 .
84079 _T11
84080
84081
84082         )
84083
84084 12743 \ 1
84085         #TCDT5_T10
84086  
84087 tcdt5
84088 .
84089 b\99
84090 .
84091 _T10
84092
84093
84094         )
84095
84096 12744 \ 1
84097         #TCDT5_T9
84098  
84099 tcdt5
84100 .
84101 b\99
84102 .
84103 _T9
84104
84105
84106         )
84107
84108 12745 \ 1
84109         #TCDT5_T8
84110  
84111 tcdt5
84112 .
84113 b\99
84114 .
84115 _T8
84116
84117
84118         )
84119
84120 12746 \ 1
84121         #TCDT5_T7
84122  
84123 tcdt5
84124 .
84125 b\99
84126 .
84127 _T7
84128
84129
84130         )
84131
84132 12747 \ 1
84133         #TCDT5_T6
84134  
84135 tcdt5
84136 .
84137 b\99
84138 .
84139 _T6
84140
84141
84142         )
84143
84144 12748 \ 1
84145         #TCDT5_T5
84146  
84147 tcdt5
84148 .
84149 b\99
84150 .
84151 _T5
84152
84153
84154         )
84155
84156 12749 \ 1
84157         #TCDT5_T4
84158  
84159 tcdt5
84160 .
84161 b\99
84162 .
84163 _T4
84164
84165
84166         )
84167
84168 12750 \ 1
84169         #TCDT5_T3
84170  
84171 tcdt5
84172 .
84173 b\99
84174 .
84175 _T3
84176
84177
84178         )
84179
84180 12751 \ 1
84181         #TCDT5_T2
84182  
84183 tcdt5
84184 .
84185 b\99
84186 .
84187 _T2
84188
84189
84190         )
84191
84192 12752 \ 1
84193         #TCDT5_T1
84194  
84195 tcdt5
84196 .
84197 b\99
84198 .
84199 _T1
84200
84201
84202         )
84203
84204 12753 \ 1
84205         #TCDT5_T0
84206  
84207 tcdt5
84208 .
84209 b\99
84210 .
84211 _T0
84212
84213
84214         )
84215
84216 12754 
84217 __IO_EXTERN
84218  
84219 TCCS5STR
84220  
84221         gtccs5
84222 ;
84223
84224 12755 \ 1
84225         #TCCS5
84226  
84227 tccs5
84228 .
84229 by\8b
84230
84231
84232         )
84233
84234 12756 \ 1
84235         #TCCS5_ECLK
84236  
84237 tccs5
84238 .
84239 b\99
84240 .
84241 _ECLK
84242
84243
84244         )
84245
84246 12757 \ 1
84247         #TCCS5_IVF
84248  
84249 tccs5
84250 .
84251 b\99
84252 .
84253 _IVF
84254
84255
84256         )
84257
84258 12758 \ 1
84259         #TCCS5_IVFE
84260  
84261 tccs5
84262 .
84263 b\99
84264 .
84265 _IVFE
84266
84267
84268         )
84269
84270 12759 \ 1
84271         #TCCS5_STOP
84272  
84273 tccs5
84274 .
84275 b\99
84276 .
84277 _STOP
84278
84279
84280         )
84281
84282 12760 \ 1
84283         #TCCS5_MODE
84284  
84285 tccs5
84286 .
84287 b\99
84288 .
84289 _MODE
84290
84291
84292         )
84293
84294 12761 \ 1
84295         #TCCS5_CLR
84296  
84297 tccs5
84298 .
84299 b\99
84300 .
84301 _CLR
84302
84303
84304         )
84305
84306 12762 \ 1
84307         #TCCS5_CLK1
84308  
84309 tccs5
84310 .
84311 b\99
84312 .
84313 _CLK1
84314
84315
84316         )
84317
84318 12763 \ 1
84319         #TCCS5_CLK0
84320  
84321 tccs5
84322 .
84323 b\99
84324 .
84325 _CLK0
84326
84327
84328         )
84329
84330 12764 \ 1
84331         #TCCS5_CLK
84332  
84333 tccs5
84334 .
84335 b\99c
84336 .
84337 _CLK
84338
84339
84340         )
84341
84342 12765 
84343 __IO_EXTERN
84344  
84345 TCDT6STR
84346  
84347         gtcdt6
84348 ;
84349
84350 12766 \ 1
84351         #TCDT6
84352  
84353 tcdt6
84354 .
84355 wÜd
84356
84357
84358         )
84359
84360 12767 \ 1
84361         #TCDT6_T15
84362  
84363 tcdt6
84364 .
84365 b\99
84366 .
84367 _T15
84368
84369
84370         )
84371
84372 12768 \ 1
84373         #TCDT6_T14
84374  
84375 tcdt6
84376 .
84377 b\99
84378 .
84379 _T14
84380
84381
84382         )
84383
84384 12769 \ 1
84385         #TCDT6_T13
84386  
84387 tcdt6
84388 .
84389 b\99
84390 .
84391 _T13
84392
84393
84394         )
84395
84396 12770 \ 1
84397         #TCDT6_T12
84398  
84399 tcdt6
84400 .
84401 b\99
84402 .
84403 _T12
84404
84405
84406         )
84407
84408 12771 \ 1
84409         #TCDT6_T11
84410  
84411 tcdt6
84412 .
84413 b\99
84414 .
84415 _T11
84416
84417
84418         )
84419
84420 12772 \ 1
84421         #TCDT6_T10
84422  
84423 tcdt6
84424 .
84425 b\99
84426 .
84427 _T10
84428
84429
84430         )
84431
84432 12773 \ 1
84433         #TCDT6_T9
84434  
84435 tcdt6
84436 .
84437 b\99
84438 .
84439 _T9
84440
84441
84442         )
84443
84444 12774 \ 1
84445         #TCDT6_T8
84446  
84447 tcdt6
84448 .
84449 b\99
84450 .
84451 _T8
84452
84453
84454         )
84455
84456 12775 \ 1
84457         #TCDT6_T7
84458  
84459 tcdt6
84460 .
84461 b\99
84462 .
84463 _T7
84464
84465
84466         )
84467
84468 12776 \ 1
84469         #TCDT6_T6
84470  
84471 tcdt6
84472 .
84473 b\99
84474 .
84475 _T6
84476
84477
84478         )
84479
84480 12777 \ 1
84481         #TCDT6_T5
84482  
84483 tcdt6
84484 .
84485 b\99
84486 .
84487 _T5
84488
84489
84490         )
84491
84492 12778 \ 1
84493         #TCDT6_T4
84494  
84495 tcdt6
84496 .
84497 b\99
84498 .
84499 _T4
84500
84501
84502         )
84503
84504 12779 \ 1
84505         #TCDT6_T3
84506  
84507 tcdt6
84508 .
84509 b\99
84510 .
84511 _T3
84512
84513
84514         )
84515
84516 12780 \ 1
84517         #TCDT6_T2
84518  
84519 tcdt6
84520 .
84521 b\99
84522 .
84523 _T2
84524
84525
84526         )
84527
84528 12781 \ 1
84529         #TCDT6_T1
84530  
84531 tcdt6
84532 .
84533 b\99
84534 .
84535 _T1
84536
84537
84538         )
84539
84540 12782 \ 1
84541         #TCDT6_T0
84542  
84543 tcdt6
84544 .
84545 b\99
84546 .
84547 _T0
84548
84549
84550         )
84551
84552 12783 
84553 __IO_EXTERN
84554  
84555 TCCS6STR
84556  
84557         gtccs6
84558 ;
84559
84560 12784 \ 1
84561         #TCCS6
84562  
84563 tccs6
84564 .
84565 by\8b
84566
84567
84568         )
84569
84570 12785 \ 1
84571         #TCCS6_ECLK
84572  
84573 tccs6
84574 .
84575 b\99
84576 .
84577 _ECLK
84578
84579
84580         )
84581
84582 12786 \ 1
84583         #TCCS6_IVF
84584  
84585 tccs6
84586 .
84587 b\99
84588 .
84589 _IVF
84590
84591
84592         )
84593
84594 12787 \ 1
84595         #TCCS6_IVFE
84596  
84597 tccs6
84598 .
84599 b\99
84600 .
84601 _IVFE
84602
84603
84604         )
84605
84606 12788 \ 1
84607         #TCCS6_STOP
84608  
84609 tccs6
84610 .
84611 b\99
84612 .
84613 _STOP
84614
84615
84616         )
84617
84618 12789 \ 1
84619         #TCCS6_MODE
84620  
84621 tccs6
84622 .
84623 b\99
84624 .
84625 _MODE
84626
84627
84628         )
84629
84630 12790 \ 1
84631         #TCCS6_CLR
84632  
84633 tccs6
84634 .
84635 b\99
84636 .
84637 _CLR
84638
84639
84640         )
84641
84642 12791 \ 1
84643         #TCCS6_CLK1
84644  
84645 tccs6
84646 .
84647 b\99
84648 .
84649 _CLK1
84650
84651
84652         )
84653
84654 12792 \ 1
84655         #TCCS6_CLK0
84656  
84657 tccs6
84658 .
84659 b\99
84660 .
84661 _CLK0
84662
84663
84664         )
84665
84666 12793 \ 1
84667         #TCCS6_CLK
84668  
84669 tccs6
84670 .
84671 b\99c
84672 .
84673 _CLK
84674
84675
84676         )
84677
84678 12794 
84679 __IO_EXTERN
84680  
84681 TCDT7STR
84682  
84683         gtcdt7
84684 ;
84685
84686 12795 \ 1
84687         #TCDT7
84688  
84689 tcdt7
84690 .
84691 wÜd
84692
84693
84694         )
84695
84696 12796 \ 1
84697         #TCDT7_T15
84698  
84699 tcdt7
84700 .
84701 b\99
84702 .
84703 _T15
84704
84705
84706         )
84707
84708 12797 \ 1
84709         #TCDT7_T14
84710  
84711 tcdt7
84712 .
84713 b\99
84714 .
84715 _T14
84716
84717
84718         )
84719
84720 12798 \ 1
84721         #TCDT7_T13
84722  
84723 tcdt7
84724 .
84725 b\99
84726 .
84727 _T13
84728
84729
84730         )
84731
84732 12799 \ 1
84733         #TCDT7_T12
84734  
84735 tcdt7
84736 .
84737 b\99
84738 .
84739 _T12
84740
84741
84742         )
84743
84744 12800 \ 1
84745         #TCDT7_T11
84746  
84747 tcdt7
84748 .
84749 b\99
84750 .
84751 _T11
84752
84753
84754         )
84755
84756 12801 \ 1
84757         #TCDT7_T10
84758  
84759 tcdt7
84760 .
84761 b\99
84762 .
84763 _T10
84764
84765
84766         )
84767
84768 12802 \ 1
84769         #TCDT7_T9
84770  
84771 tcdt7
84772 .
84773 b\99
84774 .
84775 _T9
84776
84777
84778         )
84779
84780 12803 \ 1
84781         #TCDT7_T8
84782  
84783 tcdt7
84784 .
84785 b\99
84786 .
84787 _T8
84788
84789
84790         )
84791
84792 12804 \ 1
84793         #TCDT7_T7
84794  
84795 tcdt7
84796 .
84797 b\99
84798 .
84799 _T7
84800
84801
84802         )
84803
84804 12805 \ 1
84805         #TCDT7_T6
84806  
84807 tcdt7
84808 .
84809 b\99
84810 .
84811 _T6
84812
84813
84814         )
84815
84816 12806 \ 1
84817         #TCDT7_T5
84818  
84819 tcdt7
84820 .
84821 b\99
84822 .
84823 _T5
84824
84825
84826         )
84827
84828 12807 \ 1
84829         #TCDT7_T4
84830  
84831 tcdt7
84832 .
84833 b\99
84834 .
84835 _T4
84836
84837
84838         )
84839
84840 12808 \ 1
84841         #TCDT7_T3
84842  
84843 tcdt7
84844 .
84845 b\99
84846 .
84847 _T3
84848
84849
84850         )
84851
84852 12809 \ 1
84853         #TCDT7_T2
84854  
84855 tcdt7
84856 .
84857 b\99
84858 .
84859 _T2
84860
84861
84862         )
84863
84864 12810 \ 1
84865         #TCDT7_T1
84866  
84867 tcdt7
84868 .
84869 b\99
84870 .
84871 _T1
84872
84873
84874         )
84875
84876 12811 \ 1
84877         #TCDT7_T0
84878  
84879 tcdt7
84880 .
84881 b\99
84882 .
84883 _T0
84884
84885
84886         )
84887
84888 12812 
84889 __IO_EXTERN
84890  
84891 TCCS7STR
84892  
84893         gtccs7
84894 ;
84895
84896 12813 \ 1
84897         #TCCS7
84898  
84899 tccs7
84900 .
84901 by\8b
84902
84903
84904         )
84905
84906 12814 \ 1
84907         #TCCS7_ECLK
84908  
84909 tccs7
84910 .
84911 b\99
84912 .
84913 _ECLK
84914
84915
84916         )
84917
84918 12815 \ 1
84919         #TCCS7_IVF
84920  
84921 tccs7
84922 .
84923 b\99
84924 .
84925 _IVF
84926
84927
84928         )
84929
84930 12816 \ 1
84931         #TCCS7_IVFE
84932  
84933 tccs7
84934 .
84935 b\99
84936 .
84937 _IVFE
84938
84939
84940         )
84941
84942 12817 \ 1
84943         #TCCS7_STOP
84944  
84945 tccs7
84946 .
84947 b\99
84948 .
84949 _STOP
84950
84951
84952         )
84953
84954 12818 \ 1
84955         #TCCS7_MODE
84956  
84957 tccs7
84958 .
84959 b\99
84960 .
84961 _MODE
84962
84963
84964         )
84965
84966 12819 \ 1
84967         #TCCS7_CLR
84968  
84969 tccs7
84970 .
84971 b\99
84972 .
84973 _CLR
84974
84975
84976         )
84977
84978 12820 \ 1
84979         #TCCS7_CLK1
84980  
84981 tccs7
84982 .
84983 b\99
84984 .
84985 _CLK1
84986
84987
84988         )
84989
84990 12821 \ 1
84991         #TCCS7_CLK0
84992  
84993 tccs7
84994 .
84995 b\99
84996 .
84997 _CLK0
84998
84999
85000         )
85001
85002 12822 \ 1
85003         #TCCS7_CLK
85004  
85005 tccs7
85006 .
85007 b\99c
85008 .
85009 _CLK
85010
85011
85012         )
85013
85014 12823 
85015 __IO_EXTERN
85016  
85017 ROMSSTR
85018  
85019         groms
85020 ;
85021
85022 12824 \ 1
85023         #ROMS
85024  
85025 roms
85026 .
85027 wÜd
85028
85029
85030         )
85031
85032 12825 \ 1
85033         #ROMS_D15
85034  
85035 roms
85036 .
85037 b\99
85038 .
85039 _D15
85040
85041
85042         )
85043
85044 12826 \ 1
85045         #ROMS_D14
85046  
85047 roms
85048 .
85049 b\99
85050 .
85051 _D14
85052
85053
85054         )
85055
85056 12827 \ 1
85057         #ROMS_D13
85058  
85059 roms
85060 .
85061 b\99
85062 .
85063 _D13
85064
85065
85066         )
85067
85068 12828 \ 1
85069         #ROMS_D12
85070  
85071 roms
85072 .
85073 b\99
85074 .
85075 _D12
85076
85077
85078         )
85079
85080 12829 \ 1
85081         #ROMS_D11
85082  
85083 roms
85084 .
85085 b\99
85086 .
85087 _D11
85088
85089
85090         )
85091
85092 12830 \ 1
85093         #ROMS_D10
85094  
85095 roms
85096 .
85097 b\99
85098 .
85099 _D10
85100
85101
85102         )
85103
85104 12831 \ 1
85105         #ROMS_D9
85106  
85107 roms
85108 .
85109 b\99
85110 .
85111 _D9
85112
85113
85114         )
85115
85116 12832 \ 1
85117         #ROMS_D8
85118  
85119 roms
85120 .
85121 b\99
85122 .
85123 _D8
85124
85125
85126         )
85127
85128 12833 \ 1
85129         #ROMS_D7
85130  
85131 roms
85132 .
85133 b\99
85134 .
85135 _D7
85136
85137
85138         )
85139
85140 12834 \ 1
85141         #ROMS_D6
85142  
85143 roms
85144 .
85145 b\99
85146 .
85147 _D6
85148
85149
85150         )
85151
85152 12835 \ 1
85153         #ROMS_D5
85154  
85155 roms
85156 .
85157 b\99
85158 .
85159 _D5
85160
85161
85162         )
85163
85164 12836 \ 1
85165         #ROMS_D4
85166  
85167 roms
85168 .
85169 b\99
85170 .
85171 _D4
85172
85173
85174         )
85175
85176 12837 \ 1
85177         #ROMS_D3
85178  
85179 roms
85180 .
85181 b\99
85182 .
85183 _D3
85184
85185
85186         )
85187
85188 12838 \ 1
85189         #ROMS_D2
85190  
85191 roms
85192 .
85193 b\99
85194 .
85195 _D2
85196
85197
85198         )
85199
85200 12839 \ 1
85201         #ROMS_D1
85202  
85203 roms
85204 .
85205 b\99
85206 .
85207 _D1
85208
85209
85210         )
85211
85212 12840 \ 1
85213         #ROMS_D0
85214  
85215 roms
85216 .
85217 b\99
85218 .
85219 _D0
85220
85221
85222         )
85223
85224 12841 
85225 __IO_EXTERN
85226  
85227 IO_LWORD
85228  
85229         gbsd0
85230 ;
85231
85232 12842 \ 1
85233         #BSD0
85234  
85235 bsd0
85236
85237
85238         )
85239
85240 12843 
85241 __IO_EXTERN
85242  
85243 IO_LWORD
85244  
85245         gbsd1
85246 ;
85247
85248 12844 \ 1
85249         #BSD1
85250  
85251 bsd1
85252
85253
85254         )
85255
85256 12845 
85257 __IO_EXTERN
85258  
85259 IO_LWORD
85260  
85261         gbsdc
85262 ;
85263
85264 12846 \ 1
85265         #BSDC
85266  
85267 bsdc
85268
85269
85270         )
85271
85272 12847 
85273 __IO_EXTERN
85274  
85275 IO_LWORD
85276  
85277         gb¤r
85278 ;
85279
85280 12848 \ 1
85281         #BSRR
85282  
85283 b¤r
85284
85285
85286         )
85287
85288 12849 
85289 __IO_EXTERN
85290  
85291 ICR00STR
85292  
85293         giü00
85294 ;
85295
85296 12850 \ 1
85297         #ICR00
85298  
85299 iü00
85300 .
85301 by\8b
85302
85303
85304         )
85305
85306 12851 \ 1
85307         #ICR00_ICR4
85308  
85309 iü00
85310 .
85311 b\99
85312 .
85313 _ICR4
85314
85315
85316         )
85317
85318 12852 \ 1
85319         #ICR00_ICR3
85320  
85321 iü00
85322 .
85323 b\99
85324 .
85325 _ICR3
85326
85327
85328         )
85329
85330 12853 \ 1
85331         #ICR00_ICR2
85332  
85333 iü00
85334 .
85335 b\99
85336 .
85337 _ICR2
85338
85339
85340         )
85341
85342 12854 \ 1
85343         #ICR00_ICR1
85344  
85345 iü00
85346 .
85347 b\99
85348 .
85349 _ICR1
85350
85351
85352         )
85353
85354 12855 \ 1
85355         #ICR00_ICR0
85356  
85357 iü00
85358 .
85359 b\99
85360 .
85361 _ICR0
85362
85363
85364         )
85365
85366 12856 
85367 __IO_EXTERN
85368  
85369 ICR01STR
85370  
85371         giü01
85372 ;
85373
85374 12857 \ 1
85375         #ICR01
85376  
85377 iü01
85378 .
85379 by\8b
85380
85381
85382         )
85383
85384 12858 \ 1
85385         #ICR01_ICR4
85386  
85387 iü01
85388 .
85389 b\99
85390 .
85391 _ICR4
85392
85393
85394         )
85395
85396 12859 \ 1
85397         #ICR01_ICR3
85398  
85399 iü01
85400 .
85401 b\99
85402 .
85403 _ICR3
85404
85405
85406         )
85407
85408 12860 \ 1
85409         #ICR01_ICR2
85410  
85411 iü01
85412 .
85413 b\99
85414 .
85415 _ICR2
85416
85417
85418         )
85419
85420 12861 \ 1
85421         #ICR01_ICR1
85422  
85423 iü01
85424 .
85425 b\99
85426 .
85427 _ICR1
85428
85429
85430         )
85431
85432 12862 \ 1
85433         #ICR01_ICR0
85434  
85435 iü01
85436 .
85437 b\99
85438 .
85439 _ICR0
85440
85441
85442         )
85443
85444 12863 
85445 __IO_EXTERN
85446  
85447 ICR02STR
85448  
85449         giü02
85450 ;
85451
85452 12864 \ 1
85453         #ICR02
85454  
85455 iü02
85456 .
85457 by\8b
85458
85459
85460         )
85461
85462 12865 \ 1
85463         #ICR02_ICR4
85464  
85465 iü02
85466 .
85467 b\99
85468 .
85469 _ICR4
85470
85471
85472         )
85473
85474 12866 \ 1
85475         #ICR02_ICR3
85476  
85477 iü02
85478 .
85479 b\99
85480 .
85481 _ICR3
85482
85483
85484         )
85485
85486 12867 \ 1
85487         #ICR02_ICR2
85488  
85489 iü02
85490 .
85491 b\99
85492 .
85493 _ICR2
85494
85495
85496         )
85497
85498 12868 \ 1
85499         #ICR02_ICR1
85500  
85501 iü02
85502 .
85503 b\99
85504 .
85505 _ICR1
85506
85507
85508         )
85509
85510 12869 \ 1
85511         #ICR02_ICR0
85512  
85513 iü02
85514 .
85515 b\99
85516 .
85517 _ICR0
85518
85519
85520         )
85521
85522 12870 
85523 __IO_EXTERN
85524  
85525 ICR03STR
85526  
85527         giü03
85528 ;
85529
85530 12871 \ 1
85531         #ICR03
85532  
85533 iü03
85534 .
85535 by\8b
85536
85537
85538         )
85539
85540 12872 \ 1
85541         #ICR03_ICR4
85542  
85543 iü03
85544 .
85545 b\99
85546 .
85547 _ICR4
85548
85549
85550         )
85551
85552 12873 \ 1
85553         #ICR03_ICR3
85554  
85555 iü03
85556 .
85557 b\99
85558 .
85559 _ICR3
85560
85561
85562         )
85563
85564 12874 \ 1
85565         #ICR03_ICR2
85566  
85567 iü03
85568 .
85569 b\99
85570 .
85571 _ICR2
85572
85573
85574         )
85575
85576 12875 \ 1
85577         #ICR03_ICR1
85578  
85579 iü03
85580 .
85581 b\99
85582 .
85583 _ICR1
85584
85585
85586         )
85587
85588 12876 \ 1
85589         #ICR03_ICR0
85590  
85591 iü03
85592 .
85593 b\99
85594 .
85595 _ICR0
85596
85597
85598         )
85599
85600 12877 
85601 __IO_EXTERN
85602  
85603 ICR04STR
85604  
85605         giü04
85606 ;
85607
85608 12878 \ 1
85609         #ICR04
85610  
85611 iü04
85612 .
85613 by\8b
85614
85615
85616         )
85617
85618 12879 \ 1
85619         #ICR04_ICR4
85620  
85621 iü04
85622 .
85623 b\99
85624 .
85625 _ICR4
85626
85627
85628         )
85629
85630 12880 \ 1
85631         #ICR04_ICR3
85632  
85633 iü04
85634 .
85635 b\99
85636 .
85637 _ICR3
85638
85639
85640         )
85641
85642 12881 \ 1
85643         #ICR04_ICR2
85644  
85645 iü04
85646 .
85647 b\99
85648 .
85649 _ICR2
85650
85651
85652         )
85653
85654 12882 \ 1
85655         #ICR04_ICR1
85656  
85657 iü04
85658 .
85659 b\99
85660 .
85661 _ICR1
85662
85663
85664         )
85665
85666 12883 \ 1
85667         #ICR04_ICR0
85668  
85669 iü04
85670 .
85671 b\99
85672 .
85673 _ICR0
85674
85675
85676         )
85677
85678 12884 
85679 __IO_EXTERN
85680  
85681 ICR05STR
85682  
85683         giü05
85684 ;
85685
85686 12885 \ 1
85687         #ICR05
85688  
85689 iü05
85690 .
85691 by\8b
85692
85693
85694         )
85695
85696 12886 \ 1
85697         #ICR05_ICR4
85698  
85699 iü05
85700 .
85701 b\99
85702 .
85703 _ICR4
85704
85705
85706         )
85707
85708 12887 \ 1
85709         #ICR05_ICR3
85710  
85711 iü05
85712 .
85713 b\99
85714 .
85715 _ICR3
85716
85717
85718         )
85719
85720 12888 \ 1
85721         #ICR05_ICR2
85722  
85723 iü05
85724 .
85725 b\99
85726 .
85727 _ICR2
85728
85729
85730         )
85731
85732 12889 \ 1
85733         #ICR05_ICR1
85734  
85735 iü05
85736 .
85737 b\99
85738 .
85739 _ICR1
85740
85741
85742         )
85743
85744 12890 \ 1
85745         #ICR05_ICR0
85746  
85747 iü05
85748 .
85749 b\99
85750 .
85751 _ICR0
85752
85753
85754         )
85755
85756 12891 
85757 __IO_EXTERN
85758  
85759 ICR06STR
85760  
85761         giü06
85762 ;
85763
85764 12892 \ 1
85765         #ICR06
85766  
85767 iü06
85768 .
85769 by\8b
85770
85771
85772         )
85773
85774 12893 \ 1
85775         #ICR06_ICR4
85776  
85777 iü06
85778 .
85779 b\99
85780 .
85781 _ICR4
85782
85783
85784         )
85785
85786 12894 \ 1
85787         #ICR06_ICR3
85788  
85789 iü06
85790 .
85791 b\99
85792 .
85793 _ICR3
85794
85795
85796         )
85797
85798 12895 \ 1
85799         #ICR06_ICR2
85800  
85801 iü06
85802 .
85803 b\99
85804 .
85805 _ICR2
85806
85807
85808         )
85809
85810 12896 \ 1
85811         #ICR06_ICR1
85812  
85813 iü06
85814 .
85815 b\99
85816 .
85817 _ICR1
85818
85819
85820         )
85821
85822 12897 \ 1
85823         #ICR06_ICR0
85824  
85825 iü06
85826 .
85827 b\99
85828 .
85829 _ICR0
85830
85831
85832         )
85833
85834 12898 
85835 __IO_EXTERN
85836  
85837 ICR07STR
85838  
85839         giü07
85840 ;
85841
85842 12899 \ 1
85843         #ICR07
85844  
85845 iü07
85846 .
85847 by\8b
85848
85849
85850         )
85851
85852 12900 \ 1
85853         #ICR07_ICR4
85854  
85855 iü07
85856 .
85857 b\99
85858 .
85859 _ICR4
85860
85861
85862         )
85863
85864 12901 \ 1
85865         #ICR07_ICR3
85866  
85867 iü07
85868 .
85869 b\99
85870 .
85871 _ICR3
85872
85873
85874         )
85875
85876 12902 \ 1
85877         #ICR07_ICR2
85878  
85879 iü07
85880 .
85881 b\99
85882 .
85883 _ICR2
85884
85885
85886         )
85887
85888 12903 \ 1
85889         #ICR07_ICR1
85890  
85891 iü07
85892 .
85893 b\99
85894 .
85895 _ICR1
85896
85897
85898         )
85899
85900 12904 \ 1
85901         #ICR07_ICR0
85902  
85903 iü07
85904 .
85905 b\99
85906 .
85907 _ICR0
85908
85909
85910         )
85911
85912 12905 
85913 __IO_EXTERN
85914  
85915 ICR08STR
85916  
85917         giü08
85918 ;
85919
85920 12906 \ 1
85921         #ICR08
85922  
85923 iü08
85924 .
85925 by\8b
85926
85927
85928         )
85929
85930 12907 \ 1
85931         #ICR08_ICR4
85932  
85933 iü08
85934 .
85935 b\99
85936 .
85937 _ICR4
85938
85939
85940         )
85941
85942 12908 \ 1
85943         #ICR08_ICR3
85944  
85945 iü08
85946 .
85947 b\99
85948 .
85949 _ICR3
85950
85951
85952         )
85953
85954 12909 \ 1
85955         #ICR08_ICR2
85956  
85957 iü08
85958 .
85959 b\99
85960 .
85961 _ICR2
85962
85963
85964         )
85965
85966 12910 \ 1
85967         #ICR08_ICR1
85968  
85969 iü08
85970 .
85971 b\99
85972 .
85973 _ICR1
85974
85975
85976         )
85977
85978 12911 \ 1
85979         #ICR08_ICR0
85980  
85981 iü08
85982 .
85983 b\99
85984 .
85985 _ICR0
85986
85987
85988         )
85989
85990 12912 
85991 __IO_EXTERN
85992  
85993 ICR09STR
85994  
85995         giü09
85996 ;
85997
85998 12913 \ 1
85999         #ICR09
86000  
86001 iü09
86002 .
86003 by\8b
86004
86005
86006         )
86007
86008 12914 \ 1
86009         #ICR09_ICR4
86010  
86011 iü09
86012 .
86013 b\99
86014 .
86015 _ICR4
86016
86017
86018         )
86019
86020 12915 \ 1
86021         #ICR09_ICR3
86022  
86023 iü09
86024 .
86025 b\99
86026 .
86027 _ICR3
86028
86029
86030         )
86031
86032 12916 \ 1
86033         #ICR09_ICR2
86034  
86035 iü09
86036 .
86037 b\99
86038 .
86039 _ICR2
86040
86041
86042         )
86043
86044 12917 \ 1
86045         #ICR09_ICR1
86046  
86047 iü09
86048 .
86049 b\99
86050 .
86051 _ICR1
86052
86053
86054         )
86055
86056 12918 \ 1
86057         #ICR09_ICR0
86058  
86059 iü09
86060 .
86061 b\99
86062 .
86063 _ICR0
86064
86065
86066         )
86067
86068 12919 
86069 __IO_EXTERN
86070  
86071 ICR10STR
86072  
86073         giü10
86074 ;
86075
86076 12920 \ 1
86077         #ICR10
86078  
86079 iü10
86080 .
86081 by\8b
86082
86083
86084         )
86085
86086 12921 \ 1
86087         #ICR10_ICR4
86088  
86089 iü10
86090 .
86091 b\99
86092 .
86093 _ICR4
86094
86095
86096         )
86097
86098 12922 \ 1
86099         #ICR10_ICR3
86100  
86101 iü10
86102 .
86103 b\99
86104 .
86105 _ICR3
86106
86107
86108         )
86109
86110 12923 \ 1
86111         #ICR10_ICR2
86112  
86113 iü10
86114 .
86115 b\99
86116 .
86117 _ICR2
86118
86119
86120         )
86121
86122 12924 \ 1
86123         #ICR10_ICR1
86124  
86125 iü10
86126 .
86127 b\99
86128 .
86129 _ICR1
86130
86131
86132         )
86133
86134 12925 \ 1
86135         #ICR10_ICR0
86136  
86137 iü10
86138 .
86139 b\99
86140 .
86141 _ICR0
86142
86143
86144         )
86145
86146 12926 
86147 __IO_EXTERN
86148  
86149 ICR11STR
86150  
86151         giü11
86152 ;
86153
86154 12927 \ 1
86155         #ICR11
86156  
86157 iü11
86158 .
86159 by\8b
86160
86161
86162         )
86163
86164 12928 \ 1
86165         #ICR11_ICR4
86166  
86167 iü11
86168 .
86169 b\99
86170 .
86171 _ICR4
86172
86173
86174         )
86175
86176 12929 \ 1
86177         #ICR11_ICR3
86178  
86179 iü11
86180 .
86181 b\99
86182 .
86183 _ICR3
86184
86185
86186         )
86187
86188 12930 \ 1
86189         #ICR11_ICR2
86190  
86191 iü11
86192 .
86193 b\99
86194 .
86195 _ICR2
86196
86197
86198         )
86199
86200 12931 \ 1
86201         #ICR11_ICR1
86202  
86203 iü11
86204 .
86205 b\99
86206 .
86207 _ICR1
86208
86209
86210         )
86211
86212 12932 \ 1
86213         #ICR11_ICR0
86214  
86215 iü11
86216 .
86217 b\99
86218 .
86219 _ICR0
86220
86221
86222         )
86223
86224 12933 
86225 __IO_EXTERN
86226  
86227 ICR12STR
86228  
86229         giü12
86230 ;
86231
86232 12934 \ 1
86233         #ICR12
86234  
86235 iü12
86236 .
86237 by\8b
86238
86239
86240         )
86241
86242 12935 \ 1
86243         #ICR12_ICR4
86244  
86245 iü12
86246 .
86247 b\99
86248 .
86249 _ICR4
86250
86251
86252         )
86253
86254 12936 \ 1
86255         #ICR12_ICR3
86256  
86257 iü12
86258 .
86259 b\99
86260 .
86261 _ICR3
86262
86263
86264         )
86265
86266 12937 \ 1
86267         #ICR12_ICR2
86268  
86269 iü12
86270 .
86271 b\99
86272 .
86273 _ICR2
86274
86275
86276         )
86277
86278 12938 \ 1
86279         #ICR12_ICR1
86280  
86281 iü12
86282 .
86283 b\99
86284 .
86285 _ICR1
86286
86287
86288         )
86289
86290 12939 \ 1
86291         #ICR12_ICR0
86292  
86293 iü12
86294 .
86295 b\99
86296 .
86297 _ICR0
86298
86299
86300         )
86301
86302 12940 
86303 __IO_EXTERN
86304  
86305 ICR13STR
86306  
86307         giü13
86308 ;
86309
86310 12941 \ 1
86311         #ICR13
86312  
86313 iü13
86314 .
86315 by\8b
86316
86317
86318         )
86319
86320 12942 \ 1
86321         #ICR13_ICR4
86322  
86323 iü13
86324 .
86325 b\99
86326 .
86327 _ICR4
86328
86329
86330         )
86331
86332 12943 \ 1
86333         #ICR13_ICR3
86334  
86335 iü13
86336 .
86337 b\99
86338 .
86339 _ICR3
86340
86341
86342         )
86343
86344 12944 \ 1
86345         #ICR13_ICR2
86346  
86347 iü13
86348 .
86349 b\99
86350 .
86351 _ICR2
86352
86353
86354         )
86355
86356 12945 \ 1
86357         #ICR13_ICR1
86358  
86359 iü13
86360 .
86361 b\99
86362 .
86363 _ICR1
86364
86365
86366         )
86367
86368 12946 \ 1
86369         #ICR13_ICR0
86370  
86371 iü13
86372 .
86373 b\99
86374 .
86375 _ICR0
86376
86377
86378         )
86379
86380 12947 
86381 __IO_EXTERN
86382  
86383 ICR14STR
86384  
86385         giü14
86386 ;
86387
86388 12948 \ 1
86389         #ICR14
86390  
86391 iü14
86392 .
86393 by\8b
86394
86395
86396         )
86397
86398 12949 \ 1
86399         #ICR14_ICR4
86400  
86401 iü14
86402 .
86403 b\99
86404 .
86405 _ICR4
86406
86407
86408         )
86409
86410 12950 \ 1
86411         #ICR14_ICR3
86412  
86413 iü14
86414 .
86415 b\99
86416 .
86417 _ICR3
86418
86419
86420         )
86421
86422 12951 \ 1
86423         #ICR14_ICR2
86424  
86425 iü14
86426 .
86427 b\99
86428 .
86429 _ICR2
86430
86431
86432         )
86433
86434 12952 \ 1
86435         #ICR14_ICR1
86436  
86437 iü14
86438 .
86439 b\99
86440 .
86441 _ICR1
86442
86443
86444         )
86445
86446 12953 \ 1
86447         #ICR14_ICR0
86448  
86449 iü14
86450 .
86451 b\99
86452 .
86453 _ICR0
86454
86455
86456         )
86457
86458 12954 
86459 __IO_EXTERN
86460  
86461 ICR15STR
86462  
86463         giü15
86464 ;
86465
86466 12955 \ 1
86467         #ICR15
86468  
86469 iü15
86470 .
86471 by\8b
86472
86473
86474         )
86475
86476 12956 \ 1
86477         #ICR15_ICR4
86478  
86479 iü15
86480 .
86481 b\99
86482 .
86483 _ICR4
86484
86485
86486         )
86487
86488 12957 \ 1
86489         #ICR15_ICR3
86490  
86491 iü15
86492 .
86493 b\99
86494 .
86495 _ICR3
86496
86497
86498         )
86499
86500 12958 \ 1
86501         #ICR15_ICR2
86502  
86503 iü15
86504 .
86505 b\99
86506 .
86507 _ICR2
86508
86509
86510         )
86511
86512 12959 \ 1
86513         #ICR15_ICR1
86514  
86515 iü15
86516 .
86517 b\99
86518 .
86519 _ICR1
86520
86521
86522         )
86523
86524 12960 \ 1
86525         #ICR15_ICR0
86526  
86527 iü15
86528 .
86529 b\99
86530 .
86531 _ICR0
86532
86533
86534         )
86535
86536 12961 
86537 __IO_EXTERN
86538  
86539 ICR16STR
86540  
86541         giü16
86542 ;
86543
86544 12962 \ 1
86545         #ICR16
86546  
86547 iü16
86548 .
86549 by\8b
86550
86551
86552         )
86553
86554 12963 \ 1
86555         #ICR16_ICR4
86556  
86557 iü16
86558 .
86559 b\99
86560 .
86561 _ICR4
86562
86563
86564         )
86565
86566 12964 \ 1
86567         #ICR16_ICR3
86568  
86569 iü16
86570 .
86571 b\99
86572 .
86573 _ICR3
86574
86575
86576         )
86577
86578 12965 \ 1
86579         #ICR16_ICR2
86580  
86581 iü16
86582 .
86583 b\99
86584 .
86585 _ICR2
86586
86587
86588         )
86589
86590 12966 \ 1
86591         #ICR16_ICR1
86592  
86593 iü16
86594 .
86595 b\99
86596 .
86597 _ICR1
86598
86599
86600         )
86601
86602 12967 \ 1
86603         #ICR16_ICR0
86604  
86605 iü16
86606 .
86607 b\99
86608 .
86609 _ICR0
86610
86611
86612         )
86613
86614 12968 
86615 __IO_EXTERN
86616  
86617 ICR17STR
86618  
86619         giü17
86620 ;
86621
86622 12969 \ 1
86623         #ICR17
86624  
86625 iü17
86626 .
86627 by\8b
86628
86629
86630         )
86631
86632 12970 \ 1
86633         #ICR17_ICR4
86634  
86635 iü17
86636 .
86637 b\99
86638 .
86639 _ICR4
86640
86641
86642         )
86643
86644 12971 \ 1
86645         #ICR17_ICR3
86646  
86647 iü17
86648 .
86649 b\99
86650 .
86651 _ICR3
86652
86653
86654         )
86655
86656 12972 \ 1
86657         #ICR17_ICR2
86658  
86659 iü17
86660 .
86661 b\99
86662 .
86663 _ICR2
86664
86665
86666         )
86667
86668 12973 \ 1
86669         #ICR17_ICR1
86670  
86671 iü17
86672 .
86673 b\99
86674 .
86675 _ICR1
86676
86677
86678         )
86679
86680 12974 \ 1
86681         #ICR17_ICR0
86682  
86683 iü17
86684 .
86685 b\99
86686 .
86687 _ICR0
86688
86689
86690         )
86691
86692 12975 
86693 __IO_EXTERN
86694  
86695 ICR18STR
86696  
86697         giü18
86698 ;
86699
86700 12976 \ 1
86701         #ICR18
86702  
86703 iü18
86704 .
86705 by\8b
86706
86707
86708         )
86709
86710 12977 \ 1
86711         #ICR18_ICR4
86712  
86713 iü18
86714 .
86715 b\99
86716 .
86717 _ICR4
86718
86719
86720         )
86721
86722 12978 \ 1
86723         #ICR18_ICR3
86724  
86725 iü18
86726 .
86727 b\99
86728 .
86729 _ICR3
86730
86731
86732         )
86733
86734 12979 \ 1
86735         #ICR18_ICR2
86736  
86737 iü18
86738 .
86739 b\99
86740 .
86741 _ICR2
86742
86743
86744         )
86745
86746 12980 \ 1
86747         #ICR18_ICR1
86748  
86749 iü18
86750 .
86751 b\99
86752 .
86753 _ICR1
86754
86755
86756         )
86757
86758 12981 \ 1
86759         #ICR18_ICR0
86760  
86761 iü18
86762 .
86763 b\99
86764 .
86765 _ICR0
86766
86767
86768         )
86769
86770 12982 
86771 __IO_EXTERN
86772  
86773 ICR19STR
86774  
86775         giü19
86776 ;
86777
86778 12983 \ 1
86779         #ICR19
86780  
86781 iü19
86782 .
86783 by\8b
86784
86785
86786         )
86787
86788 12984 \ 1
86789         #ICR19_ICR4
86790  
86791 iü19
86792 .
86793 b\99
86794 .
86795 _ICR4
86796
86797
86798         )
86799
86800 12985 \ 1
86801         #ICR19_ICR3
86802  
86803 iü19
86804 .
86805 b\99
86806 .
86807 _ICR3
86808
86809
86810         )
86811
86812 12986 \ 1
86813         #ICR19_ICR2
86814  
86815 iü19
86816 .
86817 b\99
86818 .
86819 _ICR2
86820
86821
86822         )
86823
86824 12987 \ 1
86825         #ICR19_ICR1
86826  
86827 iü19
86828 .
86829 b\99
86830 .
86831 _ICR1
86832
86833
86834         )
86835
86836 12988 \ 1
86837         #ICR19_ICR0
86838  
86839 iü19
86840 .
86841 b\99
86842 .
86843 _ICR0
86844
86845
86846         )
86847
86848 12989 
86849 __IO_EXTERN
86850  
86851 ICR20STR
86852  
86853         giü20
86854 ;
86855
86856 12990 \ 1
86857         #ICR20
86858  
86859 iü20
86860 .
86861 by\8b
86862
86863
86864         )
86865
86866 12991 \ 1
86867         #ICR20_ICR4
86868  
86869 iü20
86870 .
86871 b\99
86872 .
86873 _ICR4
86874
86875
86876         )
86877
86878 12992 \ 1
86879         #ICR20_ICR3
86880  
86881 iü20
86882 .
86883 b\99
86884 .
86885 _ICR3
86886
86887
86888         )
86889
86890 12993 \ 1
86891         #ICR20_ICR2
86892  
86893 iü20
86894 .
86895 b\99
86896 .
86897 _ICR2
86898
86899
86900         )
86901
86902 12994 \ 1
86903         #ICR20_ICR1
86904  
86905 iü20
86906 .
86907 b\99
86908 .
86909 _ICR1
86910
86911
86912         )
86913
86914 12995 \ 1
86915         #ICR20_ICR0
86916  
86917 iü20
86918 .
86919 b\99
86920 .
86921 _ICR0
86922
86923
86924         )
86925
86926 12996 
86927 __IO_EXTERN
86928  
86929 ICR21STR
86930  
86931         giü21
86932 ;
86933
86934 12997 \ 1
86935         #ICR21
86936  
86937 iü21
86938 .
86939 by\8b
86940
86941
86942         )
86943
86944 12998 \ 1
86945         #ICR21_ICR4
86946  
86947 iü21
86948 .
86949 b\99
86950 .
86951 _ICR4
86952
86953
86954         )
86955
86956 12999 \ 1
86957         #ICR21_ICR3
86958  
86959 iü21
86960 .
86961 b\99
86962 .
86963 _ICR3
86964
86965
86966         )
86967
86968 13000 \ 1
86969         #ICR21_ICR2
86970  
86971 iü21
86972 .
86973 b\99
86974 .
86975 _ICR2
86976
86977
86978         )
86979
86980 13001 \ 1
86981         #ICR21_ICR1
86982  
86983 iü21
86984 .
86985 b\99
86986 .
86987 _ICR1
86988
86989
86990         )
86991
86992 13002 \ 1
86993         #ICR21_ICR0
86994  
86995 iü21
86996 .
86997 b\99
86998 .
86999 _ICR0
87000
87001
87002         )
87003
87004 13003 
87005 __IO_EXTERN
87006  
87007 ICR22STR
87008  
87009         giü22
87010 ;
87011
87012 13004 \ 1
87013         #ICR22
87014  
87015 iü22
87016 .
87017 by\8b
87018
87019
87020         )
87021
87022 13005 \ 1
87023         #ICR22_ICR4
87024  
87025 iü22
87026 .
87027 b\99
87028 .
87029 _ICR4
87030
87031
87032         )
87033
87034 13006 \ 1
87035         #ICR22_ICR3
87036  
87037 iü22
87038 .
87039 b\99
87040 .
87041 _ICR3
87042
87043
87044         )
87045
87046 13007 \ 1
87047         #ICR22_ICR2
87048  
87049 iü22
87050 .
87051 b\99
87052 .
87053 _ICR2
87054
87055
87056         )
87057
87058 13008 \ 1
87059         #ICR22_ICR1
87060  
87061 iü22
87062 .
87063 b\99
87064 .
87065 _ICR1
87066
87067
87068         )
87069
87070 13009 \ 1
87071         #ICR22_ICR0
87072  
87073 iü22
87074 .
87075 b\99
87076 .
87077 _ICR0
87078
87079
87080         )
87081
87082 13010 
87083 __IO_EXTERN
87084  
87085 ICR23STR
87086  
87087         giü23
87088 ;
87089
87090 13011 \ 1
87091         #ICR23
87092  
87093 iü23
87094 .
87095 by\8b
87096
87097
87098         )
87099
87100 13012 \ 1
87101         #ICR23_ICR4
87102  
87103 iü23
87104 .
87105 b\99
87106 .
87107 _ICR4
87108
87109
87110         )
87111
87112 13013 \ 1
87113         #ICR23_ICR3
87114  
87115 iü23
87116 .
87117 b\99
87118 .
87119 _ICR3
87120
87121
87122         )
87123
87124 13014 \ 1
87125         #ICR23_ICR2
87126  
87127 iü23
87128 .
87129 b\99
87130 .
87131 _ICR2
87132
87133
87134         )
87135
87136 13015 \ 1
87137         #ICR23_ICR1
87138  
87139 iü23
87140 .
87141 b\99
87142 .
87143 _ICR1
87144
87145
87146         )
87147
87148 13016 \ 1
87149         #ICR23_ICR0
87150  
87151 iü23
87152 .
87153 b\99
87154 .
87155 _ICR0
87156
87157
87158         )
87159
87160 13017 
87161 __IO_EXTERN
87162  
87163 ICR24STR
87164  
87165         giü24
87166 ;
87167
87168 13018 \ 1
87169         #ICR24
87170  
87171 iü24
87172 .
87173 by\8b
87174
87175
87176         )
87177
87178 13019 \ 1
87179         #ICR24_ICR4
87180  
87181 iü24
87182 .
87183 b\99
87184 .
87185 _ICR4
87186
87187
87188         )
87189
87190 13020 \ 1
87191         #ICR24_ICR3
87192  
87193 iü24
87194 .
87195 b\99
87196 .
87197 _ICR3
87198
87199
87200         )
87201
87202 13021 \ 1
87203         #ICR24_ICR2
87204  
87205 iü24
87206 .
87207 b\99
87208 .
87209 _ICR2
87210
87211
87212         )
87213
87214 13022 \ 1
87215         #ICR24_ICR1
87216  
87217 iü24
87218 .
87219 b\99
87220 .
87221 _ICR1
87222
87223
87224         )
87225
87226 13023 \ 1
87227         #ICR24_ICR0
87228  
87229 iü24
87230 .
87231 b\99
87232 .
87233 _ICR0
87234
87235
87236         )
87237
87238 13024 
87239 __IO_EXTERN
87240  
87241 ICR25STR
87242  
87243         giü25
87244 ;
87245
87246 13025 \ 1
87247         #ICR25
87248  
87249 iü25
87250 .
87251 by\8b
87252
87253
87254         )
87255
87256 13026 \ 1
87257         #ICR25_ICR4
87258  
87259 iü25
87260 .
87261 b\99
87262 .
87263 _ICR4
87264
87265
87266         )
87267
87268 13027 \ 1
87269         #ICR25_ICR3
87270  
87271 iü25
87272 .
87273 b\99
87274 .
87275 _ICR3
87276
87277
87278         )
87279
87280 13028 \ 1
87281         #ICR25_ICR2
87282  
87283 iü25
87284 .
87285 b\99
87286 .
87287 _ICR2
87288
87289
87290         )
87291
87292 13029 \ 1
87293         #ICR25_ICR1
87294  
87295 iü25
87296 .
87297 b\99
87298 .
87299 _ICR1
87300
87301
87302         )
87303
87304 13030 \ 1
87305         #ICR25_ICR0
87306  
87307 iü25
87308 .
87309 b\99
87310 .
87311 _ICR0
87312
87313
87314         )
87315
87316 13031 
87317 __IO_EXTERN
87318  
87319 ICR26STR
87320  
87321         giü26
87322 ;
87323
87324 13032 \ 1
87325         #ICR26
87326  
87327 iü26
87328 .
87329 by\8b
87330
87331
87332         )
87333
87334 13033 \ 1
87335         #ICR26_ICR4
87336  
87337 iü26
87338 .
87339 b\99
87340 .
87341 _ICR4
87342
87343
87344         )
87345
87346 13034 \ 1
87347         #ICR26_ICR3
87348  
87349 iü26
87350 .
87351 b\99
87352 .
87353 _ICR3
87354
87355
87356         )
87357
87358 13035 \ 1
87359         #ICR26_ICR2
87360  
87361 iü26
87362 .
87363 b\99
87364 .
87365 _ICR2
87366
87367
87368         )
87369
87370 13036 \ 1
87371         #ICR26_ICR1
87372  
87373 iü26
87374 .
87375 b\99
87376 .
87377 _ICR1
87378
87379
87380         )
87381
87382 13037 \ 1
87383         #ICR26_ICR0
87384  
87385 iü26
87386 .
87387 b\99
87388 .
87389 _ICR0
87390
87391
87392         )
87393
87394 13038 
87395 __IO_EXTERN
87396  
87397 ICR27STR
87398  
87399         giü27
87400 ;
87401
87402 13039 \ 1
87403         #ICR27
87404  
87405 iü27
87406 .
87407 by\8b
87408
87409
87410         )
87411
87412 13040 \ 1
87413         #ICR27_ICR4
87414  
87415 iü27
87416 .
87417 b\99
87418 .
87419 _ICR4
87420
87421
87422         )
87423
87424 13041 \ 1
87425         #ICR27_ICR3
87426  
87427 iü27
87428 .
87429 b\99
87430 .
87431 _ICR3
87432
87433
87434         )
87435
87436 13042 \ 1
87437         #ICR27_ICR2
87438  
87439 iü27
87440 .
87441 b\99
87442 .
87443 _ICR2
87444
87445
87446         )
87447
87448 13043 \ 1
87449         #ICR27_ICR1
87450  
87451 iü27
87452 .
87453 b\99
87454 .
87455 _ICR1
87456
87457
87458         )
87459
87460 13044 \ 1
87461         #ICR27_ICR0
87462  
87463 iü27
87464 .
87465 b\99
87466 .
87467 _ICR0
87468
87469
87470         )
87471
87472 13045 
87473 __IO_EXTERN
87474  
87475 ICR28STR
87476  
87477         giü28
87478 ;
87479
87480 13046 \ 1
87481         #ICR28
87482  
87483 iü28
87484 .
87485 by\8b
87486
87487
87488         )
87489
87490 13047 \ 1
87491         #ICR28_ICR4
87492  
87493 iü28
87494 .
87495 b\99
87496 .
87497 _ICR4
87498
87499
87500         )
87501
87502 13048 \ 1
87503         #ICR28_ICR3
87504  
87505 iü28
87506 .
87507 b\99
87508 .
87509 _ICR3
87510
87511
87512         )
87513
87514 13049 \ 1
87515         #ICR28_ICR2
87516  
87517 iü28
87518 .
87519 b\99
87520 .
87521 _ICR2
87522
87523
87524         )
87525
87526 13050 \ 1
87527         #ICR28_ICR1
87528  
87529 iü28
87530 .
87531 b\99
87532 .
87533 _ICR1
87534
87535
87536         )
87537
87538 13051 \ 1
87539         #ICR28_ICR0
87540  
87541 iü28
87542 .
87543 b\99
87544 .
87545 _ICR0
87546
87547
87548         )
87549
87550 13052 
87551 __IO_EXTERN
87552  
87553 ICR29STR
87554  
87555         giü29
87556 ;
87557
87558 13053 \ 1
87559         #ICR29
87560  
87561 iü29
87562 .
87563 by\8b
87564
87565
87566         )
87567
87568 13054 \ 1
87569         #ICR29_ICR4
87570  
87571 iü29
87572 .
87573 b\99
87574 .
87575 _ICR4
87576
87577
87578         )
87579
87580 13055 \ 1
87581         #ICR29_ICR3
87582  
87583 iü29
87584 .
87585 b\99
87586 .
87587 _ICR3
87588
87589
87590         )
87591
87592 13056 \ 1
87593         #ICR29_ICR2
87594  
87595 iü29
87596 .
87597 b\99
87598 .
87599 _ICR2
87600
87601
87602         )
87603
87604 13057 \ 1
87605         #ICR29_ICR1
87606  
87607 iü29
87608 .
87609 b\99
87610 .
87611 _ICR1
87612
87613
87614         )
87615
87616 13058 \ 1
87617         #ICR29_ICR0
87618  
87619 iü29
87620 .
87621 b\99
87622 .
87623 _ICR0
87624
87625
87626         )
87627
87628 13059 
87629 __IO_EXTERN
87630  
87631 ICR30STR
87632  
87633         giü30
87634 ;
87635
87636 13060 \ 1
87637         #ICR30
87638  
87639 iü30
87640 .
87641 by\8b
87642
87643
87644         )
87645
87646 13061 \ 1
87647         #ICR30_ICR4
87648  
87649 iü30
87650 .
87651 b\99
87652 .
87653 _ICR4
87654
87655
87656         )
87657
87658 13062 \ 1
87659         #ICR30_ICR3
87660  
87661 iü30
87662 .
87663 b\99
87664 .
87665 _ICR3
87666
87667
87668         )
87669
87670 13063 \ 1
87671         #ICR30_ICR2
87672  
87673 iü30
87674 .
87675 b\99
87676 .
87677 _ICR2
87678
87679
87680         )
87681
87682 13064 \ 1
87683         #ICR30_ICR1
87684  
87685 iü30
87686 .
87687 b\99
87688 .
87689 _ICR1
87690
87691
87692         )
87693
87694 13065 \ 1
87695         #ICR30_ICR0
87696  
87697 iü30
87698 .
87699 b\99
87700 .
87701 _ICR0
87702
87703
87704         )
87705
87706 13066 
87707 __IO_EXTERN
87708  
87709 ICR31STR
87710  
87711         giü31
87712 ;
87713
87714 13067 \ 1
87715         #ICR31
87716  
87717 iü31
87718 .
87719 by\8b
87720
87721
87722         )
87723
87724 13068 \ 1
87725         #ICR31_ICR4
87726  
87727 iü31
87728 .
87729 b\99
87730 .
87731 _ICR4
87732
87733
87734         )
87735
87736 13069 \ 1
87737         #ICR31_ICR3
87738  
87739 iü31
87740 .
87741 b\99
87742 .
87743 _ICR3
87744
87745
87746         )
87747
87748 13070 \ 1
87749         #ICR31_ICR2
87750  
87751 iü31
87752 .
87753 b\99
87754 .
87755 _ICR2
87756
87757
87758         )
87759
87760 13071 \ 1
87761         #ICR31_ICR1
87762  
87763 iü31
87764 .
87765 b\99
87766 .
87767 _ICR1
87768
87769
87770         )
87771
87772 13072 \ 1
87773         #ICR31_ICR0
87774  
87775 iü31
87776 .
87777 b\99
87778 .
87779 _ICR0
87780
87781
87782         )
87783
87784 13073 
87785 __IO_EXTERN
87786  
87787 ICR32STR
87788  
87789         giü32
87790 ;
87791
87792 13074 \ 1
87793         #ICR32
87794  
87795 iü32
87796 .
87797 by\8b
87798
87799
87800         )
87801
87802 13075 \ 1
87803         #ICR32_ICR4
87804  
87805 iü32
87806 .
87807 b\99
87808 .
87809 _ICR4
87810
87811
87812         )
87813
87814 13076 \ 1
87815         #ICR32_ICR3
87816  
87817 iü32
87818 .
87819 b\99
87820 .
87821 _ICR3
87822
87823
87824         )
87825
87826 13077 \ 1
87827         #ICR32_ICR2
87828  
87829 iü32
87830 .
87831 b\99
87832 .
87833 _ICR2
87834
87835
87836         )
87837
87838 13078 \ 1
87839         #ICR32_ICR1
87840  
87841 iü32
87842 .
87843 b\99
87844 .
87845 _ICR1
87846
87847
87848         )
87849
87850 13079 \ 1
87851         #ICR32_ICR0
87852  
87853 iü32
87854 .
87855 b\99
87856 .
87857 _ICR0
87858
87859
87860         )
87861
87862 13080 
87863 __IO_EXTERN
87864  
87865 ICR33STR
87866  
87867         giü33
87868 ;
87869
87870 13081 \ 1
87871         #ICR33
87872  
87873 iü33
87874 .
87875 by\8b
87876
87877
87878         )
87879
87880 13082 \ 1
87881         #ICR33_ICR4
87882  
87883 iü33
87884 .
87885 b\99
87886 .
87887 _ICR4
87888
87889
87890         )
87891
87892 13083 \ 1
87893         #ICR33_ICR3
87894  
87895 iü33
87896 .
87897 b\99
87898 .
87899 _ICR3
87900
87901
87902         )
87903
87904 13084 \ 1
87905         #ICR33_ICR2
87906  
87907 iü33
87908 .
87909 b\99
87910 .
87911 _ICR2
87912
87913
87914         )
87915
87916 13085 \ 1
87917         #ICR33_ICR1
87918  
87919 iü33
87920 .
87921 b\99
87922 .
87923 _ICR1
87924
87925
87926         )
87927
87928 13086 \ 1
87929         #ICR33_ICR0
87930  
87931 iü33
87932 .
87933 b\99
87934 .
87935 _ICR0
87936
87937
87938         )
87939
87940 13087 
87941 __IO_EXTERN
87942  
87943 ICR34STR
87944  
87945         giü34
87946 ;
87947
87948 13088 \ 1
87949         #ICR34
87950  
87951 iü34
87952 .
87953 by\8b
87954
87955
87956         )
87957
87958 13089 \ 1
87959         #ICR34_ICR4
87960  
87961 iü34
87962 .
87963 b\99
87964 .
87965 _ICR4
87966
87967
87968         )
87969
87970 13090 \ 1
87971         #ICR34_ICR3
87972  
87973 iü34
87974 .
87975 b\99
87976 .
87977 _ICR3
87978
87979
87980         )
87981
87982 13091 \ 1
87983         #ICR34_ICR2
87984  
87985 iü34
87986 .
87987 b\99
87988 .
87989 _ICR2
87990
87991
87992         )
87993
87994 13092 \ 1
87995         #ICR34_ICR1
87996  
87997 iü34
87998 .
87999 b\99
88000 .
88001 _ICR1
88002
88003
88004         )
88005
88006 13093 \ 1
88007         #ICR34_ICR0
88008  
88009 iü34
88010 .
88011 b\99
88012 .
88013 _ICR0
88014
88015
88016         )
88017
88018 13094 
88019 __IO_EXTERN
88020  
88021 ICR35STR
88022  
88023         giü35
88024 ;
88025
88026 13095 \ 1
88027         #ICR35
88028  
88029 iü35
88030 .
88031 by\8b
88032
88033
88034         )
88035
88036 13096 \ 1
88037         #ICR35_ICR4
88038  
88039 iü35
88040 .
88041 b\99
88042 .
88043 _ICR4
88044
88045
88046         )
88047
88048 13097 \ 1
88049         #ICR35_ICR3
88050  
88051 iü35
88052 .
88053 b\99
88054 .
88055 _ICR3
88056
88057
88058         )
88059
88060 13098 \ 1
88061         #ICR35_ICR2
88062  
88063 iü35
88064 .
88065 b\99
88066 .
88067 _ICR2
88068
88069
88070         )
88071
88072 13099 \ 1
88073         #ICR35_ICR1
88074  
88075 iü35
88076 .
88077 b\99
88078 .
88079 _ICR1
88080
88081
88082         )
88083
88084 13100 \ 1
88085         #ICR35_ICR0
88086  
88087 iü35
88088 .
88089 b\99
88090 .
88091 _ICR0
88092
88093
88094         )
88095
88096 13101 
88097 __IO_EXTERN
88098  
88099 ICR36STR
88100  
88101         giü36
88102 ;
88103
88104 13102 \ 1
88105         #ICR36
88106  
88107 iü36
88108 .
88109 by\8b
88110
88111
88112         )
88113
88114 13103 \ 1
88115         #ICR36_ICR4
88116  
88117 iü36
88118 .
88119 b\99
88120 .
88121 _ICR4
88122
88123
88124         )
88125
88126 13104 \ 1
88127         #ICR36_ICR3
88128  
88129 iü36
88130 .
88131 b\99
88132 .
88133 _ICR3
88134
88135
88136         )
88137
88138 13105 \ 1
88139         #ICR36_ICR2
88140  
88141 iü36
88142 .
88143 b\99
88144 .
88145 _ICR2
88146
88147
88148         )
88149
88150 13106 \ 1
88151         #ICR36_ICR1
88152  
88153 iü36
88154 .
88155 b\99
88156 .
88157 _ICR1
88158
88159
88160         )
88161
88162 13107 \ 1
88163         #ICR36_ICR0
88164  
88165 iü36
88166 .
88167 b\99
88168 .
88169 _ICR0
88170
88171
88172         )
88173
88174 13108 
88175 __IO_EXTERN
88176  
88177 ICR37STR
88178  
88179         giü37
88180 ;
88181
88182 13109 \ 1
88183         #ICR37
88184  
88185 iü37
88186 .
88187 by\8b
88188
88189
88190         )
88191
88192 13110 \ 1
88193         #ICR37_ICR4
88194  
88195 iü37
88196 .
88197 b\99
88198 .
88199 _ICR4
88200
88201
88202         )
88203
88204 13111 \ 1
88205         #ICR37_ICR3
88206  
88207 iü37
88208 .
88209 b\99
88210 .
88211 _ICR3
88212
88213
88214         )
88215
88216 13112 \ 1
88217         #ICR37_ICR2
88218  
88219 iü37
88220 .
88221 b\99
88222 .
88223 _ICR2
88224
88225
88226         )
88227
88228 13113 \ 1
88229         #ICR37_ICR1
88230  
88231 iü37
88232 .
88233 b\99
88234 .
88235 _ICR1
88236
88237
88238         )
88239
88240 13114 \ 1
88241         #ICR37_ICR0
88242  
88243 iü37
88244 .
88245 b\99
88246 .
88247 _ICR0
88248
88249
88250         )
88251
88252 13115 
88253 __IO_EXTERN
88254  
88255 ICR38STR
88256  
88257         giü38
88258 ;
88259
88260 13116 \ 1
88261         #ICR38
88262  
88263 iü38
88264 .
88265 by\8b
88266
88267
88268         )
88269
88270 13117 \ 1
88271         #ICR38_ICR4
88272  
88273 iü38
88274 .
88275 b\99
88276 .
88277 _ICR4
88278
88279
88280         )
88281
88282 13118 \ 1
88283         #ICR38_ICR3
88284  
88285 iü38
88286 .
88287 b\99
88288 .
88289 _ICR3
88290
88291
88292         )
88293
88294 13119 \ 1
88295         #ICR38_ICR2
88296  
88297 iü38
88298 .
88299 b\99
88300 .
88301 _ICR2
88302
88303
88304         )
88305
88306 13120 \ 1
88307         #ICR38_ICR1
88308  
88309 iü38
88310 .
88311 b\99
88312 .
88313 _ICR1
88314
88315
88316         )
88317
88318 13121 \ 1
88319         #ICR38_ICR0
88320  
88321 iü38
88322 .
88323 b\99
88324 .
88325 _ICR0
88326
88327
88328         )
88329
88330 13122 
88331 __IO_EXTERN
88332  
88333 ICR39STR
88334  
88335         giü39
88336 ;
88337
88338 13123 \ 1
88339         #ICR39
88340  
88341 iü39
88342 .
88343 by\8b
88344
88345
88346         )
88347
88348 13124 \ 1
88349         #ICR39_ICR4
88350  
88351 iü39
88352 .
88353 b\99
88354 .
88355 _ICR4
88356
88357
88358         )
88359
88360 13125 \ 1
88361         #ICR39_ICR3
88362  
88363 iü39
88364 .
88365 b\99
88366 .
88367 _ICR3
88368
88369
88370         )
88371
88372 13126 \ 1
88373         #ICR39_ICR2
88374  
88375 iü39
88376 .
88377 b\99
88378 .
88379 _ICR2
88380
88381
88382         )
88383
88384 13127 \ 1
88385         #ICR39_ICR1
88386  
88387 iü39
88388 .
88389 b\99
88390 .
88391 _ICR1
88392
88393
88394         )
88395
88396 13128 \ 1
88397         #ICR39_ICR0
88398  
88399 iü39
88400 .
88401 b\99
88402 .
88403 _ICR0
88404
88405
88406         )
88407
88408 13129 
88409 __IO_EXTERN
88410  
88411 ICR40STR
88412  
88413         giü40
88414 ;
88415
88416 13130 \ 1
88417         #ICR40
88418  
88419 iü40
88420 .
88421 by\8b
88422
88423
88424         )
88425
88426 13131 \ 1
88427         #ICR40_ICR4
88428  
88429 iü40
88430 .
88431 b\99
88432 .
88433 _ICR4
88434
88435
88436         )
88437
88438 13132 \ 1
88439         #ICR40_ICR3
88440  
88441 iü40
88442 .
88443 b\99
88444 .
88445 _ICR3
88446
88447
88448         )
88449
88450 13133 \ 1
88451         #ICR40_ICR2
88452  
88453 iü40
88454 .
88455 b\99
88456 .
88457 _ICR2
88458
88459
88460         )
88461
88462 13134 \ 1
88463         #ICR40_ICR1
88464  
88465 iü40
88466 .
88467 b\99
88468 .
88469 _ICR1
88470
88471
88472         )
88473
88474 13135 \ 1
88475         #ICR40_ICR0
88476  
88477 iü40
88478 .
88479 b\99
88480 .
88481 _ICR0
88482
88483
88484         )
88485
88486 13136 
88487 __IO_EXTERN
88488  
88489 ICR41STR
88490  
88491         giü41
88492 ;
88493
88494 13137 \ 1
88495         #ICR41
88496  
88497 iü41
88498 .
88499 by\8b
88500
88501
88502         )
88503
88504 13138 \ 1
88505         #ICR41_ICR4
88506  
88507 iü41
88508 .
88509 b\99
88510 .
88511 _ICR4
88512
88513
88514         )
88515
88516 13139 \ 1
88517         #ICR41_ICR3
88518  
88519 iü41
88520 .
88521 b\99
88522 .
88523 _ICR3
88524
88525
88526         )
88527
88528 13140 \ 1
88529         #ICR41_ICR2
88530  
88531 iü41
88532 .
88533 b\99
88534 .
88535 _ICR2
88536
88537
88538         )
88539
88540 13141 \ 1
88541         #ICR41_ICR1
88542  
88543 iü41
88544 .
88545 b\99
88546 .
88547 _ICR1
88548
88549
88550         )
88551
88552 13142 \ 1
88553         #ICR41_ICR0
88554  
88555 iü41
88556 .
88557 b\99
88558 .
88559 _ICR0
88560
88561
88562         )
88563
88564 13143 
88565 __IO_EXTERN
88566  
88567 ICR42STR
88568  
88569         giü42
88570 ;
88571
88572 13144 \ 1
88573         #ICR42
88574  
88575 iü42
88576 .
88577 by\8b
88578
88579
88580         )
88581
88582 13145 \ 1
88583         #ICR42_ICR4
88584  
88585 iü42
88586 .
88587 b\99
88588 .
88589 _ICR4
88590
88591
88592         )
88593
88594 13146 \ 1
88595         #ICR42_ICR3
88596  
88597 iü42
88598 .
88599 b\99
88600 .
88601 _ICR3
88602
88603
88604         )
88605
88606 13147 \ 1
88607         #ICR42_ICR2
88608  
88609 iü42
88610 .
88611 b\99
88612 .
88613 _ICR2
88614
88615
88616         )
88617
88618 13148 \ 1
88619         #ICR42_ICR1
88620  
88621 iü42
88622 .
88623 b\99
88624 .
88625 _ICR1
88626
88627
88628         )
88629
88630 13149 \ 1
88631         #ICR42_ICR0
88632  
88633 iü42
88634 .
88635 b\99
88636 .
88637 _ICR0
88638
88639
88640         )
88641
88642 13150 
88643 __IO_EXTERN
88644  
88645 ICR43STR
88646  
88647         giü43
88648 ;
88649
88650 13151 \ 1
88651         #ICR43
88652  
88653 iü43
88654 .
88655 by\8b
88656
88657
88658         )
88659
88660 13152 \ 1
88661         #ICR43_ICR4
88662  
88663 iü43
88664 .
88665 b\99
88666 .
88667 _ICR4
88668
88669
88670         )
88671
88672 13153 \ 1
88673         #ICR43_ICR3
88674  
88675 iü43
88676 .
88677 b\99
88678 .
88679 _ICR3
88680
88681
88682         )
88683
88684 13154 \ 1
88685         #ICR43_ICR2
88686  
88687 iü43
88688 .
88689 b\99
88690 .
88691 _ICR2
88692
88693
88694         )
88695
88696 13155 \ 1
88697         #ICR43_ICR1
88698  
88699 iü43
88700 .
88701 b\99
88702 .
88703 _ICR1
88704
88705
88706         )
88707
88708 13156 \ 1
88709         #ICR43_ICR0
88710  
88711 iü43
88712 .
88713 b\99
88714 .
88715 _ICR0
88716
88717
88718         )
88719
88720 13157 
88721 __IO_EXTERN
88722  
88723 ICR44STR
88724  
88725         giü44
88726 ;
88727
88728 13158 \ 1
88729         #ICR44
88730  
88731 iü44
88732 .
88733 by\8b
88734
88735
88736         )
88737
88738 13159 \ 1
88739         #ICR44_ICR4
88740  
88741 iü44
88742 .
88743 b\99
88744 .
88745 _ICR4
88746
88747
88748         )
88749
88750 13160 \ 1
88751         #ICR44_ICR3
88752  
88753 iü44
88754 .
88755 b\99
88756 .
88757 _ICR3
88758
88759
88760         )
88761
88762 13161 \ 1
88763         #ICR44_ICR2
88764  
88765 iü44
88766 .
88767 b\99
88768 .
88769 _ICR2
88770
88771
88772         )
88773
88774 13162 \ 1
88775         #ICR44_ICR1
88776  
88777 iü44
88778 .
88779 b\99
88780 .
88781 _ICR1
88782
88783
88784         )
88785
88786 13163 \ 1
88787         #ICR44_ICR0
88788  
88789 iü44
88790 .
88791 b\99
88792 .
88793 _ICR0
88794
88795
88796         )
88797
88798 13164 
88799 __IO_EXTERN
88800  
88801 ICR45STR
88802  
88803         giü45
88804 ;
88805
88806 13165 \ 1
88807         #ICR45
88808  
88809 iü45
88810 .
88811 by\8b
88812
88813
88814         )
88815
88816 13166 \ 1
88817         #ICR45_ICR4
88818  
88819 iü45
88820 .
88821 b\99
88822 .
88823 _ICR4
88824
88825
88826         )
88827
88828 13167 \ 1
88829         #ICR45_ICR3
88830  
88831 iü45
88832 .
88833 b\99
88834 .
88835 _ICR3
88836
88837
88838         )
88839
88840 13168 \ 1
88841         #ICR45_ICR2
88842  
88843 iü45
88844 .
88845 b\99
88846 .
88847 _ICR2
88848
88849
88850         )
88851
88852 13169 \ 1
88853         #ICR45_ICR1
88854  
88855 iü45
88856 .
88857 b\99
88858 .
88859 _ICR1
88860
88861
88862         )
88863
88864 13170 \ 1
88865         #ICR45_ICR0
88866  
88867 iü45
88868 .
88869 b\99
88870 .
88871 _ICR0
88872
88873
88874         )
88875
88876 13171 
88877 __IO_EXTERN
88878  
88879 ICR46STR
88880  
88881         giü46
88882 ;
88883
88884 13172 \ 1
88885         #ICR46
88886  
88887 iü46
88888 .
88889 by\8b
88890
88891
88892         )
88893
88894 13173 \ 1
88895         #ICR46_ICR4
88896  
88897 iü46
88898 .
88899 b\99
88900 .
88901 _ICR4
88902
88903
88904         )
88905
88906 13174 \ 1
88907         #ICR46_ICR3
88908  
88909 iü46
88910 .
88911 b\99
88912 .
88913 _ICR3
88914
88915
88916         )
88917
88918 13175 \ 1
88919         #ICR46_ICR2
88920  
88921 iü46
88922 .
88923 b\99
88924 .
88925 _ICR2
88926
88927
88928         )
88929
88930 13176 \ 1
88931         #ICR46_ICR1
88932  
88933 iü46
88934 .
88935 b\99
88936 .
88937 _ICR1
88938
88939
88940         )
88941
88942 13177 \ 1
88943         #ICR46_ICR0
88944  
88945 iü46
88946 .
88947 b\99
88948 .
88949 _ICR0
88950
88951
88952         )
88953
88954 13178 
88955 __IO_EXTERN
88956  
88957 ICR47STR
88958  
88959         giü47
88960 ;
88961
88962 13179 \ 1
88963         #ICR47
88964  
88965 iü47
88966 .
88967 by\8b
88968
88969
88970         )
88971
88972 13180 \ 1
88973         #ICR47_ICR4
88974  
88975 iü47
88976 .
88977 b\99
88978 .
88979 _ICR4
88980
88981
88982         )
88983
88984 13181 \ 1
88985         #ICR47_ICR3
88986  
88987 iü47
88988 .
88989 b\99
88990 .
88991 _ICR3
88992
88993
88994         )
88995
88996 13182 \ 1
88997         #ICR47_ICR2
88998  
88999 iü47
89000 .
89001 b\99
89002 .
89003 _ICR2
89004
89005
89006         )
89007
89008 13183 \ 1
89009         #ICR47_ICR1
89010  
89011 iü47
89012 .
89013 b\99
89014 .
89015 _ICR1
89016
89017
89018         )
89019
89020 13184 \ 1
89021         #ICR47_ICR0
89022  
89023 iü47
89024 .
89025 b\99
89026 .
89027 _ICR0
89028
89029
89030         )
89031
89032 13185 
89033 __IO_EXTERN
89034  
89035 ICR48STR
89036  
89037         giü48
89038 ;
89039
89040 13186 \ 1
89041         #ICR48
89042  
89043 iü48
89044 .
89045 by\8b
89046
89047
89048         )
89049
89050 13187 \ 1
89051         #ICR48_ICR4
89052  
89053 iü48
89054 .
89055 b\99
89056 .
89057 _ICR4
89058
89059
89060         )
89061
89062 13188 \ 1
89063         #ICR48_ICR3
89064  
89065 iü48
89066 .
89067 b\99
89068 .
89069 _ICR3
89070
89071
89072         )
89073
89074 13189 \ 1
89075         #ICR48_ICR2
89076  
89077 iü48
89078 .
89079 b\99
89080 .
89081 _ICR2
89082
89083
89084         )
89085
89086 13190 \ 1
89087         #ICR48_ICR1
89088  
89089 iü48
89090 .
89091 b\99
89092 .
89093 _ICR1
89094
89095
89096         )
89097
89098 13191 \ 1
89099         #ICR48_ICR0
89100  
89101 iü48
89102 .
89103 b\99
89104 .
89105 _ICR0
89106
89107
89108         )
89109
89110 13192 
89111 __IO_EXTERN
89112  
89113 ICR49STR
89114  
89115         giü49
89116 ;
89117
89118 13193 \ 1
89119         #ICR49
89120  
89121 iü49
89122 .
89123 by\8b
89124
89125
89126         )
89127
89128 13194 \ 1
89129         #ICR49_ICR4
89130  
89131 iü49
89132 .
89133 b\99
89134 .
89135 _ICR4
89136
89137
89138         )
89139
89140 13195 \ 1
89141         #ICR49_ICR3
89142  
89143 iü49
89144 .
89145 b\99
89146 .
89147 _ICR3
89148
89149
89150         )
89151
89152 13196 \ 1
89153         #ICR49_ICR2
89154  
89155 iü49
89156 .
89157 b\99
89158 .
89159 _ICR2
89160
89161
89162         )
89163
89164 13197 \ 1
89165         #ICR49_ICR1
89166  
89167 iü49
89168 .
89169 b\99
89170 .
89171 _ICR1
89172
89173
89174         )
89175
89176 13198 \ 1
89177         #ICR49_ICR0
89178  
89179 iü49
89180 .
89181 b\99
89182 .
89183 _ICR0
89184
89185
89186         )
89187
89188 13199 
89189 __IO_EXTERN
89190  
89191 ICR50STR
89192  
89193         giü50
89194 ;
89195
89196 13200 \ 1
89197         #ICR50
89198  
89199 iü50
89200 .
89201 by\8b
89202
89203
89204         )
89205
89206 13201 \ 1
89207         #ICR50_ICR4
89208  
89209 iü50
89210 .
89211 b\99
89212 .
89213 _ICR4
89214
89215
89216         )
89217
89218 13202 \ 1
89219         #ICR50_ICR3
89220  
89221 iü50
89222 .
89223 b\99
89224 .
89225 _ICR3
89226
89227
89228         )
89229
89230 13203 \ 1
89231         #ICR50_ICR2
89232  
89233 iü50
89234 .
89235 b\99
89236 .
89237 _ICR2
89238
89239
89240         )
89241
89242 13204 \ 1
89243         #ICR50_ICR1
89244  
89245 iü50
89246 .
89247 b\99
89248 .
89249 _ICR1
89250
89251
89252         )
89253
89254 13205 \ 1
89255         #ICR50_ICR0
89256  
89257 iü50
89258 .
89259 b\99
89260 .
89261 _ICR0
89262
89263
89264         )
89265
89266 13206 
89267 __IO_EXTERN
89268  
89269 ICR51STR
89270  
89271         giü51
89272 ;
89273
89274 13207 \ 1
89275         #ICR51
89276  
89277 iü51
89278 .
89279 by\8b
89280
89281
89282         )
89283
89284 13208 \ 1
89285         #ICR51_ICR4
89286  
89287 iü51
89288 .
89289 b\99
89290 .
89291 _ICR4
89292
89293
89294         )
89295
89296 13209 \ 1
89297         #ICR51_ICR3
89298  
89299 iü51
89300 .
89301 b\99
89302 .
89303 _ICR3
89304
89305
89306         )
89307
89308 13210 \ 1
89309         #ICR51_ICR2
89310  
89311 iü51
89312 .
89313 b\99
89314 .
89315 _ICR2
89316
89317
89318         )
89319
89320 13211 \ 1
89321         #ICR51_ICR1
89322  
89323 iü51
89324 .
89325 b\99
89326 .
89327 _ICR1
89328
89329
89330         )
89331
89332 13212 \ 1
89333         #ICR51_ICR0
89334  
89335 iü51
89336 .
89337 b\99
89338 .
89339 _ICR0
89340
89341
89342         )
89343
89344 13213 
89345 __IO_EXTERN
89346  
89347 ICR52STR
89348  
89349         giü52
89350 ;
89351
89352 13214 \ 1
89353         #ICR52
89354  
89355 iü52
89356 .
89357 by\8b
89358
89359
89360         )
89361
89362 13215 \ 1
89363         #ICR52_ICR4
89364  
89365 iü52
89366 .
89367 b\99
89368 .
89369 _ICR4
89370
89371
89372         )
89373
89374 13216 \ 1
89375         #ICR52_ICR3
89376  
89377 iü52
89378 .
89379 b\99
89380 .
89381 _ICR3
89382
89383
89384         )
89385
89386 13217 \ 1
89387         #ICR52_ICR2
89388  
89389 iü52
89390 .
89391 b\99
89392 .
89393 _ICR2
89394
89395
89396         )
89397
89398 13218 \ 1
89399         #ICR52_ICR1
89400  
89401 iü52
89402 .
89403 b\99
89404 .
89405 _ICR1
89406
89407
89408         )
89409
89410 13219 \ 1
89411         #ICR52_ICR0
89412  
89413 iü52
89414 .
89415 b\99
89416 .
89417 _ICR0
89418
89419
89420         )
89421
89422 13220 
89423 __IO_EXTERN
89424  
89425 ICR53STR
89426  
89427         giü53
89428 ;
89429
89430 13221 \ 1
89431         #ICR53
89432  
89433 iü53
89434 .
89435 by\8b
89436
89437
89438         )
89439
89440 13222 \ 1
89441         #ICR53_ICR4
89442  
89443 iü53
89444 .
89445 b\99
89446 .
89447 _ICR4
89448
89449
89450         )
89451
89452 13223 \ 1
89453         #ICR53_ICR3
89454  
89455 iü53
89456 .
89457 b\99
89458 .
89459 _ICR3
89460
89461
89462         )
89463
89464 13224 \ 1
89465         #ICR53_ICR2
89466  
89467 iü53
89468 .
89469 b\99
89470 .
89471 _ICR2
89472
89473
89474         )
89475
89476 13225 \ 1
89477         #ICR53_ICR1
89478  
89479 iü53
89480 .
89481 b\99
89482 .
89483 _ICR1
89484
89485
89486         )
89487
89488 13226 \ 1
89489         #ICR53_ICR0
89490  
89491 iü53
89492 .
89493 b\99
89494 .
89495 _ICR0
89496
89497
89498         )
89499
89500 13227 
89501 __IO_EXTERN
89502  
89503 ICR54STR
89504  
89505         giü54
89506 ;
89507
89508 13228 \ 1
89509         #ICR54
89510  
89511 iü54
89512 .
89513 by\8b
89514
89515
89516         )
89517
89518 13229 \ 1
89519         #ICR54_ICR4
89520  
89521 iü54
89522 .
89523 b\99
89524 .
89525 _ICR4
89526
89527
89528         )
89529
89530 13230 \ 1
89531         #ICR54_ICR3
89532  
89533 iü54
89534 .
89535 b\99
89536 .
89537 _ICR3
89538
89539
89540         )
89541
89542 13231 \ 1
89543         #ICR54_ICR2
89544  
89545 iü54
89546 .
89547 b\99
89548 .
89549 _ICR2
89550
89551
89552         )
89553
89554 13232 \ 1
89555         #ICR54_ICR1
89556  
89557 iü54
89558 .
89559 b\99
89560 .
89561 _ICR1
89562
89563
89564         )
89565
89566 13233 \ 1
89567         #ICR54_ICR0
89568  
89569 iü54
89570 .
89571 b\99
89572 .
89573 _ICR0
89574
89575
89576         )
89577
89578 13234 
89579 __IO_EXTERN
89580  
89581 ICR55STR
89582  
89583         giü55
89584 ;
89585
89586 13235 \ 1
89587         #ICR55
89588  
89589 iü55
89590 .
89591 by\8b
89592
89593
89594         )
89595
89596 13236 \ 1
89597         #ICR55_ICR4
89598  
89599 iü55
89600 .
89601 b\99
89602 .
89603 _ICR4
89604
89605
89606         )
89607
89608 13237 \ 1
89609         #ICR55_ICR3
89610  
89611 iü55
89612 .
89613 b\99
89614 .
89615 _ICR3
89616
89617
89618         )
89619
89620 13238 \ 1
89621         #ICR55_ICR2
89622  
89623 iü55
89624 .
89625 b\99
89626 .
89627 _ICR2
89628
89629
89630         )
89631
89632 13239 \ 1
89633         #ICR55_ICR1
89634  
89635 iü55
89636 .
89637 b\99
89638 .
89639 _ICR1
89640
89641
89642         )
89643
89644 13240 \ 1
89645         #ICR55_ICR0
89646  
89647 iü55
89648 .
89649 b\99
89650 .
89651 _ICR0
89652
89653
89654         )
89655
89656 13241 
89657 __IO_EXTERN
89658  
89659 ICR56STR
89660  
89661         giü56
89662 ;
89663
89664 13242 \ 1
89665         #ICR56
89666  
89667 iü56
89668 .
89669 by\8b
89670
89671
89672         )
89673
89674 13243 \ 1
89675         #ICR56_ICR4
89676  
89677 iü56
89678 .
89679 b\99
89680 .
89681 _ICR4
89682
89683
89684         )
89685
89686 13244 \ 1
89687         #ICR56_ICR3
89688  
89689 iü56
89690 .
89691 b\99
89692 .
89693 _ICR3
89694
89695
89696         )
89697
89698 13245 \ 1
89699         #ICR56_ICR2
89700  
89701 iü56
89702 .
89703 b\99
89704 .
89705 _ICR2
89706
89707
89708         )
89709
89710 13246 \ 1
89711         #ICR56_ICR1
89712  
89713 iü56
89714 .
89715 b\99
89716 .
89717 _ICR1
89718
89719
89720         )
89721
89722 13247 \ 1
89723         #ICR56_ICR0
89724  
89725 iü56
89726 .
89727 b\99
89728 .
89729 _ICR0
89730
89731
89732         )
89733
89734 13248 
89735 __IO_EXTERN
89736  
89737 ICR57STR
89738  
89739         giü57
89740 ;
89741
89742 13249 \ 1
89743         #ICR57
89744  
89745 iü57
89746 .
89747 by\8b
89748
89749
89750         )
89751
89752 13250 \ 1
89753         #ICR57_ICR4
89754  
89755 iü57
89756 .
89757 b\99
89758 .
89759 _ICR4
89760
89761
89762         )
89763
89764 13251 \ 1
89765         #ICR57_ICR3
89766  
89767 iü57
89768 .
89769 b\99
89770 .
89771 _ICR3
89772
89773
89774         )
89775
89776 13252 \ 1
89777         #ICR57_ICR2
89778  
89779 iü57
89780 .
89781 b\99
89782 .
89783 _ICR2
89784
89785
89786         )
89787
89788 13253 \ 1
89789         #ICR57_ICR1
89790  
89791 iü57
89792 .
89793 b\99
89794 .
89795 _ICR1
89796
89797
89798         )
89799
89800 13254 \ 1
89801         #ICR57_ICR0
89802  
89803 iü57
89804 .
89805 b\99
89806 .
89807 _ICR0
89808
89809
89810         )
89811
89812 13255 
89813 __IO_EXTERN
89814  
89815 ICR58STR
89816  
89817         giü58
89818 ;
89819
89820 13256 \ 1
89821         #ICR58
89822  
89823 iü58
89824 .
89825 by\8b
89826
89827
89828         )
89829
89830 13257 \ 1
89831         #ICR58_ICR4
89832  
89833 iü58
89834 .
89835 b\99
89836 .
89837 _ICR4
89838
89839
89840         )
89841
89842 13258 \ 1
89843         #ICR58_ICR3
89844  
89845 iü58
89846 .
89847 b\99
89848 .
89849 _ICR3
89850
89851
89852         )
89853
89854 13259 \ 1
89855         #ICR58_ICR2
89856  
89857 iü58
89858 .
89859 b\99
89860 .
89861 _ICR2
89862
89863
89864         )
89865
89866 13260 \ 1
89867         #ICR58_ICR1
89868  
89869 iü58
89870 .
89871 b\99
89872 .
89873 _ICR1
89874
89875
89876         )
89877
89878 13261 \ 1
89879         #ICR58_ICR0
89880  
89881 iü58
89882 .
89883 b\99
89884 .
89885 _ICR0
89886
89887
89888         )
89889
89890 13262 
89891 __IO_EXTERN
89892  
89893 ICR59STR
89894  
89895         giü59
89896 ;
89897
89898 13263 \ 1
89899         #ICR59
89900  
89901 iü59
89902 .
89903 by\8b
89904
89905
89906         )
89907
89908 13264 \ 1
89909         #ICR59_ICR4
89910  
89911 iü59
89912 .
89913 b\99
89914 .
89915 _ICR4
89916
89917
89918         )
89919
89920 13265 \ 1
89921         #ICR59_ICR3
89922  
89923 iü59
89924 .
89925 b\99
89926 .
89927 _ICR3
89928
89929
89930         )
89931
89932 13266 \ 1
89933         #ICR59_ICR2
89934  
89935 iü59
89936 .
89937 b\99
89938 .
89939 _ICR2
89940
89941
89942         )
89943
89944 13267 \ 1
89945         #ICR59_ICR1
89946  
89947 iü59
89948 .
89949 b\99
89950 .
89951 _ICR1
89952
89953
89954         )
89955
89956 13268 \ 1
89957         #ICR59_ICR0
89958  
89959 iü59
89960 .
89961 b\99
89962 .
89963 _ICR0
89964
89965
89966         )
89967
89968 13269 
89969 __IO_EXTERN
89970  
89971 ICR60STR
89972  
89973         giü60
89974 ;
89975
89976 13270 \ 1
89977         #ICR60
89978  
89979 iü60
89980 .
89981 by\8b
89982
89983
89984         )
89985
89986 13271 \ 1
89987         #ICR60_ICR4
89988  
89989 iü60
89990 .
89991 b\99
89992 .
89993 _ICR4
89994
89995
89996         )
89997
89998 13272 \ 1
89999         #ICR60_ICR3
90000  
90001 iü60
90002 .
90003 b\99
90004 .
90005 _ICR3
90006
90007
90008         )
90009
90010 13273 \ 1
90011         #ICR60_ICR2
90012  
90013 iü60
90014 .
90015 b\99
90016 .
90017 _ICR2
90018
90019
90020         )
90021
90022 13274 \ 1
90023         #ICR60_ICR1
90024  
90025 iü60
90026 .
90027 b\99
90028 .
90029 _ICR1
90030
90031
90032         )
90033
90034 13275 \ 1
90035         #ICR60_ICR0
90036  
90037 iü60
90038 .
90039 b\99
90040 .
90041 _ICR0
90042
90043
90044         )
90045
90046 13276 
90047 __IO_EXTERN
90048  
90049 ICR61STR
90050  
90051         giü61
90052 ;
90053
90054 13277 \ 1
90055         #ICR61
90056  
90057 iü61
90058 .
90059 by\8b
90060
90061
90062         )
90063
90064 13278 \ 1
90065         #ICR61_ICR4
90066  
90067 iü61
90068 .
90069 b\99
90070 .
90071 _ICR4
90072
90073
90074         )
90075
90076 13279 \ 1
90077         #ICR61_ICR3
90078  
90079 iü61
90080 .
90081 b\99
90082 .
90083 _ICR3
90084
90085
90086         )
90087
90088 13280 \ 1
90089         #ICR61_ICR2
90090  
90091 iü61
90092 .
90093 b\99
90094 .
90095 _ICR2
90096
90097
90098         )
90099
90100 13281 \ 1
90101         #ICR61_ICR1
90102  
90103 iü61
90104 .
90105 b\99
90106 .
90107 _ICR1
90108
90109
90110         )
90111
90112 13282 \ 1
90113         #ICR61_ICR0
90114  
90115 iü61
90116 .
90117 b\99
90118 .
90119 _ICR0
90120
90121
90122         )
90123
90124 13283 
90125 __IO_EXTERN
90126  
90127 ICR62STR
90128  
90129         giü62
90130 ;
90131
90132 13284 \ 1
90133         #ICR62
90134  
90135 iü62
90136 .
90137 by\8b
90138
90139
90140         )
90141
90142 13285 \ 1
90143         #ICR62_ICR4
90144  
90145 iü62
90146 .
90147 b\99
90148 .
90149 _ICR4
90150
90151
90152         )
90153
90154 13286 \ 1
90155         #ICR62_ICR3
90156  
90157 iü62
90158 .
90159 b\99
90160 .
90161 _ICR3
90162
90163
90164         )
90165
90166 13287 \ 1
90167         #ICR62_ICR2
90168  
90169 iü62
90170 .
90171 b\99
90172 .
90173 _ICR2
90174
90175
90176         )
90177
90178 13288 \ 1
90179         #ICR62_ICR1
90180  
90181 iü62
90182 .
90183 b\99
90184 .
90185 _ICR1
90186
90187
90188         )
90189
90190 13289 \ 1
90191         #ICR62_ICR0
90192  
90193 iü62
90194 .
90195 b\99
90196 .
90197 _ICR0
90198
90199
90200         )
90201
90202 13290 
90203 __IO_EXTERN
90204  
90205 ICR63STR
90206  
90207         giü63
90208 ;
90209
90210 13291 \ 1
90211         #ICR63
90212  
90213 iü63
90214 .
90215 by\8b
90216
90217
90218         )
90219
90220 13292 \ 1
90221         #ICR63_ICR4
90222  
90223 iü63
90224 .
90225 b\99
90226 .
90227 _ICR4
90228
90229
90230         )
90231
90232 13293 \ 1
90233         #ICR63_ICR3
90234  
90235 iü63
90236 .
90237 b\99
90238 .
90239 _ICR3
90240
90241
90242         )
90243
90244 13294 \ 1
90245         #ICR63_ICR2
90246  
90247 iü63
90248 .
90249 b\99
90250 .
90251 _ICR2
90252
90253
90254         )
90255
90256 13295 \ 1
90257         #ICR63_ICR1
90258  
90259 iü63
90260 .
90261 b\99
90262 .
90263 _ICR1
90264
90265
90266         )
90267
90268 13296 \ 1
90269         #ICR63_ICR0
90270  
90271 iü63
90272 .
90273 b\99
90274 .
90275 _ICR0
90276
90277
90278         )
90279
90280 13297 
90281 __IO_EXTERN
90282  
90283 RSRRSTR
90284  
90285         gr¤r
90286 ;
90287
90288 13298 \ 1
90289         #RSRR
90290  
90291 r¤r
90292 .
90293 by\8b
90294
90295
90296         )
90297
90298 13299 \ 1
90299         #RSRR_INIT
90300  
90301 r¤r
90302 .
90303 b\99
90304 .
90305 _INIT
90306
90307
90308         )
90309
90310 13300 \ 1
90311         #RSRR_HSTB
90312  
90313 r¤r
90314 .
90315 b\99
90316 .
90317 _HSTB
90318
90319
90320         )
90321
90322 13301 \ 1
90323         #RSRR_WDOG
90324  
90325 r¤r
90326 .
90327 b\99
90328 .
90329 _WDOG
90330
90331
90332         )
90333
90334 13302 \ 1
90335         #RSRR_ERST
90336  
90337 r¤r
90338 .
90339 b\99
90340 .
90341 _ERST
90342
90343
90344         )
90345
90346 13303 \ 1
90347         #RSRR_SRST
90348  
90349 r¤r
90350 .
90351 b\99
90352 .
90353 _SRST
90354
90355
90356         )
90357
90358 13304 \ 1
90359         #RSRR_LINIT
90360  
90361 r¤r
90362 .
90363 b\99
90364 .
90365 _LINIT
90366
90367
90368         )
90369
90370 13305 \ 1
90371         #RSRR_WT1
90372  
90373 r¤r
90374 .
90375 b\99
90376 .
90377 _WT1
90378
90379
90380         )
90381
90382 13306 \ 1
90383         #RSRR_WT0
90384  
90385 r¤r
90386 .
90387 b\99
90388 .
90389 _WT0
90390
90391
90392         )
90393
90394 13307 \ 1
90395         #RSRR_WT
90396  
90397 r¤r
90398 .
90399 b\99c
90400 .
90401 _WT
90402
90403
90404         )
90405
90406 13308 
90407 __IO_EXTERN
90408  
90409 STCRSTR
90410  
90411         g¡ü
90412 ;
90413
90414 13309 \ 1
90415         #STCR
90416  
90417 ¡ü
90418 .
90419 by\8b
90420
90421
90422         )
90423
90424 13310 \ 1
90425         #STCR_STOP
90426  
90427 ¡ü
90428 .
90429 b\99
90430 .
90431 _STOP
90432
90433
90434         )
90435
90436 13311 \ 1
90437         #STCR_SLEEP
90438  
90439 ¡ü
90440 .
90441 b\99
90442 .
90443 _SLEEP
90444
90445
90446         )
90447
90448 13312 \ 1
90449         #STCR_HIZ
90450  
90451 ¡ü
90452 .
90453 b\99
90454 .
90455 _HIZ
90456
90457
90458         )
90459
90460 13313 \ 1
90461         #STCR_SRST
90462  
90463 ¡ü
90464 .
90465 b\99
90466 .
90467 _SRST
90468
90469
90470         )
90471
90472 13314 \ 1
90473         #STCR_OS1
90474  
90475 ¡ü
90476 .
90477 b\99
90478 .
90479 _OS1
90480
90481
90482         )
90483
90484 13315 \ 1
90485         #STCR_OS0
90486  
90487 ¡ü
90488 .
90489 b\99
90490 .
90491 _OS0
90492
90493
90494         )
90495
90496 13316 \ 1
90497         #STCR_OSCD2
90498  
90499 ¡ü
90500 .
90501 b\99
90502 .
90503 _OSCD2
90504
90505
90506         )
90507
90508 13317 \ 1
90509         #STCR_OSCD1
90510  
90511 ¡ü
90512 .
90513 b\99
90514 .
90515 _OSCD1
90516
90517
90518         )
90519
90520 13318 \ 1
90521         #STCR_OS
90522  
90523 ¡ü
90524 .
90525 b\99c
90526 .
90527 _OS
90528
90529
90530         )
90531
90532 13319 \ 1
90533         #STCR_OSCD
90534  
90535 ¡ü
90536 .
90537 b\99c
90538 .
90539 _OSCD
90540
90541
90542         )
90543
90544 13320 
90545 __IO_EXTERN
90546  
90547 TBCRSTR
90548  
90549         gtbü
90550 ;
90551
90552 13321 \ 1
90553         #TBCR
90554  
90555 tbü
90556 .
90557 by\8b
90558
90559
90560         )
90561
90562 13322 \ 1
90563         #TBCR_TBIF
90564  
90565 tbü
90566 .
90567 b\99
90568 .
90569 _TBIF
90570
90571
90572         )
90573
90574 13323 \ 1
90575         #TBCR_TBIE
90576  
90577 tbü
90578 .
90579 b\99
90580 .
90581 _TBIE
90582
90583
90584         )
90585
90586 13324 \ 1
90587         #TBCR_TBC2
90588  
90589 tbü
90590 .
90591 b\99
90592 .
90593 _TBC2
90594
90595
90596         )
90597
90598 13325 \ 1
90599         #TBCR_TBC1
90600  
90601 tbü
90602 .
90603 b\99
90604 .
90605 _TBC1
90606
90607
90608         )
90609
90610 13326 \ 1
90611         #TBCR_TBC0
90612  
90613 tbü
90614 .
90615 b\99
90616 .
90617 _TBC0
90618
90619
90620         )
90621
90622 13327 \ 1
90623         #TBCR_SYNCR
90624  
90625 tbü
90626 .
90627 b\99
90628 .
90629 _SYNCR
90630
90631
90632         )
90633
90634 13328 \ 1
90635         #TBCR_SYNCS
90636  
90637 tbü
90638 .
90639 b\99
90640 .
90641 _SYNCS
90642
90643
90644         )
90645
90646 13329 \ 1
90647         #TBCR_TBC
90648  
90649 tbü
90650 .
90651 b\99c
90652 .
90653 _TBC
90654
90655
90656         )
90657
90658 13330 
90659 __IO_EXTERN
90660  
90661 CTBRSTR
90662  
90663         gùbr
90664 ;
90665
90666 13331 \ 1
90667         #CTBR
90668  
90669 ùbr
90670 .
90671 by\8b
90672
90673
90674         )
90675
90676 13332 \ 1
90677         #CTBR_D7
90678  
90679 ùbr
90680 .
90681 b\99
90682 .
90683 _D7
90684
90685
90686         )
90687
90688 13333 \ 1
90689         #CTBR_D6
90690  
90691 ùbr
90692 .
90693 b\99
90694 .
90695 _D6
90696
90697
90698         )
90699
90700 13334 \ 1
90701         #CTBR_D5
90702  
90703 ùbr
90704 .
90705 b\99
90706 .
90707 _D5
90708
90709
90710         )
90711
90712 13335 \ 1
90713         #CTBR_D4
90714  
90715 ùbr
90716 .
90717 b\99
90718 .
90719 _D4
90720
90721
90722         )
90723
90724 13336 \ 1
90725         #CTBR_D3
90726  
90727 ùbr
90728 .
90729 b\99
90730 .
90731 _D3
90732
90733
90734         )
90735
90736 13337 \ 1
90737         #CTBR_D2
90738  
90739 ùbr
90740 .
90741 b\99
90742 .
90743 _D2
90744
90745
90746         )
90747
90748 13338 \ 1
90749         #CTBR_D1
90750  
90751 ùbr
90752 .
90753 b\99
90754 .
90755 _D1
90756
90757
90758         )
90759
90760 13339 \ 1
90761         #CTBR_D0
90762  
90763 ùbr
90764 .
90765 b\99
90766 .
90767 _D0
90768
90769
90770         )
90771
90772 13340 
90773 __IO_EXTERN
90774  
90775 CLKRSTR
90776  
90777         gþkr
90778 ;
90779
90780 13341 \ 1
90781         #CLKR
90782  
90783 þkr
90784 .
90785 by\8b
90786
90787
90788         )
90789
90790 13342 \ 1
90791         #CLKR_SCKEN
90792  
90793 þkr
90794 .
90795 b\99
90796 .
90797 _SCKEN
90798
90799
90800         )
90801
90802 13343 \ 1
90803         #CLKR_PLL1EN
90804  
90805 þkr
90806 .
90807 b\99
90808 .
90809 _PLL1EN
90810
90811
90812         )
90813
90814 13344 \ 1
90815         #CLKR_CLKS1
90816  
90817 þkr
90818 .
90819 b\99
90820 .
90821 _CLKS1
90822
90823
90824         )
90825
90826 13345 \ 1
90827         #CLKR_CLKS0
90828  
90829 þkr
90830 .
90831 b\99
90832 .
90833 _CLKS0
90834
90835
90836         )
90837
90838 13346 \ 1
90839         #CLKR_CLKS
90840  
90841 þkr
90842 .
90843 b\99c
90844 .
90845 _CLKS
90846
90847
90848         )
90849
90850 13347 
90851 __IO_EXTERN
90852  
90853 WPRSTR
90854  
90855         gw´
90856 ;
90857
90858 13348 \ 1
90859         #WPR
90860  
90861
90862 .
90863 by\8b
90864
90865
90866         )
90867
90868 13349 \ 1
90869         #WPR_D7
90870  
90871
90872 .
90873 b\99
90874 .
90875 _D7
90876
90877
90878         )
90879
90880 13350 \ 1
90881         #WPR_D6
90882  
90883
90884 .
90885 b\99
90886 .
90887 _D6
90888
90889
90890         )
90891
90892 13351 \ 1
90893         #WPR_D5
90894  
90895
90896 .
90897 b\99
90898 .
90899 _D5
90900
90901
90902         )
90903
90904 13352 \ 1
90905         #WPR_D4
90906  
90907
90908 .
90909 b\99
90910 .
90911 _D4
90912
90913
90914         )
90915
90916 13353 \ 1
90917         #WPR_D3
90918  
90919
90920 .
90921 b\99
90922 .
90923 _D3
90924
90925
90926         )
90927
90928 13354 \ 1
90929         #WPR_D2
90930  
90931
90932 .
90933 b\99
90934 .
90935 _D2
90936
90937
90938         )
90939
90940 13355 \ 1
90941         #WPR_D1
90942  
90943
90944 .
90945 b\99
90946 .
90947 _D1
90948
90949
90950         )
90951
90952 13356 \ 1
90953         #WPR_D0
90954  
90955
90956 .
90957 b\99
90958 .
90959 _D0
90960
90961
90962         )
90963
90964 13357 
90965 __IO_EXTERN
90966  
90967 DIVR0STR
90968  
90969         gdivr0
90970 ;
90971
90972 13358 \ 1
90973         #DIVR0
90974  
90975 divr0
90976 .
90977 by\8b
90978
90979
90980         )
90981
90982 13359 \ 1
90983         #DIVR0_B3
90984  
90985 divr0
90986 .
90987 b\99
90988 .
90989 _B3
90990
90991
90992         )
90993
90994 13360 \ 1
90995         #DIVR0_B2
90996  
90997 divr0
90998 .
90999 b\99
91000 .
91001 _B2
91002
91003
91004         )
91005
91006 13361 \ 1
91007         #DIVR0_B1
91008  
91009 divr0
91010 .
91011 b\99
91012 .
91013 _B1
91014
91015
91016         )
91017
91018 13362 \ 1
91019         #DIVR0_B0
91020  
91021 divr0
91022 .
91023 b\99
91024 .
91025 _B0
91026
91027
91028         )
91029
91030 13363 \ 1
91031         #DIVR0_P3
91032  
91033 divr0
91034 .
91035 b\99
91036 .
91037 _P3
91038
91039
91040         )
91041
91042 13364 \ 1
91043         #DIVR0_P2
91044  
91045 divr0
91046 .
91047 b\99
91048 .
91049 _P2
91050
91051
91052         )
91053
91054 13365 \ 1
91055         #DIVR0_P1
91056  
91057 divr0
91058 .
91059 b\99
91060 .
91061 _P1
91062
91063
91064         )
91065
91066 13366 \ 1
91067         #DIVR0_P0
91068  
91069 divr0
91070 .
91071 b\99
91072 .
91073 _P0
91074
91075
91076         )
91077
91078 13367 \ 1
91079         #DIVR0_B
91080  
91081 divr0
91082 .
91083 b\99c
91084 .
91085 _B
91086
91087
91088         )
91089
91090 13368 \ 1
91091         #DIVR0_P
91092  
91093 divr0
91094 .
91095 b\99c
91096 .
91097 _P
91098
91099
91100         )
91101
91102 13369 
91103 __IO_EXTERN
91104  
91105 DIVR1STR
91106  
91107         gdivr1
91108 ;
91109
91110 13370 \ 1
91111         #DIVR1
91112  
91113 divr1
91114 .
91115 by\8b
91116
91117
91118         )
91119
91120 13371 \ 1
91121         #DIVR1_T3
91122  
91123 divr1
91124 .
91125 b\99
91126 .
91127 _T3
91128
91129
91130         )
91131
91132 13372 \ 1
91133         #DIVR1_T2
91134  
91135 divr1
91136 .
91137 b\99
91138 .
91139 _T2
91140
91141
91142         )
91143
91144 13373 \ 1
91145         #DIVR1_T1
91146  
91147 divr1
91148 .
91149 b\99
91150 .
91151 _T1
91152
91153
91154         )
91155
91156 13374 \ 1
91157         #DIVR1_T0
91158  
91159 divr1
91160 .
91161 b\99
91162 .
91163 _T0
91164
91165
91166         )
91167
91168 13375 \ 1
91169         #DIVR1_T
91170  
91171 divr1
91172 .
91173 b\99c
91174 .
91175 _T
91176
91177
91178         )
91179
91180 13376 
91181 __IO_EXTERN
91182  
91183 PLLDIVMSTR
91184  
91185         g¶ldivm
91186 ;
91187
91188 13377 \ 1
91189         #PLLDIVM
91190  
91191 ¶ldivm
91192 .
91193 by\8b
91194
91195
91196         )
91197
91198 13378 \ 1
91199         #PLLDIVM_DVM3
91200  
91201 ¶ldivm
91202 .
91203 b\99
91204 .
91205 _DVM3
91206
91207
91208         )
91209
91210 13379 \ 1
91211         #PLLDIVM_DVM2
91212  
91213 ¶ldivm
91214 .
91215 b\99
91216 .
91217 _DVM2
91218
91219
91220         )
91221
91222 13380 \ 1
91223         #PLLDIVM_DVM1
91224  
91225 ¶ldivm
91226 .
91227 b\99
91228 .
91229 _DVM1
91230
91231
91232         )
91233
91234 13381 \ 1
91235         #PLLDIVM_DVM0
91236  
91237 ¶ldivm
91238 .
91239 b\99
91240 .
91241 _DVM0
91242
91243
91244         )
91245
91246 13382 \ 1
91247         #PLLDIVM_DVM
91248  
91249 ¶ldivm
91250 .
91251 b\99c
91252 .
91253 _DVM
91254
91255
91256         )
91257
91258 13383 
91259 __IO_EXTERN
91260  
91261 PLLDIVNSTR
91262  
91263         g¶ldivn
91264 ;
91265
91266 13384 \ 1
91267         #PLLDIVN
91268  
91269 ¶ldivn
91270 .
91271 by\8b
91272
91273
91274         )
91275
91276 13385 \ 1
91277         #PLLDIVN_DVN5
91278  
91279 ¶ldivn
91280 .
91281 b\99
91282 .
91283 _DVN5
91284
91285
91286         )
91287
91288 13386 \ 1
91289         #PLLDIVN_DVN4
91290  
91291 ¶ldivn
91292 .
91293 b\99
91294 .
91295 _DVN4
91296
91297
91298         )
91299
91300 13387 \ 1
91301         #PLLDIVN_DVN3
91302  
91303 ¶ldivn
91304 .
91305 b\99
91306 .
91307 _DVN3
91308
91309
91310         )
91311
91312 13388 \ 1
91313         #PLLDIVN_DVN2
91314  
91315 ¶ldivn
91316 .
91317 b\99
91318 .
91319 _DVN2
91320
91321
91322         )
91323
91324 13389 \ 1
91325         #PLLDIVN_DVN1
91326  
91327 ¶ldivn
91328 .
91329 b\99
91330 .
91331 _DVN1
91332
91333
91334         )
91335
91336 13390 \ 1
91337         #PLLDIVN_DVN0
91338  
91339 ¶ldivn
91340 .
91341 b\99
91342 .
91343 _DVN0
91344
91345
91346         )
91347
91348 13391 \ 1
91349         #PLLDIVN_DVN
91350  
91351 ¶ldivn
91352 .
91353 b\99c
91354 .
91355 _DVN
91356
91357
91358         )
91359
91360 13392 
91361 __IO_EXTERN
91362  
91363 PLLDIVGSTR
91364  
91365         g¶ldivg
91366 ;
91367
91368 13393 \ 1
91369         #PLLDIVG
91370  
91371 ¶ldivg
91372 .
91373 by\8b
91374
91375
91376         )
91377
91378 13394 \ 1
91379         #PLLDIVG_DVG3
91380  
91381 ¶ldivg
91382 .
91383 b\99
91384 .
91385 _DVG3
91386
91387
91388         )
91389
91390 13395 \ 1
91391         #PLLDIVG_DVG2
91392  
91393 ¶ldivg
91394 .
91395 b\99
91396 .
91397 _DVG2
91398
91399
91400         )
91401
91402 13396 \ 1
91403         #PLLDIVG_DVG1
91404  
91405 ¶ldivg
91406 .
91407 b\99
91408 .
91409 _DVG1
91410
91411
91412         )
91413
91414 13397 \ 1
91415         #PLLDIVG_DVG0
91416  
91417 ¶ldivg
91418 .
91419 b\99
91420 .
91421 _DVG0
91422
91423
91424         )
91425
91426 13398 \ 1
91427         #PLLDIVG_DVG
91428  
91429 ¶ldivg
91430 .
91431 b\99c
91432 .
91433 _DVG
91434
91435
91436         )
91437
91438 13399 
91439 __IO_EXTERN
91440  
91441 PLLMULGSTR
91442  
91443         g¶lmulg
91444 ;
91445
91446 13400 \ 1
91447         #PLLMULG
91448  
91449 ¶lmulg
91450 .
91451 by\8b
91452
91453
91454         )
91455
91456 13401 \ 1
91457         #PLLMULG_MLG7
91458  
91459 ¶lmulg
91460 .
91461 b\99
91462 .
91463 _MLG7
91464
91465
91466         )
91467
91468 13402 \ 1
91469         #PLLMULG_MLG6
91470  
91471 ¶lmulg
91472 .
91473 b\99
91474 .
91475 _MLG6
91476
91477
91478         )
91479
91480 13403 \ 1
91481         #PLLMULG_MLG5
91482  
91483 ¶lmulg
91484 .
91485 b\99
91486 .
91487 _MLG5
91488
91489
91490         )
91491
91492 13404 \ 1
91493         #PLLMULG_MLG4
91494  
91495 ¶lmulg
91496 .
91497 b\99
91498 .
91499 _MLG4
91500
91501
91502         )
91503
91504 13405 \ 1
91505         #PLLMULG_MLG3
91506  
91507 ¶lmulg
91508 .
91509 b\99
91510 .
91511 _MLG3
91512
91513
91514         )
91515
91516 13406 \ 1
91517         #PLLMULG_MLG2
91518  
91519 ¶lmulg
91520 .
91521 b\99
91522 .
91523 _MLG2
91524
91525
91526         )
91527
91528 13407 \ 1
91529         #PLLMULG_MLG1
91530  
91531 ¶lmulg
91532 .
91533 b\99
91534 .
91535 _MLG1
91536
91537
91538         )
91539
91540 13408 \ 1
91541         #PLLMULG_MLG0
91542  
91543 ¶lmulg
91544 .
91545 b\99
91546 .
91547 _MLG0
91548
91549
91550         )
91551
91552 13409 \ 1
91553         #PLLMULG_MLG
91554  
91555 ¶lmulg
91556 .
91557 b\99c
91558 .
91559 _MLG
91560
91561
91562         )
91563
91564 13410 
91565 __IO_EXTERN
91566  
91567 PLLCTRLSTR
91568  
91569         g¶lù¾
91570 ;
91571
91572 13411 \ 1
91573         #PLLCTRL
91574  
91575 ¶lù¾
91576 .
91577 by\8b
91578
91579
91580         )
91581
91582 13412 \ 1
91583         #PLLCTRL_IEDN
91584  
91585 ¶lù¾
91586 .
91587 b\99
91588 .
91589 _IEDN
91590
91591
91592         )
91593
91594 13413 \ 1
91595         #PLLCTRL_GRDN
91596  
91597 ¶lù¾
91598 .
91599 b\99
91600 .
91601 _GRDN
91602
91603
91604         )
91605
91606 13414 \ 1
91607         #PLLCTRL_IEUP
91608  
91609 ¶lù¾
91610 .
91611 b\99
91612 .
91613 _IEUP
91614
91615
91616         )
91617
91618 13415 \ 1
91619         #PLLCTRL_GRUP
91620  
91621 ¶lù¾
91622 .
91623 b\99
91624 .
91625 _GRUP
91626
91627
91628         )
91629
91630 13416 
91631 __IO_EXTERN
91632  
91633 OSCC1STR
91634  
91635         goscc1
91636 ;
91637
91638 13417 \ 1
91639         #OSCC1
91640  
91641 oscc1
91642 .
91643 by\8b
91644
91645
91646         )
91647
91648 13418 \ 1
91649         #OSCC1_FCI
91650  
91651 oscc1
91652 .
91653 b\99
91654 .
91655 _FCI
91656
91657
91658         )
91659
91660 13419 \ 1
91661         #OSCC1_RFBEN
91662  
91663 oscc1
91664 .
91665 b\99
91666 .
91667 _RFBEN
91668
91669
91670         )
91671
91672 13420 \ 1
91673         #OSCC1_OSCR
91674  
91675 oscc1
91676 .
91677 b\99
91678 .
91679 _OSCR
91680
91681
91682         )
91683
91684 13421 
91685 __IO_EXTERN
91686  
91687 OSCS1STR
91688  
91689         goscs1
91690 ;
91691
91692 13422 \ 1
91693         #OSCS1
91694  
91695 oscs1
91696 .
91697 by\8b
91698
91699
91700         )
91701
91702 13423 \ 1
91703         #OSCS1_OSCS7
91704  
91705 oscs1
91706 .
91707 b\99
91708 .
91709 _OSCS7
91710
91711
91712         )
91713
91714 13424 \ 1
91715         #OSCS1_OSCS6
91716  
91717 oscs1
91718 .
91719 b\99
91720 .
91721 _OSCS6
91722
91723
91724         )
91725
91726 13425 \ 1
91727         #OSCS1_OSCS5
91728  
91729 oscs1
91730 .
91731 b\99
91732 .
91733 _OSCS5
91734
91735
91736         )
91737
91738 13426 \ 1
91739         #OSCS1_OSCS4
91740  
91741 oscs1
91742 .
91743 b\99
91744 .
91745 _OSCS4
91746
91747
91748         )
91749
91750 13427 \ 1
91751         #OSCS1_OSCS3
91752  
91753 oscs1
91754 .
91755 b\99
91756 .
91757 _OSCS3
91758
91759
91760         )
91761
91762 13428 \ 1
91763         #OSCS1_OSCS2
91764  
91765 oscs1
91766 .
91767 b\99
91768 .
91769 _OSCS2
91770
91771
91772         )
91773
91774 13429 \ 1
91775         #OSCS1_OSCS1
91776  
91777 oscs1
91778 .
91779 b\99
91780 .
91781 _OSCS1
91782
91783
91784         )
91785
91786 13430 \ 1
91787         #OSCS1_OSCS0
91788  
91789 oscs1
91790 .
91791 b\99
91792 .
91793 _OSCS0
91794
91795
91796         )
91797
91798 13431 
91799 __IO_EXTERN
91800  
91801 OSCC2STR
91802  
91803         goscc2
91804 ;
91805
91806 13432 \ 1
91807         #OSCC2
91808  
91809 oscc2
91810 .
91811 by\8b
91812
91813
91814         )
91815
91816 13433 \ 1
91817         #OSCC2_FCI
91818  
91819 oscc2
91820 .
91821 b\99
91822 .
91823 _FCI
91824
91825
91826         )
91827
91828 13434 \ 1
91829         #OSCC2_RFBEN
91830  
91831 oscc2
91832 .
91833 b\99
91834 .
91835 _RFBEN
91836
91837
91838         )
91839
91840 13435 \ 1
91841         #OSCC2_OSCR
91842  
91843 oscc2
91844 .
91845 b\99
91846 .
91847 _OSCR
91848
91849
91850         )
91851
91852 13436 
91853 __IO_EXTERN
91854  
91855 OSCS2STR
91856  
91857         goscs2
91858 ;
91859
91860 13437 \ 1
91861         #OSCS2
91862  
91863 oscs2
91864 .
91865 by\8b
91866
91867
91868         )
91869
91870 13438 \ 1
91871         #OSCS2_OSCS7
91872  
91873 oscs2
91874 .
91875 b\99
91876 .
91877 _OSCS7
91878
91879
91880         )
91881
91882 13439 \ 1
91883         #OSCS2_OSCS6
91884  
91885 oscs2
91886 .
91887 b\99
91888 .
91889 _OSCS6
91890
91891
91892         )
91893
91894 13440 \ 1
91895         #OSCS2_OSCS5
91896  
91897 oscs2
91898 .
91899 b\99
91900 .
91901 _OSCS5
91902
91903
91904         )
91905
91906 13441 \ 1
91907         #OSCS2_OSCS4
91908  
91909 oscs2
91910 .
91911 b\99
91912 .
91913 _OSCS4
91914
91915
91916         )
91917
91918 13442 \ 1
91919         #OSCS2_OSCS3
91920  
91921 oscs2
91922 .
91923 b\99
91924 .
91925 _OSCS3
91926
91927
91928         )
91929
91930 13443 \ 1
91931         #OSCS2_OSCS2
91932  
91933 oscs2
91934 .
91935 b\99
91936 .
91937 _OSCS2
91938
91939
91940         )
91941
91942 13444 \ 1
91943         #OSCS2_OSCS1
91944  
91945 oscs2
91946 .
91947 b\99
91948 .
91949 _OSCS1
91950
91951
91952         )
91953
91954 13445 \ 1
91955         #OSCS2_OSCS0
91956  
91957 oscs2
91958 .
91959 b\99
91960 .
91961 _OSCS0
91962
91963
91964         )
91965
91966 13446 
91967 __IO_EXTERN
91968  
91969 PORTENSTR
91970  
91971         gp܋n
91972 ;
91973
91974 13447 \ 1
91975         #PORTEN
91976  
91977 p܋n
91978 .
91979 by\8b
91980
91981
91982         )
91983
91984 13448 \ 1
91985         #PORTEN_CPORTEN
91986  
91987 p܋n
91988 .
91989 b\99
91990 .
91991 _CPORTEN
91992
91993
91994         )
91995
91996 13449 \ 1
91997         #PORTEN_GPORTEN
91998  
91999 p܋n
92000 .
92001 b\99
92002 .
92003 _GPORTEN
92004
92005
92006         )
92007
92008 13450 
92009 __IO_EXTERN
92010  
92011 WTCERSTR
92012  
92013         gwtûr
92014 ;
92015
92016 13451 \ 1
92017         #WTCER
92018  
92019 wtûr
92020 .
92021 by\8b
92022
92023
92024         )
92025
92026 13452 \ 1
92027         #WTCER_INTE4
92028  
92029 wtûr
92030 .
92031 b\99
92032 .
92033 _INTE4
92034
92035
92036         )
92037
92038 13453 \ 1
92039         #WTCER_INT4
92040  
92041 wtûr
92042 .
92043 b\99
92044 .
92045 _INT4
92046
92047
92048         )
92049
92050 13454 
92051 __IO_EXTERN
92052  
92053 WTCRSTR
92054  
92055         gwtü
92056 ;
92057
92058 13455 \ 1
92059         #WTCR
92060  
92061 wtü
92062 .
92063 wÜd
92064
92065
92066         )
92067
92068 13456 \ 1
92069         #WTCR_INTE3
92070  
92071 wtü
92072 .
92073 b\99
92074 .
92075 _INTE3
92076
92077
92078         )
92079
92080 13457 \ 1
92081         #WTCR_INT3
92082  
92083 wtü
92084 .
92085 b\99
92086 .
92087 _INT3
92088
92089
92090         )
92091
92092 13458 \ 1
92093         #WTCR_INTE2
92094  
92095 wtü
92096 .
92097 b\99
92098 .
92099 _INTE2
92100
92101
92102         )
92103
92104 13459 \ 1
92105         #WTCR_INT2
92106  
92107 wtü
92108 .
92109 b\99
92110 .
92111 _INT2
92112
92113
92114         )
92115
92116 13460 \ 1
92117         #WTCR_INTE1
92118  
92119 wtü
92120 .
92121 b\99
92122 .
92123 _INTE1
92124
92125
92126         )
92127
92128 13461 \ 1
92129         #WTCR_INT1
92130  
92131 wtü
92132 .
92133 b\99
92134 .
92135 _INT1
92136
92137
92138         )
92139
92140 13462 \ 1
92141         #WTCR_INTE0
92142  
92143 wtü
92144 .
92145 b\99
92146 .
92147 _INTE0
92148
92149
92150         )
92151
92152 13463 \ 1
92153         #WTCR_INT0
92154  
92155 wtü
92156 .
92157 b\99
92158 .
92159 _INT0
92160
92161
92162         )
92163
92164 13464 \ 1
92165         #WTCR_RUN
92166  
92167 wtü
92168 .
92169 b\99
92170 .
92171 _RUN
92172
92173
92174         )
92175
92176 13465 \ 1
92177         #WTCR_UPDT
92178  
92179 wtü
92180 .
92181 b\99
92182 .
92183 _UPDT
92184
92185
92186         )
92187
92188 13466 \ 1
92189         #WTCR_ST
92190  
92191 wtü
92192 .
92193 b\99
92194 .
92195 _ST
92196
92197
92198         )
92199
92200 13467 
92201 __IO_EXTERN
92202  
92203 WTBRSTR
92204  
92205         gwtbr
92206 ;
92207
92208 13468 \ 1
92209         #WTBR
92210  
92211 wtbr
92212 .
92213 lwÜd
92214
92215
92216         )
92217
92218 13469 \ 1
92219         #WTBR_D20
92220  
92221 wtbr
92222 .
92223 b\99
92224 .
92225 _D20
92226
92227
92228         )
92229
92230 13470 \ 1
92231         #WTBR_D19
92232  
92233 wtbr
92234 .
92235 b\99
92236 .
92237 _D19
92238
92239
92240         )
92241
92242 13471 \ 1
92243         #WTBR_D18
92244  
92245 wtbr
92246 .
92247 b\99
92248 .
92249 _D18
92250
92251
92252         )
92253
92254 13472 \ 1
92255         #WTBR_D17
92256  
92257 wtbr
92258 .
92259 b\99
92260 .
92261 _D17
92262
92263
92264         )
92265
92266 13473 \ 1
92267         #WTBR_D16
92268  
92269 wtbr
92270 .
92271 b\99
92272 .
92273 _D16
92274
92275
92276         )
92277
92278 13474 \ 1
92279         #WTBR_D15
92280  
92281 wtbr
92282 .
92283 b\99
92284 .
92285 _D15
92286
92287
92288         )
92289
92290 13475 \ 1
92291         #WTBR_D14
92292  
92293 wtbr
92294 .
92295 b\99
92296 .
92297 _D14
92298
92299
92300         )
92301
92302 13476 \ 1
92303         #WTBR_D13
92304  
92305 wtbr
92306 .
92307 b\99
92308 .
92309 _D13
92310
92311
92312         )
92313
92314 13477 \ 1
92315         #WTBR_D12
92316  
92317 wtbr
92318 .
92319 b\99
92320 .
92321 _D12
92322
92323
92324         )
92325
92326 13478 \ 1
92327         #WTBR_D11
92328  
92329 wtbr
92330 .
92331 b\99
92332 .
92333 _D11
92334
92335
92336         )
92337
92338 13479 \ 1
92339         #WTBR_D10
92340  
92341 wtbr
92342 .
92343 b\99
92344 .
92345 _D10
92346
92347
92348         )
92349
92350 13480 \ 1
92351         #WTBR_D9
92352  
92353 wtbr
92354 .
92355 b\99
92356 .
92357 _D9
92358
92359
92360         )
92361
92362 13481 \ 1
92363         #WTBR_D8
92364  
92365 wtbr
92366 .
92367 b\99
92368 .
92369 _D8
92370
92371
92372         )
92373
92374 13482 \ 1
92375         #WTBR_D7
92376  
92377 wtbr
92378 .
92379 b\99
92380 .
92381 _D7
92382
92383
92384         )
92385
92386 13483 \ 1
92387         #WTBR_D6
92388  
92389 wtbr
92390 .
92391 b\99
92392 .
92393 _D6
92394
92395
92396         )
92397
92398 13484 \ 1
92399         #WTBR_D5
92400  
92401 wtbr
92402 .
92403 b\99
92404 .
92405 _D5
92406
92407
92408         )
92409
92410 13485 \ 1
92411         #WTBR_D4
92412  
92413 wtbr
92414 .
92415 b\99
92416 .
92417 _D4
92418
92419
92420         )
92421
92422 13486 \ 1
92423         #WTBR_D3
92424  
92425 wtbr
92426 .
92427 b\99
92428 .
92429 _D3
92430
92431
92432         )
92433
92434 13487 \ 1
92435         #WTBR_D2
92436  
92437 wtbr
92438 .
92439 b\99
92440 .
92441 _D2
92442
92443
92444         )
92445
92446 13488 \ 1
92447         #WTBR_D1
92448  
92449 wtbr
92450 .
92451 b\99
92452 .
92453 _D1
92454
92455
92456         )
92457
92458 13489 \ 1
92459         #WTBR_D0
92460  
92461 wtbr
92462 .
92463 b\99
92464 .
92465 _D0
92466
92467
92468         )
92469
92470 13490 
92471 __IO_EXTERN
92472  
92473 WTHRSTR
92474  
92475         gwthr
92476 ;
92477
92478 13491 \ 1
92479         #WTHR
92480  
92481 wthr
92482 .
92483 by\8b
92484
92485
92486         )
92487
92488 13492 \ 1
92489         #WTHR_H4
92490  
92491 wthr
92492 .
92493 b\99
92494 .
92495 _H4
92496
92497
92498         )
92499
92500 13493 \ 1
92501         #WTHR_H3
92502  
92503 wthr
92504 .
92505 b\99
92506 .
92507 _H3
92508
92509
92510         )
92511
92512 13494 \ 1
92513         #WTHR_H2
92514  
92515 wthr
92516 .
92517 b\99
92518 .
92519 _H2
92520
92521
92522         )
92523
92524 13495 \ 1
92525         #WTHR_H1
92526  
92527 wthr
92528 .
92529 b\99
92530 .
92531 _H1
92532
92533
92534         )
92535
92536 13496 \ 1
92537         #WTHR_H0
92538  
92539 wthr
92540 .
92541 b\99
92542 .
92543 _H0
92544
92545
92546         )
92547
92548 13497 
92549 __IO_EXTERN
92550  
92551 WTMRSTR
92552  
92553         gwtmr
92554 ;
92555
92556 13498 \ 1
92557         #WTMR
92558  
92559 wtmr
92560 .
92561 by\8b
92562
92563
92564         )
92565
92566 13499 \ 1
92567         #WTMR_M5
92568  
92569 wtmr
92570 .
92571 b\99
92572 .
92573 _M5
92574
92575
92576         )
92577
92578 13500 \ 1
92579         #WTMR_M4
92580  
92581 wtmr
92582 .
92583 b\99
92584 .
92585 _M4
92586
92587
92588         )
92589
92590 13501 \ 1
92591         #WTMR_M3
92592  
92593 wtmr
92594 .
92595 b\99
92596 .
92597 _M3
92598
92599
92600         )
92601
92602 13502 \ 1
92603         #WTMR_M2
92604  
92605 wtmr
92606 .
92607 b\99
92608 .
92609 _M2
92610
92611
92612         )
92613
92614 13503 \ 1
92615         #WTMR_M1
92616  
92617 wtmr
92618 .
92619 b\99
92620 .
92621 _M1
92622
92623
92624         )
92625
92626 13504 \ 1
92627         #WTMR_M0
92628  
92629 wtmr
92630 .
92631 b\99
92632 .
92633 _M0
92634
92635
92636         )
92637
92638 13505 
92639 __IO_EXTERN
92640  
92641 WTSRSTR
92642  
92643         gwt¤
92644 ;
92645
92646 13506 \ 1
92647         #WTSR
92648  
92649 wt¤
92650 .
92651 by\8b
92652
92653
92654         )
92655
92656 13507 \ 1
92657         #WTSR_S5
92658  
92659 wt¤
92660 .
92661 b\99
92662 .
92663 _S5
92664
92665
92666         )
92667
92668 13508 \ 1
92669         #WTSR_S4
92670  
92671 wt¤
92672 .
92673 b\99
92674 .
92675 _S4
92676
92677
92678         )
92679
92680 13509 \ 1
92681         #WTSR_S3
92682  
92683 wt¤
92684 .
92685 b\99
92686 .
92687 _S3
92688
92689
92690         )
92691
92692 13510 \ 1
92693         #WTSR_S2
92694  
92695 wt¤
92696 .
92697 b\99
92698 .
92699 _S2
92700
92701
92702         )
92703
92704 13511 \ 1
92705         #WTSR_S1
92706  
92707 wt¤
92708 .
92709 b\99
92710 .
92711 _S1
92712
92713
92714         )
92715
92716 13512 \ 1
92717         #WTSR_S0
92718  
92719 wt¤
92720 .
92721 b\99
92722 .
92723 _S0
92724
92725
92726         )
92727
92728 13513 
92729 __IO_EXTERN
92730  
92731 IO_BYTE
92732  
92733         gcsv\8c
92734 ;
92735
92736 13514 \ 1
92737         #CSVTR
92738  
92739 csv\8c
92740
92741
92742         )
92743
92744 13515 
92745 __IO_EXTERN
92746  
92747 CSVCRSTR
92748  
92749         gcsvü
92750 ;
92751
92752 13516 \ 1
92753         #CSVCR
92754  
92755 csvü
92756 .
92757 by\8b
92758
92759
92760         )
92761
92762 13517 \ 1
92763         #CSVCR_SCKS
92764  
92765 csvü
92766 .
92767 b\99
92768 .
92769 _SCKS
92770
92771
92772         )
92773
92774 13518 \ 1
92775         #CSVCR_MM
92776  
92777 csvü
92778 .
92779 b\99
92780 .
92781 _MM
92782
92783
92784         )
92785
92786 13519 \ 1
92787         #CSVCR_SM
92788  
92789 csvü
92790 .
92791 b\99
92792 .
92793 _SM
92794
92795
92796         )
92797
92798 13520 \ 1
92799         #CSVCR_RCE
92800  
92801 csvü
92802 .
92803 b\99
92804 .
92805 _RCE
92806
92807
92808         )
92809
92810 13521 \ 1
92811         #CSVCR_MSVE
92812  
92813 csvü
92814 .
92815 b\99
92816 .
92817 _MSVE
92818
92819
92820         )
92821
92822 13522 \ 1
92823         #CSVCR_SSVE
92824  
92825 csvü
92826 .
92827 b\99
92828 .
92829 _SSVE
92830
92831
92832         )
92833
92834 13523 \ 1
92835         #CSVCR_SRST
92836  
92837 csvü
92838 .
92839 b\99
92840 .
92841 _SRST
92842
92843
92844         )
92845
92846 13524 \ 1
92847         #CSVCR_OUTE
92848  
92849 csvü
92850 .
92851 b\99
92852 .
92853 _OUTE
92854
92855
92856         )
92857
92858 13525 
92859 __IO_EXTERN
92860  
92861 CSCFGSTR
92862  
92863         gcscfg
92864 ;
92865
92866 13526 \ 1
92867         #CSCFG
92868  
92869 cscfg
92870 .
92871 by\8b
92872
92873
92874         )
92875
92876 13527 \ 1
92877         #CSCFG_EDSUEN
92878  
92879 cscfg
92880 .
92881 b\99
92882 .
92883 _EDSUEN
92884
92885
92886         )
92887
92888 13528 \ 1
92889         #CSCFG_PLLLOCK
92890  
92891 cscfg
92892 .
92893 b\99
92894 .
92895 _PLLLOCK
92896
92897
92898         )
92899
92900 13529 \ 1
92901         #CSCFG_RCSEL
92902  
92903 cscfg
92904 .
92905 b\99
92906 .
92907 _RCSEL
92908
92909
92910         )
92911
92912 13530 \ 1
92913         #CSCFG_MONCKI
92914  
92915 cscfg
92916 .
92917 b\99
92918 .
92919 _MONCKI
92920
92921
92922         )
92923
92924 13531 \ 1
92925         #CSCFG_CSC3
92926  
92927 cscfg
92928 .
92929 b\99
92930 .
92931 _CSC3
92932
92933
92934         )
92935
92936 13532 \ 1
92937         #CSCFG_CSC2
92938  
92939 cscfg
92940 .
92941 b\99
92942 .
92943 _CSC2
92944
92945
92946         )
92947
92948 13533 \ 1
92949         #CSCFG_CSC1
92950  
92951 cscfg
92952 .
92953 b\99
92954 .
92955 _CSC1
92956
92957
92958         )
92959
92960 13534 \ 1
92961         #CSCFG_CSC0
92962  
92963 cscfg
92964 .
92965 b\99
92966 .
92967 _CSC0
92968
92969
92970         )
92971
92972 13535 \ 1
92973         #CSCFG_CSC
92974  
92975 cscfg
92976 .
92977 b\99c
92978 .
92979 _CSC
92980
92981
92982         )
92983
92984 13536 
92985 __IO_EXTERN
92986  
92987 CMCFGSTR
92988  
92989         gcmcfg
92990 ;
92991
92992 13537 \ 1
92993         #CMCFG
92994  
92995 cmcfg
92996 .
92997 by\8b
92998
92999
93000         )
93001
93002 13538 \ 1
93003         #CMCFG_CMPRE3
93004  
93005 cmcfg
93006 .
93007 b\99
93008 .
93009 _CMPRE3
93010
93011
93012         )
93013
93014 13539 \ 1
93015         #CMCFG_CMPRE2
93016  
93017 cmcfg
93018 .
93019 b\99
93020 .
93021 _CMPRE2
93022
93023
93024         )
93025
93026 13540 \ 1
93027         #CMCFG_CMPRE1
93028  
93029 cmcfg
93030 .
93031 b\99
93032 .
93033 _CMPRE1
93034
93035
93036         )
93037
93038 13541 \ 1
93039         #CMCFG_CMPRE0
93040  
93041 cmcfg
93042 .
93043 b\99
93044 .
93045 _CMPRE0
93046
93047
93048         )
93049
93050 13542 \ 1
93051         #CMCFG_CMSEL3
93052  
93053 cmcfg
93054 .
93055 b\99
93056 .
93057 _CMSEL3
93058
93059
93060         )
93061
93062 13543 \ 1
93063         #CMCFG_CMSEL2
93064  
93065 cmcfg
93066 .
93067 b\99
93068 .
93069 _CMSEL2
93070
93071
93072         )
93073
93074 13544 \ 1
93075         #CMCFG_CMSEL1
93076  
93077 cmcfg
93078 .
93079 b\99
93080 .
93081 _CMSEL1
93082
93083
93084         )
93085
93086 13545 \ 1
93087         #CMCFG_CMSEL0
93088  
93089 cmcfg
93090 .
93091 b\99
93092 .
93093 _CMSEL0
93094
93095
93096         )
93097
93098 13546 \ 1
93099         #CMCFG_CMPRE
93100  
93101 cmcfg
93102 .
93103 b\99c
93104 .
93105 _CMPRE
93106
93107
93108         )
93109
93110 13547 \ 1
93111         #CMCFG_CMSEL
93112  
93113 cmcfg
93114 .
93115 b\99c
93116 .
93117 _CMSEL
93118
93119
93120         )
93121
93122 13548 
93123 __IO_EXTERN
93124  
93125 CUCRSTR
93126  
93127         gcuü
93128 ;
93129
93130 13549 \ 1
93131         #CUCR
93132  
93133 cuü
93134 .
93135 wÜd
93136
93137
93138         )
93139
93140 13550 \ 1
93141         #CUCR_STRT
93142  
93143 cuü
93144 .
93145 b\99
93146 .
93147 _STRT
93148
93149
93150         )
93151
93152 13551 \ 1
93153         #CUCR_INT
93154  
93155 cuü
93156 .
93157 b\99
93158 .
93159 _INT
93160
93161
93162         )
93163
93164 13552 \ 1
93165         #CUCR_INTEN
93166  
93167 cuü
93168 .
93169 b\99
93170 .
93171 _INTEN
93172
93173
93174         )
93175
93176 13553 
93177 __IO_EXTERN
93178  
93179 CUTDSTR
93180  
93181         gcutd
93182 ;
93183
93184 13554 \ 1
93185         #CUTD
93186  
93187 cutd
93188 .
93189 wÜd
93190
93191
93192         )
93193
93194 13555 \ 1
93195         #CUTD_TDD15
93196  
93197 cutd
93198 .
93199 b\99
93200 .
93201 _TDD15
93202
93203
93204         )
93205
93206 13556 \ 1
93207         #CUTD_TDD14
93208  
93209 cutd
93210 .
93211 b\99
93212 .
93213 _TDD14
93214
93215
93216         )
93217
93218 13557 \ 1
93219         #CUTD_TDD13
93220  
93221 cutd
93222 .
93223 b\99
93224 .
93225 _TDD13
93226
93227
93228         )
93229
93230 13558 \ 1
93231         #CUTD_TDD12
93232  
93233 cutd
93234 .
93235 b\99
93236 .
93237 _TDD12
93238
93239
93240         )
93241
93242 13559 \ 1
93243         #CUTD_TDD11
93244  
93245 cutd
93246 .
93247 b\99
93248 .
93249 _TDD11
93250
93251
93252         )
93253
93254 13560 \ 1
93255         #CUTD_TDD10
93256  
93257 cutd
93258 .
93259 b\99
93260 .
93261 _TDD10
93262
93263
93264         )
93265
93266 13561 \ 1
93267         #CUTD_TDD9
93268  
93269 cutd
93270 .
93271 b\99
93272 .
93273 _TDD9
93274
93275
93276         )
93277
93278 13562 \ 1
93279         #CUTD_TDD8
93280  
93281 cutd
93282 .
93283 b\99
93284 .
93285 _TDD8
93286
93287
93288         )
93289
93290 13563 \ 1
93291         #CUTD_TDD7
93292  
93293 cutd
93294 .
93295 b\99
93296 .
93297 _TDD7
93298
93299
93300         )
93301
93302 13564 \ 1
93303         #CUTD_TDD6
93304  
93305 cutd
93306 .
93307 b\99
93308 .
93309 _TDD6
93310
93311
93312         )
93313
93314 13565 \ 1
93315         #CUTD_TDD5
93316  
93317 cutd
93318 .
93319 b\99
93320 .
93321 _TDD5
93322
93323
93324         )
93325
93326 13566 \ 1
93327         #CUTD_TDD4
93328  
93329 cutd
93330 .
93331 b\99
93332 .
93333 _TDD4
93334
93335
93336         )
93337
93338 13567 \ 1
93339         #CUTD_TDD3
93340  
93341 cutd
93342 .
93343 b\99
93344 .
93345 _TDD3
93346
93347
93348         )
93349
93350 13568 \ 1
93351         #CUTD_TDD2
93352  
93353 cutd
93354 .
93355 b\99
93356 .
93357 _TDD2
93358
93359
93360         )
93361
93362 13569 \ 1
93363         #CUTD_TDD1
93364  
93365 cutd
93366 .
93367 b\99
93368 .
93369 _TDD1
93370
93371
93372         )
93373
93374 13570 \ 1
93375         #CUTD_TDD0
93376  
93377 cutd
93378 .
93379 b\99
93380 .
93381 _TDD0
93382
93383
93384         )
93385
93386 13571 
93387 __IO_EXTERN
93388  
93389 CUTR1STR
93390  
93391         gcu\8c1
93392 ;
93393
93394 13572 \ 1
93395         #CUTR1
93396  
93397 cu\8c1
93398 .
93399 wÜd
93400
93401
93402         )
93403
93404 13573 \ 1
93405         #CUTR1_TDR23
93406  
93407 cu\8c1
93408 .
93409 b\99
93410 .
93411 _TDR23
93412
93413
93414         )
93415
93416 13574 \ 1
93417         #CUTR1_TDR22
93418  
93419 cu\8c1
93420 .
93421 b\99
93422 .
93423 _TDR22
93424
93425
93426         )
93427
93428 13575 \ 1
93429         #CUTR1_TDR21
93430  
93431 cu\8c1
93432 .
93433 b\99
93434 .
93435 _TDR21
93436
93437
93438         )
93439
93440 13576 \ 1
93441         #CUTR1_TDR20
93442  
93443 cu\8c1
93444 .
93445 b\99
93446 .
93447 _TDR20
93448
93449
93450         )
93451
93452 13577 \ 1
93453         #CUTR1_TDR19
93454  
93455 cu\8c1
93456 .
93457 b\99
93458 .
93459 _TDR19
93460
93461
93462         )
93463
93464 13578 \ 1
93465         #CUTR1_TDR18
93466  
93467 cu\8c1
93468 .
93469 b\99
93470 .
93471 _TDR18
93472
93473
93474         )
93475
93476 13579 \ 1
93477         #CUTR1_TDR17
93478  
93479 cu\8c1
93480 .
93481 b\99
93482 .
93483 _TDR17
93484
93485
93486         )
93487
93488 13580 \ 1
93489         #CUTR1_TDR16
93490  
93491 cu\8c1
93492 .
93493 b\99
93494 .
93495 _TDR16
93496
93497
93498         )
93499
93500 13581 
93501 __IO_EXTERN
93502  
93503 CUTR2STR
93504  
93505         gcu\8c2
93506 ;
93507
93508 13582 \ 1
93509         #CUTR2
93510  
93511 cu\8c2
93512 .
93513 wÜd
93514
93515
93516         )
93517
93518 13583 \ 1
93519         #CUTR2_TDR15
93520  
93521 cu\8c2
93522 .
93523 b\99
93524 .
93525 _TDR15
93526
93527
93528         )
93529
93530 13584 \ 1
93531         #CUTR2_TDR14
93532  
93533 cu\8c2
93534 .
93535 b\99
93536 .
93537 _TDR14
93538
93539
93540         )
93541
93542 13585 \ 1
93543         #CUTR2_TDR13
93544  
93545 cu\8c2
93546 .
93547 b\99
93548 .
93549 _TDR13
93550
93551
93552         )
93553
93554 13586 \ 1
93555         #CUTR2_TDR12
93556  
93557 cu\8c2
93558 .
93559 b\99
93560 .
93561 _TDR12
93562
93563
93564         )
93565
93566 13587 \ 1
93567         #CUTR2_TDR11
93568  
93569 cu\8c2
93570 .
93571 b\99
93572 .
93573 _TDR11
93574
93575
93576         )
93577
93578 13588 \ 1
93579         #CUTR2_TDR10
93580  
93581 cu\8c2
93582 .
93583 b\99
93584 .
93585 _TDR10
93586
93587
93588         )
93589
93590 13589 \ 1
93591         #CUTR2_TDR9
93592  
93593 cu\8c2
93594 .
93595 b\99
93596 .
93597 _TDR9
93598
93599
93600         )
93601
93602 13590 \ 1
93603         #CUTR2_TDR8
93604  
93605 cu\8c2
93606 .
93607 b\99
93608 .
93609 _TDR8
93610
93611
93612         )
93613
93614 13591 \ 1
93615         #CUTR2_TDR7
93616  
93617 cu\8c2
93618 .
93619 b\99
93620 .
93621 _TDR7
93622
93623
93624         )
93625
93626 13592 \ 1
93627         #CUTR2_TDR6
93628  
93629 cu\8c2
93630 .
93631 b\99
93632 .
93633 _TDR6
93634
93635
93636         )
93637
93638 13593 \ 1
93639         #CUTR2_TDR5
93640  
93641 cu\8c2
93642 .
93643 b\99
93644 .
93645 _TDR5
93646
93647
93648         )
93649
93650 13594 \ 1
93651         #CUTR2_TDR4
93652  
93653 cu\8c2
93654 .
93655 b\99
93656 .
93657 _TDR4
93658
93659
93660         )
93661
93662 13595 \ 1
93663         #CUTR2_TDR3
93664  
93665 cu\8c2
93666 .
93667 b\99
93668 .
93669 _TDR3
93670
93671
93672         )
93673
93674 13596 \ 1
93675         #CUTR2_TDR2
93676  
93677 cu\8c2
93678 .
93679 b\99
93680 .
93681 _TDR2
93682
93683
93684         )
93685
93686 13597 \ 1
93687         #CUTR2_TDR1
93688  
93689 cu\8c2
93690 .
93691 b\99
93692 .
93693 _TDR1
93694
93695
93696         )
93697
93698 13598 \ 1
93699         #CUTR2_TDR0
93700  
93701 cu\8c2
93702 .
93703 b\99
93704 .
93705 _TDR0
93706
93707
93708         )
93709
93710 13599 
93711 __IO_EXTERN
93712  
93713 CMPRSTR
93714  
93715         gcm´
93716 ;
93717
93718 13600 \ 1
93719         #CMPR
93720  
93721 cm´
93722 .
93723 wÜd
93724
93725
93726         )
93727
93728 13601 \ 1
93729         #CMPR_MP13
93730  
93731 cm´
93732 .
93733 b\99
93734 .
93735 _MP13
93736
93737
93738         )
93739
93740 13602 \ 1
93741         #CMPR_MP12
93742  
93743 cm´
93744 .
93745 b\99
93746 .
93747 _MP12
93748
93749
93750         )
93751
93752 13603 \ 1
93753         #CMPR_MP11
93754  
93755 cm´
93756 .
93757 b\99
93758 .
93759 _MP11
93760
93761
93762         )
93763
93764 13604 \ 1
93765         #CMPR_MP10
93766  
93767 cm´
93768 .
93769 b\99
93770 .
93771 _MP10
93772
93773
93774         )
93775
93776 13605 \ 1
93777         #CMPR_MP9
93778  
93779 cm´
93780 .
93781 b\99
93782 .
93783 _MP9
93784
93785
93786         )
93787
93788 13606 \ 1
93789         #CMPR_MP8
93790  
93791 cm´
93792 .
93793 b\99
93794 .
93795 _MP8
93796
93797
93798         )
93799
93800 13607 \ 1
93801         #CMPR_MP7
93802  
93803 cm´
93804 .
93805 b\99
93806 .
93807 _MP7
93808
93809
93810         )
93811
93812 13608 \ 1
93813         #CMPR_MP6
93814  
93815 cm´
93816 .
93817 b\99
93818 .
93819 _MP6
93820
93821
93822         )
93823
93824 13609 \ 1
93825         #CMPR_MP5
93826  
93827 cm´
93828 .
93829 b\99
93830 .
93831 _MP5
93832
93833
93834         )
93835
93836 13610 \ 1
93837         #CMPR_MP4
93838  
93839 cm´
93840 .
93841 b\99
93842 .
93843 _MP4
93844
93845
93846         )
93847
93848 13611 \ 1
93849         #CMPR_MP3
93850  
93851 cm´
93852 .
93853 b\99
93854 .
93855 _MP3
93856
93857
93858         )
93859
93860 13612 \ 1
93861         #CMPR_MP2
93862  
93863 cm´
93864 .
93865 b\99
93866 .
93867 _MP2
93868
93869
93870         )
93871
93872 13613 \ 1
93873         #CMPR_MP1
93874  
93875 cm´
93876 .
93877 b\99
93878 .
93879 _MP1
93880
93881
93882         )
93883
93884 13614 \ 1
93885         #CMPR_MP0
93886  
93887 cm´
93888 .
93889 b\99
93890 .
93891 _MP0
93892
93893
93894         )
93895
93896 13615 
93897 __IO_EXTERN
93898  
93899 CMCRSTR
93900  
93901         gcmü
93902 ;
93903
93904 13616 \ 1
93905         #CMCR
93906  
93907 cmü
93908 .
93909 by\8b
93910
93911
93912         )
93913
93914 13617 \ 1
93915         #CMCR_FMODRUN
93916  
93917 cmü
93918 .
93919 b\99
93920 .
93921 _FMODRUN
93922
93923
93924         )
93925
93926 13618 \ 1
93927         #CMCR_FMOD
93928  
93929 cmü
93930 .
93931 b\99
93932 .
93933 _FMOD
93934
93935
93936         )
93937
93938 13619 \ 1
93939         #CMCR_PDX
93940  
93941 cmü
93942 .
93943 b\99
93944 .
93945 _PDX
93946
93947
93948         )
93949
93950 13620 
93951 __IO_EXTERN
93952  
93953 IO_WORD
93954  
93955         gcmt1
93956 ;
93957
93958 13621 \ 1
93959         #CMT1
93960  
93961 cmt1
93962
93963
93964         )
93965
93966 13622 
93967 __IO_EXTERN
93968  
93969 IO_WORD
93970  
93971         gcmt2
93972 ;
93973
93974 13623 \ 1
93975         #CMT2
93976  
93977 cmt2
93978
93979
93980         )
93981
93982 13624 
93983 __IO_EXTERN
93984  
93985 CANPRESTR
93986  
93987         gÿÅ»
93988 ;
93989
93990 13625 \ 1
93991         #CANPRE
93992  
93993 ÿÅ»
93994 .
93995 by\8b
93996
93997
93998         )
93999
94000 13626 \ 1
94001         #CANPRE_CPCKS1
94002  
94003 ÿÅ»
94004 .
94005 b\99
94006 .
94007 _CPCKS1
94008
94009
94010         )
94011
94012 13627 \ 1
94013         #CANPRE_CPCKS0
94014  
94015 ÿÅ»
94016 .
94017 b\99
94018 .
94019 _CPCKS0
94020
94021
94022         )
94023
94024 13628 \ 1
94025         #CANPRE_DVC3
94026  
94027 ÿÅ»
94028 .
94029 b\99
94030 .
94031 _DVC3
94032
94033
94034         )
94035
94036 13629 \ 1
94037         #CANPRE_DVC2
94038  
94039 ÿÅ»
94040 .
94041 b\99
94042 .
94043 _DVC2
94044
94045
94046         )
94047
94048 13630 \ 1
94049         #CANPRE_DVC1
94050  
94051 ÿÅ»
94052 .
94053 b\99
94054 .
94055 _DVC1
94056
94057
94058         )
94059
94060 13631 \ 1
94061         #CANPRE_DVC0
94062  
94063 ÿÅ»
94064 .
94065 b\99
94066 .
94067 _DVC0
94068
94069
94070         )
94071
94072 13632 \ 1
94073         #CANPRE_CPCKS
94074  
94075 ÿÅ»
94076 .
94077 b\99c
94078 .
94079 _CPCKS
94080
94081
94082         )
94083
94084 13633 \ 1
94085         #CANPRE_DVC
94086  
94087 ÿÅ»
94088 .
94089 b\99c
94090 .
94091 _DVC
94092
94093
94094         )
94095
94096 13634 
94097 __IO_EXTERN
94098  
94099 CANCKDSTR
94100  
94101         gÿnckd
94102 ;
94103
94104 13635 \ 1
94105         #CANCKD
94106  
94107 ÿnckd
94108 .
94109 by\8b
94110
94111
94112         )
94113
94114 13636 \ 1
94115         #CANCKD_CANCKD5
94116  
94117 ÿnckd
94118 .
94119 b\99
94120 .
94121 _CANCKD5
94122
94123
94124         )
94125
94126 13637 \ 1
94127         #CANCKD_CANCKD4
94128  
94129 ÿnckd
94130 .
94131 b\99
94132 .
94133 _CANCKD4
94134
94135
94136         )
94137
94138 13638 \ 1
94139         #CANCKD_CANCKD3
94140  
94141 ÿnckd
94142 .
94143 b\99
94144 .
94145 _CANCKD3
94146
94147
94148         )
94149
94150 13639 \ 1
94151         #CANCKD_CANCKD2
94152  
94153 ÿnckd
94154 .
94155 b\99
94156 .
94157 _CANCKD2
94158
94159
94160         )
94161
94162 13640 \ 1
94163         #CANCKD_CANCKD1
94164  
94165 ÿnckd
94166 .
94167 b\99
94168 .
94169 _CANCKD1
94170
94171
94172         )
94173
94174 13641 \ 1
94175         #CANCKD_CANCKD0
94176  
94177 ÿnckd
94178 .
94179 b\99
94180 .
94181 _CANCKD0
94182
94183
94184         )
94185
94186 13642 
94187 __IO_EXTERN
94188  
94189 LVSELSTR
94190  
94191         glv£l
94192 ;
94193
94194 13643 \ 1
94195         #LVSEL
94196  
94197 lv£l
94198 .
94199 by\8b
94200
94201
94202         )
94203
94204 13644 \ 1
94205         #LVSEL_LVESEL3
94206  
94207 lv£l
94208 .
94209 b\99
94210 .
94211 _LVESEL3
94212
94213
94214         )
94215
94216 13645 \ 1
94217         #LVSEL_LVESEL2
94218  
94219 lv£l
94220 .
94221 b\99
94222 .
94223 _LVESEL2
94224
94225
94226         )
94227
94228 13646 \ 1
94229         #LVSEL_LVESEL1
94230  
94231 lv£l
94232 .
94233 b\99
94234 .
94235 _LVESEL1
94236
94237
94238         )
94239
94240 13647 \ 1
94241         #LVSEL_LVESEL0
94242  
94243 lv£l
94244 .
94245 b\99
94246 .
94247 _LVESEL0
94248
94249
94250         )
94251
94252 13648 \ 1
94253         #LVSEL_LVISEL3
94254  
94255 lv£l
94256 .
94257 b\99
94258 .
94259 _LVISEL3
94260
94261
94262         )
94263
94264 13649 \ 1
94265         #LVSEL_LVISEL2
94266  
94267 lv£l
94268 .
94269 b\99
94270 .
94271 _LVISEL2
94272
94273
94274         )
94275
94276 13650 \ 1
94277         #LVSEL_LVISEL1
94278  
94279 lv£l
94280 .
94281 b\99
94282 .
94283 _LVISEL1
94284
94285
94286         )
94287
94288 13651 \ 1
94289         #LVSEL_LVISEL0
94290  
94291 lv£l
94292 .
94293 b\99
94294 .
94295 _LVISEL0
94296
94297
94298         )
94299
94300 13652 \ 1
94301         #LVSEL_LVESEL
94302  
94303 lv£l
94304 .
94305 b\99c
94306 .
94307 _LVESEL
94308
94309
94310         )
94311
94312 13653 \ 1
94313         #LVSEL_LVISEL
94314  
94315 lv£l
94316 .
94317 b\99c
94318 .
94319 _LVISEL
94320
94321
94322         )
94323
94324 13654 
94325 __IO_EXTERN
94326  
94327 LVDETSTR
94328  
94329         glvd\91
94330 ;
94331
94332 13655 \ 1
94333         #LVDET
94334  
94335 lvd\91
94336 .
94337 by\8b
94338
94339
94340         )
94341
94342 13656 \ 1
94343         #LVDET_LVSEL
94344  
94345 lvd\91
94346 .
94347 b\99
94348 .
94349 _LVSEL
94350
94351
94352         )
94353
94354 13657 \ 1
94355         #LVDET_LVEPD
94356  
94357 lvd\91
94358 .
94359 b\99
94360 .
94361 _LVEPD
94362
94363
94364         )
94365
94366 13658 \ 1
94367         #LVDET_LVIPD
94368  
94369 lvd\91
94370 .
94371 b\99
94372 .
94373 _LVIPD
94374
94375
94376         )
94377
94378 13659 \ 1
94379         #LVDET_LVREN
94380  
94381 lvd\91
94382 .
94383 b\99
94384 .
94385 _LVREN
94386
94387
94388         )
94389
94390 13660 \ 1
94391         #LVDET_LVIEN
94392  
94393 lvd\91
94394 .
94395 b\99
94396 .
94397 _LVIEN
94398
94399
94400         )
94401
94402 13661 \ 1
94403         #LVDET_LVIRQ
94404  
94405 lvd\91
94406 .
94407 b\99
94408 .
94409 _LVIRQ
94410
94411
94412         )
94413
94414 13662 
94415 __IO_EXTERN
94416  
94417 HWWDESTR
94418  
94419         ghwwde
94420 ;
94421
94422 13663 \ 1
94423         #HWWDE
94424  
94425 hwwde
94426 .
94427 by\8b
94428
94429
94430         )
94431
94432 13664 \ 1
94433         #HWWDE_ED1
94434  
94435 hwwde
94436 .
94437 b\99
94438 .
94439 _ED1
94440
94441
94442         )
94443
94444 13665 \ 1
94445         #HWWDE_ED0
94446  
94447 hwwde
94448 .
94449 b\99
94450 .
94451 _ED0
94452
94453
94454         )
94455
94456 13666 \ 1
94457         #HWWDE_ED
94458  
94459 hwwde
94460 .
94461 b\99c
94462 .
94463 _ED
94464
94465
94466         )
94467
94468 13667 
94469 __IO_EXTERN
94470  
94471 HWWDSTR
94472  
94473         ghwwd
94474 ;
94475
94476 13668 \ 1
94477         #HWWD
94478  
94479 hwwd
94480 .
94481 by\8b
94482
94483
94484         )
94485
94486 13669 \ 1
94487         #HWWD_CL
94488  
94489 hwwd
94490 .
94491 b\99
94492 .
94493 _CL
94494
94495
94496         )
94497
94498 13670 \ 1
94499         #HWWD_CPUF
94500  
94501 hwwd
94502 .
94503 b\99
94504 .
94505 _CPUF
94506
94507
94508         )
94509
94510 13671 
94511 __IO_EXTERN
94512  
94513 OSCRHSTR
94514  
94515         gosüh
94516 ;
94517
94518 13672 \ 1
94519         #OSCRH
94520  
94521 osüh
94522 .
94523 by\8b
94524
94525
94526         )
94527
94528 13673 \ 1
94529         #OSCRH_WIF
94530  
94531 osüh
94532 .
94533 b\99
94534 .
94535 _WIF
94536
94537
94538         )
94539
94540 13674 \ 1
94541         #OSCRH_WIE
94542  
94543 osüh
94544 .
94545 b\99
94546 .
94547 _WIE
94548
94549
94550         )
94551
94552 13675 \ 1
94553         #OSCRH_WEN
94554  
94555 osüh
94556 .
94557 b\99
94558 .
94559 _WEN
94560
94561
94562         )
94563
94564 13676 \ 1
94565         #OSCRH_WS1
94566  
94567 osüh
94568 .
94569 b\99
94570 .
94571 _WS1
94572
94573
94574         )
94575
94576 13677 \ 1
94577         #OSCRH_WS0
94578  
94579 osüh
94580 .
94581 b\99
94582 .
94583 _WS0
94584
94585
94586         )
94587
94588 13678 \ 1
94589         #OSCRH_WCL
94590  
94591 osüh
94592 .
94593 b\99
94594 .
94595 _WCL
94596
94597
94598         )
94599
94600 13679 \ 1
94601         #OSCRH_WS
94602  
94603 osüh
94604 .
94605 b\99c
94606 .
94607 _WS
94608
94609
94610         )
94611
94612 13680 
94613 __IO_EXTERN
94614  
94615 IO_BYTE
94616  
94617         gosül
94618 ;
94619
94620 13681 \ 1
94621         #OSCRL
94622  
94623 osül
94624
94625
94626         )
94627
94628 13682 
94629 __IO_EXTERN
94630  
94631 WPCRHSTR
94632  
94633         gwpüh
94634 ;
94635
94636 13683 \ 1
94637         #WPCRH
94638  
94639 wpüh
94640 .
94641 by\8b
94642
94643
94644         )
94645
94646 13684 \ 1
94647         #WPCRH_WIF
94648  
94649 wpüh
94650 .
94651 b\99
94652 .
94653 _WIF
94654
94655
94656         )
94657
94658 13685 \ 1
94659         #WPCRH_WIE
94660  
94661 wpüh
94662 .
94663 b\99
94664 .
94665 _WIE
94666
94667
94668         )
94669
94670 13686 \ 1
94671         #WPCRH_WEN
94672  
94673 wpüh
94674 .
94675 b\99
94676 .
94677 _WEN
94678
94679
94680         )
94681
94682 13687 \ 1
94683         #WPCRH_WS1
94684  
94685 wpüh
94686 .
94687 b\99
94688 .
94689 _WS1
94690
94691
94692         )
94693
94694 13688 \ 1
94695         #WPCRH_WS0
94696  
94697 wpüh
94698 .
94699 b\99
94700 .
94701 _WS0
94702
94703
94704         )
94705
94706 13689 \ 1
94707         #WPCRH_WCL
94708  
94709 wpüh
94710 .
94711 b\99
94712 .
94713 _WCL
94714
94715
94716         )
94717
94718 13690 \ 1
94719         #WPCRH_WS
94720  
94721 wpüh
94722 .
94723 b\99c
94724 .
94725 _WS
94726
94727
94728         )
94729
94730 13691 
94731 __IO_EXTERN
94732  
94733 IO_BYTE
94734  
94735         gwpül
94736 ;
94737
94738 13692 \ 1
94739         #WPCRL
94740  
94741 wpül
94742
94743
94744         )
94745
94746 13693 
94747 __IO_EXTERN
94748  
94749 OSCCRSTR
94750  
94751         goscü
94752 ;
94753
94754 13694 \ 1
94755         #OSCCR
94756  
94757 oscü
94758 .
94759 by\8b
94760
94761
94762         )
94763
94764 13695 \ 1
94765         #OSCCR_OSCDS1
94766  
94767 oscü
94768 .
94769 b\99
94770 .
94771 _OSCDS1
94772
94773
94774         )
94775
94776 13696 
94777 __IO_EXTERN
94778  
94779 REGSELSTR
94780  
94781         g»g£l
94782 ;
94783
94784 13697 \ 1
94785         #REGSEL
94786  
94787 »g£l
94788 .
94789 by\8b
94790
94791
94792         )
94793
94794 13698 \ 1
94795         #REGSEL_FLASHSEL
94796  
94797 »g£l
94798 .
94799 b\99
94800 .
94801 _FLASHSEL
94802
94803
94804         )
94805
94806 13699 \ 1
94807         #REGSEL_MAINSEL
94808  
94809 »g£l
94810 .
94811 b\99
94812 .
94813 _MAINSEL
94814
94815
94816         )
94817
94818 13700 \ 1
94819         #REGSEL_SUBSEL3
94820  
94821 »g£l
94822 .
94823 b\99
94824 .
94825 _SUBSEL3
94826
94827
94828         )
94829
94830 13701 \ 1
94831         #REGSEL_SUBSEL2
94832  
94833 »g£l
94834 .
94835 b\99
94836 .
94837 _SUBSEL2
94838
94839
94840         )
94841
94842 13702 \ 1
94843         #REGSEL_SUBSEL1
94844  
94845 »g£l
94846 .
94847 b\99
94848 .
94849 _SUBSEL1
94850
94851
94852         )
94853
94854 13703 \ 1
94855         #REGSEL_SUBSEL0
94856  
94857 »g£l
94858 .
94859 b\99
94860 .
94861 _SUBSEL0
94862
94863
94864         )
94865
94866 13704 \ 1
94867         #REGSEL_SUBSEL
94868  
94869 »g£l
94870 .
94871 b\99c
94872 .
94873 _SUBSEL
94874
94875
94876         )
94877
94878 13705 
94879 __IO_EXTERN
94880  
94881 REGCTRSTR
94882  
94883         g»gùr
94884 ;
94885
94886 13706 \ 1
94887         #REGCTR
94888  
94889 »gùr
94890 .
94891 by\8b
94892
94893
94894         )
94895
94896 13707 \ 1
94897         #REGCTR_MSTBO
94898  
94899 »gùr
94900 .
94901 b\99
94902 .
94903 _MSTBO
94904
94905
94906         )
94907
94908 13708 \ 1
94909         #REGCTR_MAINKPEN
94910  
94911 »gùr
94912 .
94913 b\99
94914 .
94915 _MAINKPEN
94916
94917
94918         )
94919
94920 13709 \ 1
94921         #REGCTR_MAINDSBL
94922  
94923 »gùr
94924 .
94925 b\99
94926 .
94927 _MAINDSBL
94928
94929
94930         )
94931
94932 13710 
94933 __IO_EXTERN
94934  
94935 MODRSTR
94936  
94937         gmodr
94938 ;
94939
94940 13711 \ 1
94941         #MODR
94942  
94943 modr
94944 .
94945 by\8b
94946
94947
94948         )
94949
94950 13712 \ 1
94951         #MODR_ROMA
94952  
94953 modr
94954 .
94955 b\99
94956 .
94957 _ROMA
94958
94959
94960         )
94961
94962 13713 \ 1
94963         #MODR_WTH1
94964  
94965 modr
94966 .
94967 b\99
94968 .
94969 _WTH1
94970
94971
94972         )
94973
94974 13714 \ 1
94975         #MODR_WTH0
94976  
94977 modr
94978 .
94979 b\99
94980 .
94981 _WTH0
94982
94983
94984         )
94985
94986 13715 \ 1
94987         #MODR_WTH
94988  
94989 modr
94990 .
94991 b\99c
94992 .
94993 _WTH
94994
94995
94996         )
94997
94998 13716 
94999 __IO_EXTERN
95000  
95001 PDRD14STR
95002  
95003         gpdrd14
95004 ;
95005
95006 13717 \ 1
95007         #PDRD14
95008  
95009 pdrd14
95010 .
95011 by\8b
95012
95013
95014         )
95015
95016 13718 \ 1
95017         #PDRD14_D7
95018  
95019 pdrd14
95020 .
95021 b\99
95022 .
95023 _D7
95024
95025
95026         )
95027
95028 13719 \ 1
95029         #PDRD14_D6
95030  
95031 pdrd14
95032 .
95033 b\99
95034 .
95035 _D6
95036
95037
95038         )
95039
95040 13720 \ 1
95041         #PDRD14_D5
95042  
95043 pdrd14
95044 .
95045 b\99
95046 .
95047 _D5
95048
95049
95050         )
95051
95052 13721 \ 1
95053         #PDRD14_D4
95054  
95055 pdrd14
95056 .
95057 b\99
95058 .
95059 _D4
95060
95061
95062         )
95063
95064 13722 \ 1
95065         #PDRD14_D3
95066  
95067 pdrd14
95068 .
95069 b\99
95070 .
95071 _D3
95072
95073
95074         )
95075
95076 13723 \ 1
95077         #PDRD14_D2
95078  
95079 pdrd14
95080 .
95081 b\99
95082 .
95083 _D2
95084
95085
95086         )
95087
95088 13724 \ 1
95089         #PDRD14_D1
95090  
95091 pdrd14
95092 .
95093 b\99
95094 .
95095 _D1
95096
95097
95098         )
95099
95100 13725 \ 1
95101         #PDRD14_D0
95102  
95103 pdrd14
95104 .
95105 b\99
95106 .
95107 _D0
95108
95109
95110         )
95111
95112 13726 
95113 __IO_EXTERN
95114  
95115 PDRD15STR
95116  
95117         gpdrd15
95118 ;
95119
95120 13727 \ 1
95121         #PDRD15
95122  
95123 pdrd15
95124 .
95125 by\8b
95126
95127
95128         )
95129
95130 13728 \ 1
95131         #PDRD15_D7
95132  
95133 pdrd15
95134 .
95135 b\99
95136 .
95137 _D7
95138
95139
95140         )
95141
95142 13729 \ 1
95143         #PDRD15_D6
95144  
95145 pdrd15
95146 .
95147 b\99
95148 .
95149 _D6
95150
95151
95152         )
95153
95154 13730 \ 1
95155         #PDRD15_D5
95156  
95157 pdrd15
95158 .
95159 b\99
95160 .
95161 _D5
95162
95163
95164         )
95165
95166 13731 \ 1
95167         #PDRD15_D4
95168  
95169 pdrd15
95170 .
95171 b\99
95172 .
95173 _D4
95174
95175
95176         )
95177
95178 13732 \ 1
95179         #PDRD15_D3
95180  
95181 pdrd15
95182 .
95183 b\99
95184 .
95185 _D3
95186
95187
95188         )
95189
95190 13733 \ 1
95191         #PDRD15_D2
95192  
95193 pdrd15
95194 .
95195 b\99
95196 .
95197 _D2
95198
95199
95200         )
95201
95202 13734 \ 1
95203         #PDRD15_D1
95204  
95205 pdrd15
95206 .
95207 b\99
95208 .
95209 _D1
95210
95211
95212         )
95213
95214 13735 \ 1
95215         #PDRD15_D0
95216  
95217 pdrd15
95218 .
95219 b\99
95220 .
95221 _D0
95222
95223
95224         )
95225
95226 13736 
95227 __IO_EXTERN
95228  
95229 PDRD16STR
95230  
95231         gpdrd16
95232 ;
95233
95234 13737 \ 1
95235         #PDRD16
95236  
95237 pdrd16
95238 .
95239 by\8b
95240
95241
95242         )
95243
95244 13738 \ 1
95245         #PDRD16_D7
95246  
95247 pdrd16
95248 .
95249 b\99
95250 .
95251 _D7
95252
95253
95254         )
95255
95256 13739 \ 1
95257         #PDRD16_D6
95258  
95259 pdrd16
95260 .
95261 b\99
95262 .
95263 _D6
95264
95265
95266         )
95267
95268 13740 \ 1
95269         #PDRD16_D5
95270  
95271 pdrd16
95272 .
95273 b\99
95274 .
95275 _D5
95276
95277
95278         )
95279
95280 13741 \ 1
95281         #PDRD16_D4
95282  
95283 pdrd16
95284 .
95285 b\99
95286 .
95287 _D4
95288
95289
95290         )
95291
95292 13742 \ 1
95293         #PDRD16_D3
95294  
95295 pdrd16
95296 .
95297 b\99
95298 .
95299 _D3
95300
95301
95302         )
95303
95304 13743 \ 1
95305         #PDRD16_D2
95306  
95307 pdrd16
95308 .
95309 b\99
95310 .
95311 _D2
95312
95313
95314         )
95315
95316 13744 \ 1
95317         #PDRD16_D1
95318  
95319 pdrd16
95320 .
95321 b\99
95322 .
95323 _D1
95324
95325
95326         )
95327
95328 13745 \ 1
95329         #PDRD16_D0
95330  
95331 pdrd16
95332 .
95333 b\99
95334 .
95335 _D0
95336
95337
95338         )
95339
95340 13746 
95341 __IO_EXTERN
95342  
95343 PDRD17STR
95344  
95345         gpdrd17
95346 ;
95347
95348 13747 \ 1
95349         #PDRD17
95350  
95351 pdrd17
95352 .
95353 by\8b
95354
95355
95356         )
95357
95358 13748 \ 1
95359         #PDRD17_D7
95360  
95361 pdrd17
95362 .
95363 b\99
95364 .
95365 _D7
95366
95367
95368         )
95369
95370 13749 \ 1
95371         #PDRD17_D6
95372  
95373 pdrd17
95374 .
95375 b\99
95376 .
95377 _D6
95378
95379
95380         )
95381
95382 13750 \ 1
95383         #PDRD17_D5
95384  
95385 pdrd17
95386 .
95387 b\99
95388 .
95389 _D5
95390
95391
95392         )
95393
95394 13751 \ 1
95395         #PDRD17_D4
95396  
95397 pdrd17
95398 .
95399 b\99
95400 .
95401 _D4
95402
95403
95404         )
95405
95406 13752 \ 1
95407         #PDRD17_D3
95408  
95409 pdrd17
95410 .
95411 b\99
95412 .
95413 _D3
95414
95415
95416         )
95417
95418 13753 \ 1
95419         #PDRD17_D2
95420  
95421 pdrd17
95422 .
95423 b\99
95424 .
95425 _D2
95426
95427
95428         )
95429
95430 13754 \ 1
95431         #PDRD17_D1
95432  
95433 pdrd17
95434 .
95435 b\99
95436 .
95437 _D1
95438
95439
95440         )
95441
95442 13755 \ 1
95443         #PDRD17_D0
95444  
95445 pdrd17
95446 .
95447 b\99
95448 .
95449 _D0
95450
95451
95452         )
95453
95454 13756 
95455 __IO_EXTERN
95456  
95457 PDRD18STR
95458  
95459         gpdrd18
95460 ;
95461
95462 13757 \ 1
95463         #PDRD18
95464  
95465 pdrd18
95466 .
95467 by\8b
95468
95469
95470         )
95471
95472 13758 \ 1
95473         #PDRD18_D6
95474  
95475 pdrd18
95476 .
95477 b\99
95478 .
95479 _D6
95480
95481
95482         )
95483
95484 13759 \ 1
95485         #PDRD18_D2
95486  
95487 pdrd18
95488 .
95489 b\99
95490 .
95491 _D2
95492
95493
95494         )
95495
95496 13760 
95497 __IO_EXTERN
95498  
95499 PDRD19STR
95500  
95501         gpdrd19
95502 ;
95503
95504 13761 \ 1
95505         #PDRD19
95506  
95507 pdrd19
95508 .
95509 by\8b
95510
95511
95512         )
95513
95514 13762 \ 1
95515         #PDRD19_D6
95516  
95517 pdrd19
95518 .
95519 b\99
95520 .
95521 _D6
95522
95523
95524         )
95525
95526 13763 \ 1
95527         #PDRD19_D2
95528  
95529 pdrd19
95530 .
95531 b\99
95532 .
95533 _D2
95534
95535
95536         )
95537
95538 13764 \ 1
95539         #PDRD19_D1
95540  
95541 pdrd19
95542 .
95543 b\99
95544 .
95545 _D1
95546
95547
95548         )
95549
95550 13765 \ 1
95551         #PDRD19_D0
95552  
95553 pdrd19
95554 .
95555 b\99
95556 .
95557 _D0
95558
95559
95560         )
95561
95562 13766 
95563 __IO_EXTERN
95564  
95565 PDRD20STR
95566  
95567         gpdrd20
95568 ;
95569
95570 13767 \ 1
95571         #PDRD20
95572  
95573 pdrd20
95574 .
95575 by\8b
95576
95577
95578         )
95579
95580 13768 \ 1
95581         #PDRD20_D7
95582  
95583 pdrd20
95584 .
95585 b\99
95586 .
95587 _D7
95588
95589
95590         )
95591
95592 13769 \ 1
95593         #PDRD20_D6
95594  
95595 pdrd20
95596 .
95597 b\99
95598 .
95599 _D6
95600
95601
95602         )
95603
95604 13770 \ 1
95605         #PDRD20_D5
95606  
95607 pdrd20
95608 .
95609 b\99
95610 .
95611 _D5
95612
95613
95614         )
95615
95616 13771 \ 1
95617         #PDRD20_D4
95618  
95619 pdrd20
95620 .
95621 b\99
95622 .
95623 _D4
95624
95625
95626         )
95627
95628 13772 \ 1
95629         #PDRD20_D3
95630  
95631 pdrd20
95632 .
95633 b\99
95634 .
95635 _D3
95636
95637
95638         )
95639
95640 13773 \ 1
95641         #PDRD20_D2
95642  
95643 pdrd20
95644 .
95645 b\99
95646 .
95647 _D2
95648
95649
95650         )
95651
95652 13774 \ 1
95653         #PDRD20_D1
95654  
95655 pdrd20
95656 .
95657 b\99
95658 .
95659 _D1
95660
95661
95662         )
95663
95664 13775 \ 1
95665         #PDRD20_D0
95666  
95667 pdrd20
95668 .
95669 b\99
95670 .
95671 _D0
95672
95673
95674         )
95675
95676 13776 
95677 __IO_EXTERN
95678  
95679 PDRD21STR
95680  
95681         gpdrd21
95682 ;
95683
95684 13777 \ 1
95685         #PDRD21
95686  
95687 pdrd21
95688 .
95689 by\8b
95690
95691
95692         )
95693
95694 13778 \ 1
95695         #PDRD21_D7
95696  
95697 pdrd21
95698 .
95699 b\99
95700 .
95701 _D7
95702
95703
95704         )
95705
95706 13779 \ 1
95707         #PDRD21_D6
95708  
95709 pdrd21
95710 .
95711 b\99
95712 .
95713 _D6
95714
95715
95716         )
95717
95718 13780 \ 1
95719         #PDRD21_D5
95720  
95721 pdrd21
95722 .
95723 b\99
95724 .
95725 _D5
95726
95727
95728         )
95729
95730 13781 \ 1
95731         #PDRD21_D4
95732  
95733 pdrd21
95734 .
95735 b\99
95736 .
95737 _D4
95738
95739
95740         )
95741
95742 13782 \ 1
95743         #PDRD21_D3
95744  
95745 pdrd21
95746 .
95747 b\99
95748 .
95749 _D3
95750
95751
95752         )
95753
95754 13783 \ 1
95755         #PDRD21_D2
95756  
95757 pdrd21
95758 .
95759 b\99
95760 .
95761 _D2
95762
95763
95764         )
95765
95766 13784 \ 1
95767         #PDRD21_D1
95768  
95769 pdrd21
95770 .
95771 b\99
95772 .
95773 _D1
95774
95775
95776         )
95777
95778 13785 \ 1
95779         #PDRD21_D0
95780  
95781 pdrd21
95782 .
95783 b\99
95784 .
95785 _D0
95786
95787
95788         )
95789
95790 13786 
95791 __IO_EXTERN
95792  
95793 PDRD22STR
95794  
95795         gpdrd22
95796 ;
95797
95798 13787 \ 1
95799         #PDRD22
95800  
95801 pdrd22
95802 .
95803 by\8b
95804
95805
95806         )
95807
95808 13788 \ 1
95809         #PDRD22_D5
95810  
95811 pdrd22
95812 .
95813 b\99
95814 .
95815 _D5
95816
95817
95818         )
95819
95820 13789 \ 1
95821         #PDRD22_D4
95822  
95823 pdrd22
95824 .
95825 b\99
95826 .
95827 _D4
95828
95829
95830         )
95831
95832 13790 \ 1
95833         #PDRD22_D1
95834  
95835 pdrd22
95836 .
95837 b\99
95838 .
95839 _D1
95840
95841
95842         )
95843
95844 13791 \ 1
95845         #PDRD22_D0
95846  
95847 pdrd22
95848 .
95849 b\99
95850 .
95851 _D0
95852
95853
95854         )
95855
95856 13792 
95857 __IO_EXTERN
95858  
95859 PDRD24STR
95860  
95861         gpdrd24
95862 ;
95863
95864 13793 \ 1
95865         #PDRD24
95866  
95867 pdrd24
95868 .
95869 by\8b
95870
95871
95872         )
95873
95874 13794 \ 1
95875         #PDRD24_D7
95876  
95877 pdrd24
95878 .
95879 b\99
95880 .
95881 _D7
95882
95883
95884         )
95885
95886 13795 \ 1
95887         #PDRD24_D6
95888  
95889 pdrd24
95890 .
95891 b\99
95892 .
95893 _D6
95894
95895
95896         )
95897
95898 13796 \ 1
95899         #PDRD24_D5
95900  
95901 pdrd24
95902 .
95903 b\99
95904 .
95905 _D5
95906
95907
95908         )
95909
95910 13797 \ 1
95911         #PDRD24_D4
95912  
95913 pdrd24
95914 .
95915 b\99
95916 .
95917 _D4
95918
95919
95920         )
95921
95922 13798 \ 1
95923         #PDRD24_D3
95924  
95925 pdrd24
95926 .
95927 b\99
95928 .
95929 _D3
95930
95931
95932         )
95933
95934 13799 \ 1
95935         #PDRD24_D2
95936  
95937 pdrd24
95938 .
95939 b\99
95940 .
95941 _D2
95942
95943
95944         )
95945
95946 13800 \ 1
95947         #PDRD24_D1
95948  
95949 pdrd24
95950 .
95951 b\99
95952 .
95953 _D1
95954
95955
95956         )
95957
95958 13801 \ 1
95959         #PDRD24_D0
95960  
95961 pdrd24
95962 .
95963 b\99
95964 .
95965 _D0
95966
95967
95968         )
95969
95970 13802 
95971 __IO_EXTERN
95972  
95973 PDRD26STR
95974  
95975         gpdrd26
95976 ;
95977
95978 13803 \ 1
95979         #PDRD26
95980  
95981 pdrd26
95982 .
95983 by\8b
95984
95985
95986         )
95987
95988 13804 \ 1
95989         #PDRD26_D1
95990  
95991 pdrd26
95992 .
95993 b\99
95994 .
95995 _D1
95996
95997
95998         )
95999
96000 13805 \ 1
96001         #PDRD26_D0
96002  
96003 pdrd26
96004 .
96005 b\99
96006 .
96007 _D0
96008
96009
96010         )
96011
96012 13806 
96013 __IO_EXTERN
96014  
96015 PDRD27STR
96016  
96017         gpdrd27
96018 ;
96019
96020 13807 \ 1
96021         #PDRD27
96022  
96023 pdrd27
96024 .
96025 by\8b
96026
96027
96028         )
96029
96030 13808 \ 1
96031         #PDRD27_D7
96032  
96033 pdrd27
96034 .
96035 b\99
96036 .
96037 _D7
96038
96039
96040         )
96041
96042 13809 \ 1
96043         #PDRD27_D6
96044  
96045 pdrd27
96046 .
96047 b\99
96048 .
96049 _D6
96050
96051
96052         )
96053
96054 13810 \ 1
96055         #PDRD27_D5
96056  
96057 pdrd27
96058 .
96059 b\99
96060 .
96061 _D5
96062
96063
96064         )
96065
96066 13811 \ 1
96067         #PDRD27_D4
96068  
96069 pdrd27
96070 .
96071 b\99
96072 .
96073 _D4
96074
96075
96076         )
96077
96078 13812 \ 1
96079         #PDRD27_D3
96080  
96081 pdrd27
96082 .
96083 b\99
96084 .
96085 _D3
96086
96087
96088         )
96089
96090 13813 \ 1
96091         #PDRD27_D2
96092  
96093 pdrd27
96094 .
96095 b\99
96096 .
96097 _D2
96098
96099
96100         )
96101
96102 13814 \ 1
96103         #PDRD27_D1
96104  
96105 pdrd27
96106 .
96107 b\99
96108 .
96109 _D1
96110
96111
96112         )
96113
96114 13815 \ 1
96115         #PDRD27_D0
96116  
96117 pdrd27
96118 .
96119 b\99
96120 .
96121 _D0
96122
96123
96124         )
96125
96126 13816 
96127 __IO_EXTERN
96128  
96129 PDRD28STR
96130  
96131         gpdrd28
96132 ;
96133
96134 13817 \ 1
96135         #PDRD28
96136  
96137 pdrd28
96138 .
96139 by\8b
96140
96141
96142         )
96143
96144 13818 \ 1
96145         #PDRD28_D7
96146  
96147 pdrd28
96148 .
96149 b\99
96150 .
96151 _D7
96152
96153
96154         )
96155
96156 13819 \ 1
96157         #PDRD28_D6
96158  
96159 pdrd28
96160 .
96161 b\99
96162 .
96163 _D6
96164
96165
96166         )
96167
96168 13820 \ 1
96169         #PDRD28_D5
96170  
96171 pdrd28
96172 .
96173 b\99
96174 .
96175 _D5
96176
96177
96178         )
96179
96180 13821 \ 1
96181         #PDRD28_D4
96182  
96183 pdrd28
96184 .
96185 b\99
96186 .
96187 _D4
96188
96189
96190         )
96191
96192 13822 \ 1
96193         #PDRD28_D3
96194  
96195 pdrd28
96196 .
96197 b\99
96198 .
96199 _D3
96200
96201
96202         )
96203
96204 13823 \ 1
96205         #PDRD28_D2
96206  
96207 pdrd28
96208 .
96209 b\99
96210 .
96211 _D2
96212
96213
96214         )
96215
96216 13824 \ 1
96217         #PDRD28_D1
96218  
96219 pdrd28
96220 .
96221 b\99
96222 .
96223 _D1
96224
96225
96226         )
96227
96228 13825 \ 1
96229         #PDRD28_D0
96230  
96231 pdrd28
96232 .
96233 b\99
96234 .
96235 _D0
96236
96237
96238         )
96239
96240 13826 
96241 __IO_EXTERN
96242  
96243 PDRD29STR
96244  
96245         gpdrd29
96246 ;
96247
96248 13827 \ 1
96249         #PDRD29
96250  
96251 pdrd29
96252 .
96253 by\8b
96254
96255
96256         )
96257
96258 13828 \ 1
96259         #PDRD29_D7
96260  
96261 pdrd29
96262 .
96263 b\99
96264 .
96265 _D7
96266
96267
96268         )
96269
96270 13829 \ 1
96271         #PDRD29_D6
96272  
96273 pdrd29
96274 .
96275 b\99
96276 .
96277 _D6
96278
96279
96280         )
96281
96282 13830 \ 1
96283         #PDRD29_D5
96284  
96285 pdrd29
96286 .
96287 b\99
96288 .
96289 _D5
96290
96291
96292         )
96293
96294 13831 \ 1
96295         #PDRD29_D4
96296  
96297 pdrd29
96298 .
96299 b\99
96300 .
96301 _D4
96302
96303
96304         )
96305
96306 13832 \ 1
96307         #PDRD29_D3
96308  
96309 pdrd29
96310 .
96311 b\99
96312 .
96313 _D3
96314
96315
96316         )
96317
96318 13833 \ 1
96319         #PDRD29_D2
96320  
96321 pdrd29
96322 .
96323 b\99
96324 .
96325 _D2
96326
96327
96328         )
96329
96330 13834 \ 1
96331         #PDRD29_D1
96332  
96333 pdrd29
96334 .
96335 b\99
96336 .
96337 _D1
96338
96339
96340         )
96341
96342 13835 \ 1
96343         #PDRD29_D0
96344  
96345 pdrd29
96346 .
96347 b\99
96348 .
96349 _D0
96350
96351
96352         )
96353
96354 13836 
96355 __IO_EXTERN
96356  
96357 DDR14STR
96358  
96359         gddr14
96360 ;
96361
96362 13837 \ 1
96363         #DDR14
96364  
96365 ddr14
96366 .
96367 by\8b
96368
96369
96370         )
96371
96372 13838 \ 1
96373         #DDR14_D7
96374  
96375 ddr14
96376 .
96377 b\99
96378 .
96379 _D7
96380
96381
96382         )
96383
96384 13839 \ 1
96385         #DDR14_D6
96386  
96387 ddr14
96388 .
96389 b\99
96390 .
96391 _D6
96392
96393
96394         )
96395
96396 13840 \ 1
96397         #DDR14_D5
96398  
96399 ddr14
96400 .
96401 b\99
96402 .
96403 _D5
96404
96405
96406         )
96407
96408 13841 \ 1
96409         #DDR14_D4
96410  
96411 ddr14
96412 .
96413 b\99
96414 .
96415 _D4
96416
96417
96418         )
96419
96420 13842 \ 1
96421         #DDR14_D3
96422  
96423 ddr14
96424 .
96425 b\99
96426 .
96427 _D3
96428
96429
96430         )
96431
96432 13843 \ 1
96433         #DDR14_D2
96434  
96435 ddr14
96436 .
96437 b\99
96438 .
96439 _D2
96440
96441
96442         )
96443
96444 13844 \ 1
96445         #DDR14_D1
96446  
96447 ddr14
96448 .
96449 b\99
96450 .
96451 _D1
96452
96453
96454         )
96455
96456 13845 \ 1
96457         #DDR14_D0
96458  
96459 ddr14
96460 .
96461 b\99
96462 .
96463 _D0
96464
96465
96466         )
96467
96468 13846 
96469 __IO_EXTERN
96470  
96471 DDR15STR
96472  
96473         gddr15
96474 ;
96475
96476 13847 \ 1
96477         #DDR15
96478  
96479 ddr15
96480 .
96481 by\8b
96482
96483
96484         )
96485
96486 13848 \ 1
96487         #DDR15_D7
96488  
96489 ddr15
96490 .
96491 b\99
96492 .
96493 _D7
96494
96495
96496         )
96497
96498 13849 \ 1
96499         #DDR15_D6
96500  
96501 ddr15
96502 .
96503 b\99
96504 .
96505 _D6
96506
96507
96508         )
96509
96510 13850 \ 1
96511         #DDR15_D5
96512  
96513 ddr15
96514 .
96515 b\99
96516 .
96517 _D5
96518
96519
96520         )
96521
96522 13851 \ 1
96523         #DDR15_D4
96524  
96525 ddr15
96526 .
96527 b\99
96528 .
96529 _D4
96530
96531
96532         )
96533
96534 13852 \ 1
96535         #DDR15_D3
96536  
96537 ddr15
96538 .
96539 b\99
96540 .
96541 _D3
96542
96543
96544         )
96545
96546 13853 \ 1
96547         #DDR15_D2
96548  
96549 ddr15
96550 .
96551 b\99
96552 .
96553 _D2
96554
96555
96556         )
96557
96558 13854 \ 1
96559         #DDR15_D1
96560  
96561 ddr15
96562 .
96563 b\99
96564 .
96565 _D1
96566
96567
96568         )
96569
96570 13855 \ 1
96571         #DDR15_D0
96572  
96573 ddr15
96574 .
96575 b\99
96576 .
96577 _D0
96578
96579
96580         )
96581
96582 13856 
96583 __IO_EXTERN
96584  
96585 DDR16STR
96586  
96587         gddr16
96588 ;
96589
96590 13857 \ 1
96591         #DDR16
96592  
96593 ddr16
96594 .
96595 by\8b
96596
96597
96598         )
96599
96600 13858 \ 1
96601         #DDR16_D7
96602  
96603 ddr16
96604 .
96605 b\99
96606 .
96607 _D7
96608
96609
96610         )
96611
96612 13859 \ 1
96613         #DDR16_D6
96614  
96615 ddr16
96616 .
96617 b\99
96618 .
96619 _D6
96620
96621
96622         )
96623
96624 13860 \ 1
96625         #DDR16_D5
96626  
96627 ddr16
96628 .
96629 b\99
96630 .
96631 _D5
96632
96633
96634         )
96635
96636 13861 \ 1
96637         #DDR16_D4
96638  
96639 ddr16
96640 .
96641 b\99
96642 .
96643 _D4
96644
96645
96646         )
96647
96648 13862 \ 1
96649         #DDR16_D3
96650  
96651 ddr16
96652 .
96653 b\99
96654 .
96655 _D3
96656
96657
96658         )
96659
96660 13863 \ 1
96661         #DDR16_D2
96662  
96663 ddr16
96664 .
96665 b\99
96666 .
96667 _D2
96668
96669
96670         )
96671
96672 13864 \ 1
96673         #DDR16_D1
96674  
96675 ddr16
96676 .
96677 b\99
96678 .
96679 _D1
96680
96681
96682         )
96683
96684 13865 \ 1
96685         #DDR16_D0
96686  
96687 ddr16
96688 .
96689 b\99
96690 .
96691 _D0
96692
96693
96694         )
96695
96696 13866 
96697 __IO_EXTERN
96698  
96699 DDR17STR
96700  
96701         gddr17
96702 ;
96703
96704 13867 \ 1
96705         #DDR17
96706  
96707 ddr17
96708 .
96709 by\8b
96710
96711
96712         )
96713
96714 13868 \ 1
96715         #DDR17_D7
96716  
96717 ddr17
96718 .
96719 b\99
96720 .
96721 _D7
96722
96723
96724         )
96725
96726 13869 \ 1
96727         #DDR17_D6
96728  
96729 ddr17
96730 .
96731 b\99
96732 .
96733 _D6
96734
96735
96736         )
96737
96738 13870 \ 1
96739         #DDR17_D5
96740  
96741 ddr17
96742 .
96743 b\99
96744 .
96745 _D5
96746
96747
96748         )
96749
96750 13871 \ 1
96751         #DDR17_D4
96752  
96753 ddr17
96754 .
96755 b\99
96756 .
96757 _D4
96758
96759
96760         )
96761
96762 13872 \ 1
96763         #DDR17_D3
96764  
96765 ddr17
96766 .
96767 b\99
96768 .
96769 _D3
96770
96771
96772         )
96773
96774 13873 \ 1
96775         #DDR17_D2
96776  
96777 ddr17
96778 .
96779 b\99
96780 .
96781 _D2
96782
96783
96784         )
96785
96786 13874 \ 1
96787         #DDR17_D1
96788  
96789 ddr17
96790 .
96791 b\99
96792 .
96793 _D1
96794
96795
96796         )
96797
96798 13875 \ 1
96799         #DDR17_D0
96800  
96801 ddr17
96802 .
96803 b\99
96804 .
96805 _D0
96806
96807
96808         )
96809
96810 13876 
96811 __IO_EXTERN
96812  
96813 DDR18STR
96814  
96815         gddr18
96816 ;
96817
96818 13877 \ 1
96819         #DDR18
96820  
96821 ddr18
96822 .
96823 by\8b
96824
96825
96826         )
96827
96828 13878 \ 1
96829         #DDR18_D6
96830  
96831 ddr18
96832 .
96833 b\99
96834 .
96835 _D6
96836
96837
96838         )
96839
96840 13879 \ 1
96841         #DDR18_D2
96842  
96843 ddr18
96844 .
96845 b\99
96846 .
96847 _D2
96848
96849
96850         )
96851
96852 13880 
96853 __IO_EXTERN
96854  
96855 DDR19STR
96856  
96857         gddr19
96858 ;
96859
96860 13881 \ 1
96861         #DDR19
96862  
96863 ddr19
96864 .
96865 by\8b
96866
96867
96868         )
96869
96870 13882 \ 1
96871         #DDR19_D6
96872  
96873 ddr19
96874 .
96875 b\99
96876 .
96877 _D6
96878
96879
96880         )
96881
96882 13883 \ 1
96883         #DDR19_D2
96884  
96885 ddr19
96886 .
96887 b\99
96888 .
96889 _D2
96890
96891
96892         )
96893
96894 13884 \ 1
96895         #DDR19_D1
96896  
96897 ddr19
96898 .
96899 b\99
96900 .
96901 _D1
96902
96903
96904         )
96905
96906 13885 \ 1
96907         #DDR19_D0
96908  
96909 ddr19
96910 .
96911 b\99
96912 .
96913 _D0
96914
96915
96916         )
96917
96918 13886 
96919 __IO_EXTERN
96920  
96921 DDR20STR
96922  
96923         gddr20
96924 ;
96925
96926 13887 \ 1
96927         #DDR20
96928  
96929 ddr20
96930 .
96931 by\8b
96932
96933
96934         )
96935
96936 13888 \ 1
96937         #DDR20_D7
96938  
96939 ddr20
96940 .
96941 b\99
96942 .
96943 _D7
96944
96945
96946         )
96947
96948 13889 \ 1
96949         #DDR20_D6
96950  
96951 ddr20
96952 .
96953 b\99
96954 .
96955 _D6
96956
96957
96958         )
96959
96960 13890 \ 1
96961         #DDR20_D5
96962  
96963 ddr20
96964 .
96965 b\99
96966 .
96967 _D5
96968
96969
96970         )
96971
96972 13891 \ 1
96973         #DDR20_D4
96974  
96975 ddr20
96976 .
96977 b\99
96978 .
96979 _D4
96980
96981
96982         )
96983
96984 13892 \ 1
96985         #DDR20_D3
96986  
96987 ddr20
96988 .
96989 b\99
96990 .
96991 _D3
96992
96993
96994         )
96995
96996 13893 \ 1
96997         #DDR20_D2
96998  
96999 ddr20
97000 .
97001 b\99
97002 .
97003 _D2
97004
97005
97006         )
97007
97008 13894 \ 1
97009         #DDR20_D1
97010  
97011 ddr20
97012 .
97013 b\99
97014 .
97015 _D1
97016
97017
97018         )
97019
97020 13895 \ 1
97021         #DDR20_D0
97022  
97023 ddr20
97024 .
97025 b\99
97026 .
97027 _D0
97028
97029
97030         )
97031
97032 13896 
97033 __IO_EXTERN
97034  
97035 DDR21STR
97036  
97037         gddr21
97038 ;
97039
97040 13897 \ 1
97041         #DDR21
97042  
97043 ddr21
97044 .
97045 by\8b
97046
97047
97048         )
97049
97050 13898 \ 1
97051         #DDR21_D7
97052  
97053 ddr21
97054 .
97055 b\99
97056 .
97057 _D7
97058
97059
97060         )
97061
97062 13899 \ 1
97063         #DDR21_D6
97064  
97065 ddr21
97066 .
97067 b\99
97068 .
97069 _D6
97070
97071
97072         )
97073
97074 13900 \ 1
97075         #DDR21_D5
97076  
97077 ddr21
97078 .
97079 b\99
97080 .
97081 _D5
97082
97083
97084         )
97085
97086 13901 \ 1
97087         #DDR21_D4
97088  
97089 ddr21
97090 .
97091 b\99
97092 .
97093 _D4
97094
97095
97096         )
97097
97098 13902 \ 1
97099         #DDR21_D3
97100  
97101 ddr21
97102 .
97103 b\99
97104 .
97105 _D3
97106
97107
97108         )
97109
97110 13903 \ 1
97111         #DDR21_D2
97112  
97113 ddr21
97114 .
97115 b\99
97116 .
97117 _D2
97118
97119
97120         )
97121
97122 13904 \ 1
97123         #DDR21_D1
97124  
97125 ddr21
97126 .
97127 b\99
97128 .
97129 _D1
97130
97131
97132         )
97133
97134 13905 \ 1
97135         #DDR21_D0
97136  
97137 ddr21
97138 .
97139 b\99
97140 .
97141 _D0
97142
97143
97144         )
97145
97146 13906 
97147 __IO_EXTERN
97148  
97149 DDR22STR
97150  
97151         gddr22
97152 ;
97153
97154 13907 \ 1
97155         #DDR22
97156  
97157 ddr22
97158 .
97159 by\8b
97160
97161
97162         )
97163
97164 13908 \ 1
97165         #DDR22_D5
97166  
97167 ddr22
97168 .
97169 b\99
97170 .
97171 _D5
97172
97173
97174         )
97175
97176 13909 \ 1
97177         #DDR22_D4
97178  
97179 ddr22
97180 .
97181 b\99
97182 .
97183 _D4
97184
97185
97186         )
97187
97188 13910 \ 1
97189         #DDR22_D1
97190  
97191 ddr22
97192 .
97193 b\99
97194 .
97195 _D1
97196
97197
97198         )
97199
97200 13911 \ 1
97201         #DDR22_D0
97202  
97203 ddr22
97204 .
97205 b\99
97206 .
97207 _D0
97208
97209
97210         )
97211
97212 13912 
97213 __IO_EXTERN
97214  
97215 DDR24STR
97216  
97217         gddr24
97218 ;
97219
97220 13913 \ 1
97221         #DDR24
97222  
97223 ddr24
97224 .
97225 by\8b
97226
97227
97228         )
97229
97230 13914 \ 1
97231         #DDR24_D7
97232  
97233 ddr24
97234 .
97235 b\99
97236 .
97237 _D7
97238
97239
97240         )
97241
97242 13915 \ 1
97243         #DDR24_D6
97244  
97245 ddr24
97246 .
97247 b\99
97248 .
97249 _D6
97250
97251
97252         )
97253
97254 13916 \ 1
97255         #DDR24_D5
97256  
97257 ddr24
97258 .
97259 b\99
97260 .
97261 _D5
97262
97263
97264         )
97265
97266 13917 \ 1
97267         #DDR24_D4
97268  
97269 ddr24
97270 .
97271 b\99
97272 .
97273 _D4
97274
97275
97276         )
97277
97278 13918 \ 1
97279         #DDR24_D3
97280  
97281 ddr24
97282 .
97283 b\99
97284 .
97285 _D3
97286
97287
97288         )
97289
97290 13919 \ 1
97291         #DDR24_D2
97292  
97293 ddr24
97294 .
97295 b\99
97296 .
97297 _D2
97298
97299
97300         )
97301
97302 13920 \ 1
97303         #DDR24_D1
97304  
97305 ddr24
97306 .
97307 b\99
97308 .
97309 _D1
97310
97311
97312         )
97313
97314 13921 \ 1
97315         #DDR24_D0
97316  
97317 ddr24
97318 .
97319 b\99
97320 .
97321 _D0
97322
97323
97324         )
97325
97326 13922 
97327 __IO_EXTERN
97328  
97329 DDR26STR
97330  
97331         gddr26
97332 ;
97333
97334 13923 \ 1
97335         #DDR26
97336  
97337 ddr26
97338 .
97339 by\8b
97340
97341
97342         )
97343
97344 13924 \ 1
97345         #DDR26_D1
97346  
97347 ddr26
97348 .
97349 b\99
97350 .
97351 _D1
97352
97353
97354         )
97355
97356 13925 \ 1
97357         #DDR26_D0
97358  
97359 ddr26
97360 .
97361 b\99
97362 .
97363 _D0
97364
97365
97366         )
97367
97368 13926 
97369 __IO_EXTERN
97370  
97371 DDR27STR
97372  
97373         gddr27
97374 ;
97375
97376 13927 \ 1
97377         #DDR27
97378  
97379 ddr27
97380 .
97381 by\8b
97382
97383
97384         )
97385
97386 13928 \ 1
97387         #DDR27_D7
97388  
97389 ddr27
97390 .
97391 b\99
97392 .
97393 _D7
97394
97395
97396         )
97397
97398 13929 \ 1
97399         #DDR27_D6
97400  
97401 ddr27
97402 .
97403 b\99
97404 .
97405 _D6
97406
97407
97408         )
97409
97410 13930 \ 1
97411         #DDR27_D5
97412  
97413 ddr27
97414 .
97415 b\99
97416 .
97417 _D5
97418
97419
97420         )
97421
97422 13931 \ 1
97423         #DDR27_D4
97424  
97425 ddr27
97426 .
97427 b\99
97428 .
97429 _D4
97430
97431
97432         )
97433
97434 13932 \ 1
97435         #DDR27_D3
97436  
97437 ddr27
97438 .
97439 b\99
97440 .
97441 _D3
97442
97443
97444         )
97445
97446 13933 \ 1
97447         #DDR27_D2
97448  
97449 ddr27
97450 .
97451 b\99
97452 .
97453 _D2
97454
97455
97456         )
97457
97458 13934 \ 1
97459         #DDR27_D1
97460  
97461 ddr27
97462 .
97463 b\99
97464 .
97465 _D1
97466
97467
97468         )
97469
97470 13935 \ 1
97471         #DDR27_D0
97472  
97473 ddr27
97474 .
97475 b\99
97476 .
97477 _D0
97478
97479
97480         )
97481
97482 13936 
97483 __IO_EXTERN
97484  
97485 DDR28STR
97486  
97487         gddr28
97488 ;
97489
97490 13937 \ 1
97491         #DDR28
97492  
97493 ddr28
97494 .
97495 by\8b
97496
97497
97498         )
97499
97500 13938 \ 1
97501         #DDR28_D7
97502  
97503 ddr28
97504 .
97505 b\99
97506 .
97507 _D7
97508
97509
97510         )
97511
97512 13939 \ 1
97513         #DDR28_D6
97514  
97515 ddr28
97516 .
97517 b\99
97518 .
97519 _D6
97520
97521
97522         )
97523
97524 13940 \ 1
97525         #DDR28_D5
97526  
97527 ddr28
97528 .
97529 b\99
97530 .
97531 _D5
97532
97533
97534         )
97535
97536 13941 \ 1
97537         #DDR28_D4
97538  
97539 ddr28
97540 .
97541 b\99
97542 .
97543 _D4
97544
97545
97546         )
97547
97548 13942 \ 1
97549         #DDR28_D3
97550  
97551 ddr28
97552 .
97553 b\99
97554 .
97555 _D3
97556
97557
97558         )
97559
97560 13943 \ 1
97561         #DDR28_D2
97562  
97563 ddr28
97564 .
97565 b\99
97566 .
97567 _D2
97568
97569
97570         )
97571
97572 13944 \ 1
97573         #DDR28_D1
97574  
97575 ddr28
97576 .
97577 b\99
97578 .
97579 _D1
97580
97581
97582         )
97583
97584 13945 \ 1
97585         #DDR28_D0
97586  
97587 ddr28
97588 .
97589 b\99
97590 .
97591 _D0
97592
97593
97594         )
97595
97596 13946 
97597 __IO_EXTERN
97598  
97599 DDR29STR
97600  
97601         gddr29
97602 ;
97603
97604 13947 \ 1
97605         #DDR29
97606  
97607 ddr29
97608 .
97609 by\8b
97610
97611
97612         )
97613
97614 13948 \ 1
97615         #DDR29_D7
97616  
97617 ddr29
97618 .
97619 b\99
97620 .
97621 _D7
97622
97623
97624         )
97625
97626 13949 \ 1
97627         #DDR29_D6
97628  
97629 ddr29
97630 .
97631 b\99
97632 .
97633 _D6
97634
97635
97636         )
97637
97638 13950 \ 1
97639         #DDR29_D5
97640  
97641 ddr29
97642 .
97643 b\99
97644 .
97645 _D5
97646
97647
97648         )
97649
97650 13951 \ 1
97651         #DDR29_D4
97652  
97653 ddr29
97654 .
97655 b\99
97656 .
97657 _D4
97658
97659
97660         )
97661
97662 13952 \ 1
97663         #DDR29_D3
97664  
97665 ddr29
97666 .
97667 b\99
97668 .
97669 _D3
97670
97671
97672         )
97673
97674 13953 \ 1
97675         #DDR29_D2
97676  
97677 ddr29
97678 .
97679 b\99
97680 .
97681 _D2
97682
97683
97684         )
97685
97686 13954 \ 1
97687         #DDR29_D1
97688  
97689 ddr29
97690 .
97691 b\99
97692 .
97693 _D1
97694
97695
97696         )
97697
97698 13955 \ 1
97699         #DDR29_D0
97700  
97701 ddr29
97702 .
97703 b\99
97704 .
97705 _D0
97706
97707
97708         )
97709
97710 13956 
97711 __IO_EXTERN
97712  
97713 PFR14STR
97714  
97715         gpä14
97716 ;
97717
97718 13957 \ 1
97719         #PFR14
97720  
97721 pä14
97722 .
97723 by\8b
97724
97725
97726         )
97727
97728 13958 \ 1
97729         #PFR14_D7
97730  
97731 pä14
97732 .
97733 b\99
97734 .
97735 _D7
97736
97737
97738         )
97739
97740 13959 \ 1
97741         #PFR14_D6
97742  
97743 pä14
97744 .
97745 b\99
97746 .
97747 _D6
97748
97749
97750         )
97751
97752 13960 \ 1
97753         #PFR14_D5
97754  
97755 pä14
97756 .
97757 b\99
97758 .
97759 _D5
97760
97761
97762         )
97763
97764 13961 \ 1
97765         #PFR14_D4
97766  
97767 pä14
97768 .
97769 b\99
97770 .
97771 _D4
97772
97773
97774         )
97775
97776 13962 \ 1
97777         #PFR14_D3
97778  
97779 pä14
97780 .
97781 b\99
97782 .
97783 _D3
97784
97785
97786         )
97787
97788 13963 \ 1
97789         #PFR14_D2
97790  
97791 pä14
97792 .
97793 b\99
97794 .
97795 _D2
97796
97797
97798         )
97799
97800 13964 \ 1
97801         #PFR14_D1
97802  
97803 pä14
97804 .
97805 b\99
97806 .
97807 _D1
97808
97809
97810         )
97811
97812 13965 \ 1
97813         #PFR14_D0
97814  
97815 pä14
97816 .
97817 b\99
97818 .
97819 _D0
97820
97821
97822         )
97823
97824 13966 
97825 __IO_EXTERN
97826  
97827 PFR15STR
97828  
97829         gpä15
97830 ;
97831
97832 13967 \ 1
97833         #PFR15
97834  
97835 pä15
97836 .
97837 by\8b
97838
97839
97840         )
97841
97842 13968 \ 1
97843         #PFR15_D7
97844  
97845 pä15
97846 .
97847 b\99
97848 .
97849 _D7
97850
97851
97852         )
97853
97854 13969 \ 1
97855         #PFR15_D6
97856  
97857 pä15
97858 .
97859 b\99
97860 .
97861 _D6
97862
97863
97864         )
97865
97866 13970 \ 1
97867         #PFR15_D5
97868  
97869 pä15
97870 .
97871 b\99
97872 .
97873 _D5
97874
97875
97876         )
97877
97878 13971 \ 1
97879         #PFR15_D4
97880  
97881 pä15
97882 .
97883 b\99
97884 .
97885 _D4
97886
97887
97888         )
97889
97890 13972 \ 1
97891         #PFR15_D3
97892  
97893 pä15
97894 .
97895 b\99
97896 .
97897 _D3
97898
97899
97900         )
97901
97902 13973 \ 1
97903         #PFR15_D2
97904  
97905 pä15
97906 .
97907 b\99
97908 .
97909 _D2
97910
97911
97912         )
97913
97914 13974 \ 1
97915         #PFR15_D1
97916  
97917 pä15
97918 .
97919 b\99
97920 .
97921 _D1
97922
97923
97924         )
97925
97926 13975 \ 1
97927         #PFR15_D0
97928  
97929 pä15
97930 .
97931 b\99
97932 .
97933 _D0
97934
97935
97936         )
97937
97938 13976 
97939 __IO_EXTERN
97940  
97941 PFR16STR
97942  
97943         gpä16
97944 ;
97945
97946 13977 \ 1
97947         #PFR16
97948  
97949 pä16
97950 .
97951 by\8b
97952
97953
97954         )
97955
97956 13978 \ 1
97957         #PFR16_D7
97958  
97959 pä16
97960 .
97961 b\99
97962 .
97963 _D7
97964
97965
97966         )
97967
97968 13979 \ 1
97969         #PFR16_D6
97970  
97971 pä16
97972 .
97973 b\99
97974 .
97975 _D6
97976
97977
97978         )
97979
97980 13980 \ 1
97981         #PFR16_D5
97982  
97983 pä16
97984 .
97985 b\99
97986 .
97987 _D5
97988
97989
97990         )
97991
97992 13981 \ 1
97993         #PFR16_D4
97994  
97995 pä16
97996 .
97997 b\99
97998 .
97999 _D4
98000
98001
98002         )
98003
98004 13982 \ 1
98005         #PFR16_D3
98006  
98007 pä16
98008 .
98009 b\99
98010 .
98011 _D3
98012
98013
98014         )
98015
98016 13983 \ 1
98017         #PFR16_D2
98018  
98019 pä16
98020 .
98021 b\99
98022 .
98023 _D2
98024
98025
98026         )
98027
98028 13984 \ 1
98029         #PFR16_D1
98030  
98031 pä16
98032 .
98033 b\99
98034 .
98035 _D1
98036
98037
98038         )
98039
98040 13985 \ 1
98041         #PFR16_D0
98042  
98043 pä16
98044 .
98045 b\99
98046 .
98047 _D0
98048
98049
98050         )
98051
98052 13986 
98053 __IO_EXTERN
98054  
98055 PFR17STR
98056  
98057         gpä17
98058 ;
98059
98060 13987 \ 1
98061         #PFR17
98062  
98063 pä17
98064 .
98065 by\8b
98066
98067
98068         )
98069
98070 13988 \ 1
98071         #PFR17_D7
98072  
98073 pä17
98074 .
98075 b\99
98076 .
98077 _D7
98078
98079
98080         )
98081
98082 13989 \ 1
98083         #PFR17_D6
98084  
98085 pä17
98086 .
98087 b\99
98088 .
98089 _D6
98090
98091
98092         )
98093
98094 13990 \ 1
98095         #PFR17_D5
98096  
98097 pä17
98098 .
98099 b\99
98100 .
98101 _D5
98102
98103
98104         )
98105
98106 13991 \ 1
98107         #PFR17_D4
98108  
98109 pä17
98110 .
98111 b\99
98112 .
98113 _D4
98114
98115
98116         )
98117
98118 13992 \ 1
98119         #PFR17_D3
98120  
98121 pä17
98122 .
98123 b\99
98124 .
98125 _D3
98126
98127
98128         )
98129
98130 13993 \ 1
98131         #PFR17_D2
98132  
98133 pä17
98134 .
98135 b\99
98136 .
98137 _D2
98138
98139
98140         )
98141
98142 13994 \ 1
98143         #PFR17_D1
98144  
98145 pä17
98146 .
98147 b\99
98148 .
98149 _D1
98150
98151
98152         )
98153
98154 13995 \ 1
98155         #PFR17_D0
98156  
98157 pä17
98158 .
98159 b\99
98160 .
98161 _D0
98162
98163
98164         )
98165
98166 13996 
98167 __IO_EXTERN
98168  
98169 PFR18STR
98170  
98171         gpä18
98172 ;
98173
98174 13997 \ 1
98175         #PFR18
98176  
98177 pä18
98178 .
98179 by\8b
98180
98181
98182         )
98183
98184 13998 \ 1
98185         #PFR18_D6
98186  
98187 pä18
98188 .
98189 b\99
98190 .
98191 _D6
98192
98193
98194         )
98195
98196 13999 \ 1
98197         #PFR18_D2
98198  
98199 pä18
98200 .
98201 b\99
98202 .
98203 _D2
98204
98205
98206         )
98207
98208 14000 
98209 __IO_EXTERN
98210  
98211 PFR19STR
98212  
98213         gpä19
98214 ;
98215
98216 14001 \ 1
98217         #PFR19
98218  
98219 pä19
98220 .
98221 by\8b
98222
98223
98224         )
98225
98226 14002 \ 1
98227         #PFR19_D6
98228  
98229 pä19
98230 .
98231 b\99
98232 .
98233 _D6
98234
98235
98236         )
98237
98238 14003 \ 1
98239         #PFR19_D2
98240  
98241 pä19
98242 .
98243 b\99
98244 .
98245 _D2
98246
98247
98248         )
98249
98250 14004 \ 1
98251         #PFR19_D1
98252  
98253 pä19
98254 .
98255 b\99
98256 .
98257 _D1
98258
98259
98260         )
98261
98262 14005 \ 1
98263         #PFR19_D0
98264  
98265 pä19
98266 .
98267 b\99
98268 .
98269 _D0
98270
98271
98272         )
98273
98274 14006 
98275 __IO_EXTERN
98276  
98277 PFR20STR
98278  
98279         gpä20
98280 ;
98281
98282 14007 \ 1
98283         #PFR20
98284  
98285 pä20
98286 .
98287 by\8b
98288
98289
98290         )
98291
98292 14008 \ 1
98293         #PFR20_D7
98294  
98295 pä20
98296 .
98297 b\99
98298 .
98299 _D7
98300
98301
98302         )
98303
98304 14009 \ 1
98305         #PFR20_D6
98306  
98307 pä20
98308 .
98309 b\99
98310 .
98311 _D6
98312
98313
98314         )
98315
98316 14010 \ 1
98317         #PFR20_D5
98318  
98319 pä20
98320 .
98321 b\99
98322 .
98323 _D5
98324
98325
98326         )
98327
98328 14011 \ 1
98329         #PFR20_D4
98330  
98331 pä20
98332 .
98333 b\99
98334 .
98335 _D4
98336
98337
98338         )
98339
98340 14012 \ 1
98341         #PFR20_D3
98342  
98343 pä20
98344 .
98345 b\99
98346 .
98347 _D3
98348
98349
98350         )
98351
98352 14013 \ 1
98353         #PFR20_D2
98354  
98355 pä20
98356 .
98357 b\99
98358 .
98359 _D2
98360
98361
98362         )
98363
98364 14014 \ 1
98365         #PFR20_D1
98366  
98367 pä20
98368 .
98369 b\99
98370 .
98371 _D1
98372
98373
98374         )
98375
98376 14015 \ 1
98377         #PFR20_D0
98378  
98379 pä20
98380 .
98381 b\99
98382 .
98383 _D0
98384
98385
98386         )
98387
98388 14016 
98389 __IO_EXTERN
98390  
98391 PFR21STR
98392  
98393         gpä21
98394 ;
98395
98396 14017 \ 1
98397         #PFR21
98398  
98399 pä21
98400 .
98401 by\8b
98402
98403
98404         )
98405
98406 14018 \ 1
98407         #PFR21_D7
98408  
98409 pä21
98410 .
98411 b\99
98412 .
98413 _D7
98414
98415
98416         )
98417
98418 14019 \ 1
98419         #PFR21_D6
98420  
98421 pä21
98422 .
98423 b\99
98424 .
98425 _D6
98426
98427
98428         )
98429
98430 14020 \ 1
98431         #PFR21_D5
98432  
98433 pä21
98434 .
98435 b\99
98436 .
98437 _D5
98438
98439
98440         )
98441
98442 14021 \ 1
98443         #PFR21_D4
98444  
98445 pä21
98446 .
98447 b\99
98448 .
98449 _D4
98450
98451
98452         )
98453
98454 14022 \ 1
98455         #PFR21_D3
98456  
98457 pä21
98458 .
98459 b\99
98460 .
98461 _D3
98462
98463
98464         )
98465
98466 14023 \ 1
98467         #PFR21_D2
98468  
98469 pä21
98470 .
98471 b\99
98472 .
98473 _D2
98474
98475
98476         )
98477
98478 14024 \ 1
98479         #PFR21_D1
98480  
98481 pä21
98482 .
98483 b\99
98484 .
98485 _D1
98486
98487
98488         )
98489
98490 14025 \ 1
98491         #PFR21_D0
98492  
98493 pä21
98494 .
98495 b\99
98496 .
98497 _D0
98498
98499
98500         )
98501
98502 14026 
98503 __IO_EXTERN
98504  
98505 PFR22STR
98506  
98507         gpä22
98508 ;
98509
98510 14027 \ 1
98511         #PFR22
98512  
98513 pä22
98514 .
98515 by\8b
98516
98517
98518         )
98519
98520 14028 \ 1
98521         #PFR22_D5
98522  
98523 pä22
98524 .
98525 b\99
98526 .
98527 _D5
98528
98529
98530         )
98531
98532 14029 \ 1
98533         #PFR22_D4
98534  
98535 pä22
98536 .
98537 b\99
98538 .
98539 _D4
98540
98541
98542         )
98543
98544 14030 \ 1
98545         #PFR22_D1
98546  
98547 pä22
98548 .
98549 b\99
98550 .
98551 _D1
98552
98553
98554         )
98555
98556 14031 \ 1
98557         #PFR22_D0
98558  
98559 pä22
98560 .
98561 b\99
98562 .
98563 _D0
98564
98565
98566         )
98567
98568 14032 
98569 __IO_EXTERN
98570  
98571 PFR24STR
98572  
98573         gpä24
98574 ;
98575
98576 14033 \ 1
98577         #PFR24
98578  
98579 pä24
98580 .
98581 by\8b
98582
98583
98584         )
98585
98586 14034 \ 1
98587         #PFR24_D7
98588  
98589 pä24
98590 .
98591 b\99
98592 .
98593 _D7
98594
98595
98596         )
98597
98598 14035 \ 1
98599         #PFR24_D6
98600  
98601 pä24
98602 .
98603 b\99
98604 .
98605 _D6
98606
98607
98608         )
98609
98610 14036 \ 1
98611         #PFR24_D5
98612  
98613 pä24
98614 .
98615 b\99
98616 .
98617 _D5
98618
98619
98620         )
98621
98622 14037 \ 1
98623         #PFR24_D4
98624  
98625 pä24
98626 .
98627 b\99
98628 .
98629 _D4
98630
98631
98632         )
98633
98634 14038 \ 1
98635         #PFR24_D3
98636  
98637 pä24
98638 .
98639 b\99
98640 .
98641 _D3
98642
98643
98644         )
98645
98646 14039 \ 1
98647         #PFR24_D2
98648  
98649 pä24
98650 .
98651 b\99
98652 .
98653 _D2
98654
98655
98656         )
98657
98658 14040 \ 1
98659         #PFR24_D1
98660  
98661 pä24
98662 .
98663 b\99
98664 .
98665 _D1
98666
98667
98668         )
98669
98670 14041 \ 1
98671         #PFR24_D0
98672  
98673 pä24
98674 .
98675 b\99
98676 .
98677 _D0
98678
98679
98680         )
98681
98682 14042 
98683 __IO_EXTERN
98684  
98685 PFR26STR
98686  
98687         gpä26
98688 ;
98689
98690 14043 \ 1
98691         #PFR26
98692  
98693 pä26
98694 .
98695 by\8b
98696
98697
98698         )
98699
98700 14044 \ 1
98701         #PFR26_D1
98702  
98703 pä26
98704 .
98705 b\99
98706 .
98707 _D1
98708
98709
98710         )
98711
98712 14045 \ 1
98713         #PFR26_D0
98714  
98715 pä26
98716 .
98717 b\99
98718 .
98719 _D0
98720
98721
98722         )
98723
98724 14046 
98725 __IO_EXTERN
98726  
98727 PFR27STR
98728  
98729         gpä27
98730 ;
98731
98732 14047 \ 1
98733         #PFR27
98734  
98735 pä27
98736 .
98737 by\8b
98738
98739
98740         )
98741
98742 14048 \ 1
98743         #PFR27_D7
98744  
98745 pä27
98746 .
98747 b\99
98748 .
98749 _D7
98750
98751
98752         )
98753
98754 14049 \ 1
98755         #PFR27_D6
98756  
98757 pä27
98758 .
98759 b\99
98760 .
98761 _D6
98762
98763
98764         )
98765
98766 14050 \ 1
98767         #PFR27_D5
98768  
98769 pä27
98770 .
98771 b\99
98772 .
98773 _D5
98774
98775
98776         )
98777
98778 14051 \ 1
98779         #PFR27_D4
98780  
98781 pä27
98782 .
98783 b\99
98784 .
98785 _D4
98786
98787
98788         )
98789
98790 14052 \ 1
98791         #PFR27_D3
98792  
98793 pä27
98794 .
98795 b\99
98796 .
98797 _D3
98798
98799
98800         )
98801
98802 14053 \ 1
98803         #PFR27_D2
98804  
98805 pä27
98806 .
98807 b\99
98808 .
98809 _D2
98810
98811
98812         )
98813
98814 14054 \ 1
98815         #PFR27_D1
98816  
98817 pä27
98818 .
98819 b\99
98820 .
98821 _D1
98822
98823
98824         )
98825
98826 14055 \ 1
98827         #PFR27_D0
98828  
98829 pä27
98830 .
98831 b\99
98832 .
98833 _D0
98834
98835
98836         )
98837
98838 14056 
98839 __IO_EXTERN
98840  
98841 PFR28STR
98842  
98843         gpä28
98844 ;
98845
98846 14057 \ 1
98847         #PFR28
98848  
98849 pä28
98850 .
98851 by\8b
98852
98853
98854         )
98855
98856 14058 \ 1
98857         #PFR28_D7
98858  
98859 pä28
98860 .
98861 b\99
98862 .
98863 _D7
98864
98865
98866         )
98867
98868 14059 \ 1
98869         #PFR28_D6
98870  
98871 pä28
98872 .
98873 b\99
98874 .
98875 _D6
98876
98877
98878         )
98879
98880 14060 \ 1
98881         #PFR28_D5
98882  
98883 pä28
98884 .
98885 b\99
98886 .
98887 _D5
98888
98889
98890         )
98891
98892 14061 \ 1
98893         #PFR28_D4
98894  
98895 pä28
98896 .
98897 b\99
98898 .
98899 _D4
98900
98901
98902         )
98903
98904 14062 \ 1
98905         #PFR28_D3
98906  
98907 pä28
98908 .
98909 b\99
98910 .
98911 _D3
98912
98913
98914         )
98915
98916 14063 \ 1
98917         #PFR28_D2
98918  
98919 pä28
98920 .
98921 b\99
98922 .
98923 _D2
98924
98925
98926         )
98927
98928 14064 \ 1
98929         #PFR28_D1
98930  
98931 pä28
98932 .
98933 b\99
98934 .
98935 _D1
98936
98937
98938         )
98939
98940 14065 \ 1
98941         #PFR28_D0
98942  
98943 pä28
98944 .
98945 b\99
98946 .
98947 _D0
98948
98949
98950         )
98951
98952 14066 
98953 __IO_EXTERN
98954  
98955 PFR29STR
98956  
98957         gpä29
98958 ;
98959
98960 14067 \ 1
98961         #PFR29
98962  
98963 pä29
98964 .
98965 by\8b
98966
98967
98968         )
98969
98970 14068 \ 1
98971         #PFR29_D7
98972  
98973 pä29
98974 .
98975 b\99
98976 .
98977 _D7
98978
98979
98980         )
98981
98982 14069 \ 1
98983         #PFR29_D6
98984  
98985 pä29
98986 .
98987 b\99
98988 .
98989 _D6
98990
98991
98992         )
98993
98994 14070 \ 1
98995         #PFR29_D5
98996  
98997 pä29
98998 .
98999 b\99
99000 .
99001 _D5
99002
99003
99004         )
99005
99006 14071 \ 1
99007         #PFR29_D4
99008  
99009 pä29
99010 .
99011 b\99
99012 .
99013 _D4
99014
99015
99016         )
99017
99018 14072 \ 1
99019         #PFR29_D3
99020  
99021 pä29
99022 .
99023 b\99
99024 .
99025 _D3
99026
99027
99028         )
99029
99030 14073 \ 1
99031         #PFR29_D2
99032  
99033 pä29
99034 .
99035 b\99
99036 .
99037 _D2
99038
99039
99040         )
99041
99042 14074 \ 1
99043         #PFR29_D1
99044  
99045 pä29
99046 .
99047 b\99
99048 .
99049 _D1
99050
99051
99052         )
99053
99054 14075 \ 1
99055         #PFR29_D0
99056  
99057 pä29
99058 .
99059 b\99
99060 .
99061 _D0
99062
99063
99064         )
99065
99066 14076 
99067 __IO_EXTERN
99068  
99069 EPFR14STR
99070  
99071         g\95ä14
99072 ;
99073
99074 14077 \ 1
99075         #EPFR14
99076  
99077 \95ä14
99078 .
99079 by\8b
99080
99081
99082         )
99083
99084 14078 \ 1
99085         #EPFR14_D7
99086  
99087 \95ä14
99088 .
99089 b\99
99090 .
99091 _D7
99092
99093
99094         )
99095
99096 14079 \ 1
99097         #EPFR14_D6
99098  
99099 \95ä14
99100 .
99101 b\99
99102 .
99103 _D6
99104
99105
99106         )
99107
99108 14080 \ 1
99109         #EPFR14_D5
99110  
99111 \95ä14
99112 .
99113 b\99
99114 .
99115 _D5
99116
99117
99118         )
99119
99120 14081 \ 1
99121         #EPFR14_D4
99122  
99123 \95ä14
99124 .
99125 b\99
99126 .
99127 _D4
99128
99129
99130         )
99131
99132 14082 \ 1
99133         #EPFR14_D3
99134  
99135 \95ä14
99136 .
99137 b\99
99138 .
99139 _D3
99140
99141
99142         )
99143
99144 14083 \ 1
99145         #EPFR14_D2
99146  
99147 \95ä14
99148 .
99149 b\99
99150 .
99151 _D2
99152
99153
99154         )
99155
99156 14084 \ 1
99157         #EPFR14_D1
99158  
99159 \95ä14
99160 .
99161 b\99
99162 .
99163 _D1
99164
99165
99166         )
99167
99168 14085 \ 1
99169         #EPFR14_D0
99170  
99171 \95ä14
99172 .
99173 b\99
99174 .
99175 _D0
99176
99177
99178         )
99179
99180 14086 
99181 __IO_EXTERN
99182  
99183 EPFR15STR
99184  
99185         g\95ä15
99186 ;
99187
99188 14087 \ 1
99189         #EPFR15
99190  
99191 \95ä15
99192 .
99193 by\8b
99194
99195
99196         )
99197
99198 14088 \ 1
99199         #EPFR15_D7
99200  
99201 \95ä15
99202 .
99203 b\99
99204 .
99205 _D7
99206
99207
99208         )
99209
99210 14089 \ 1
99211         #EPFR15_D6
99212  
99213 \95ä15
99214 .
99215 b\99
99216 .
99217 _D6
99218
99219
99220         )
99221
99222 14090 \ 1
99223         #EPFR15_D5
99224  
99225 \95ä15
99226 .
99227 b\99
99228 .
99229 _D5
99230
99231
99232         )
99233
99234 14091 \ 1
99235         #EPFR15_D4
99236  
99237 \95ä15
99238 .
99239 b\99
99240 .
99241 _D4
99242
99243
99244         )
99245
99246 14092 \ 1
99247         #EPFR15_D3
99248  
99249 \95ä15
99250 .
99251 b\99
99252 .
99253 _D3
99254
99255
99256         )
99257
99258 14093 \ 1
99259         #EPFR15_D2
99260  
99261 \95ä15
99262 .
99263 b\99
99264 .
99265 _D2
99266
99267
99268         )
99269
99270 14094 \ 1
99271         #EPFR15_D1
99272  
99273 \95ä15
99274 .
99275 b\99
99276 .
99277 _D1
99278
99279
99280         )
99281
99282 14095 \ 1
99283         #EPFR15_D0
99284  
99285 \95ä15
99286 .
99287 b\99
99288 .
99289 _D0
99290
99291
99292         )
99293
99294 14096 
99295 __IO_EXTERN
99296  
99297 EPFR16STR
99298  
99299         g\95ä16
99300 ;
99301
99302 14097 \ 1
99303         #EPFR16
99304  
99305 \95ä16
99306 .
99307 by\8b
99308
99309
99310         )
99311
99312 14098 \ 1
99313         #EPFR16_D7
99314  
99315 \95ä16
99316 .
99317 b\99
99318 .
99319 _D7
99320
99321
99322         )
99323
99324 14099 
99325 __IO_EXTERN
99326  
99327 IO_BYTE
99328  
99329         g\95ä17
99330 ;
99331
99332 14100 \ 1
99333         #EPFR17
99334  
99335 \95ä17
99336
99337
99338         )
99339
99340 14101 
99341 __IO_EXTERN
99342  
99343 EPFR18STR
99344  
99345         g\95ä18
99346 ;
99347
99348 14102 \ 1
99349         #EPFR18
99350  
99351 \95ä18
99352 .
99353 by\8b
99354
99355
99356         )
99357
99358 14103 \ 1
99359         #EPFR18_D6
99360  
99361 \95ä18
99362 .
99363 b\99
99364 .
99365 _D6
99366
99367
99368         )
99369
99370 14104 \ 1
99371         #EPFR18_D2
99372  
99373 \95ä18
99374 .
99375 b\99
99376 .
99377 _D2
99378
99379
99380         )
99381
99382 14105 
99383 __IO_EXTERN
99384  
99385 EPFR19STR
99386  
99387         g\95ä19
99388 ;
99389
99390 14106 \ 1
99391         #EPFR19
99392  
99393 \95ä19
99394 .
99395 by\8b
99396
99397
99398         )
99399
99400 14107 \ 1
99401         #EPFR19_D6
99402  
99403 \95ä19
99404 .
99405 b\99
99406 .
99407 _D6
99408
99409
99410         )
99411
99412 14108 \ 1
99413         #EPFR19_D2
99414  
99415 \95ä19
99416 .
99417 b\99
99418 .
99419 _D2
99420
99421
99422         )
99423
99424 14109 
99425 __IO_EXTERN
99426  
99427 EPFR20STR
99428  
99429         g\95ä20
99430 ;
99431
99432 14110 \ 1
99433         #EPFR20
99434  
99435 \95ä20
99436 .
99437 by\8b
99438
99439
99440         )
99441
99442 14111 \ 1
99443         #EPFR20_D6
99444  
99445 \95ä20
99446 .
99447 b\99
99448 .
99449 _D6
99450
99451
99452         )
99453
99454 14112 \ 1
99455         #EPFR20_D2
99456  
99457 \95ä20
99458 .
99459 b\99
99460 .
99461 _D2
99462
99463
99464         )
99465
99466 14113 
99467 __IO_EXTERN
99468  
99469 EPFR21STR
99470  
99471         g\95ä21
99472 ;
99473
99474 14114 \ 1
99475         #EPFR21
99476  
99477 \95ä21
99478 .
99479 by\8b
99480
99481
99482         )
99483
99484 14115 \ 1
99485         #EPFR21_D6
99486  
99487 \95ä21
99488 .
99489 b\99
99490 .
99491 _D6
99492
99493
99494         )
99495
99496 14116 \ 1
99497         #EPFR21_D2
99498  
99499 \95ä21
99500 .
99501 b\99
99502 .
99503 _D2
99504
99505
99506         )
99507
99508 14117 
99509 __IO_EXTERN
99510  
99511 IO_BYTE
99512  
99513         g\95ä22
99514 ;
99515
99516 14118 \ 1
99517         #EPFR22
99518  
99519 \95ä22
99520
99521
99522         )
99523
99524 14119 
99525 __IO_EXTERN
99526  
99527 IO_BYTE
99528  
99529         g\95ä24
99530 ;
99531
99532 14120 \ 1
99533         #EPFR24
99534  
99535 \95ä24
99536
99537
99538         )
99539
99540 14121 
99541 __IO_EXTERN
99542  
99543 EPFR26STR
99544  
99545         g\95ä26
99546 ;
99547
99548 14122 \ 1
99549         #EPFR26
99550  
99551 \95ä26
99552 .
99553 by\8b
99554
99555
99556         )
99557
99558 14123 \ 1
99559         #EPFR26_D1
99560  
99561 \95ä26
99562 .
99563 b\99
99564 .
99565 _D1
99566
99567
99568         )
99569
99570 14124 \ 1
99571         #EPFR26_D0
99572  
99573 \95ä26
99574 .
99575 b\99
99576 .
99577 _D0
99578
99579
99580         )
99581
99582 14125 
99583 __IO_EXTERN
99584  
99585 EPFR27STR
99586  
99587         g\95ä27
99588 ;
99589
99590 14126 \ 1
99591         #EPFR27
99592  
99593 \95ä27
99594 .
99595 by\8b
99596
99597
99598         )
99599
99600 14127 \ 1
99601         #EPFR27_D7
99602  
99603 \95ä27
99604 .
99605 b\99
99606 .
99607 _D7
99608
99609
99610         )
99611
99612 14128 \ 1
99613         #EPFR27_D6
99614  
99615 \95ä27
99616 .
99617 b\99
99618 .
99619 _D6
99620
99621
99622         )
99623
99624 14129 \ 1
99625         #EPFR27_D5
99626  
99627 \95ä27
99628 .
99629 b\99
99630 .
99631 _D5
99632
99633
99634         )
99635
99636 14130 \ 1
99637         #EPFR27_D4
99638  
99639 \95ä27
99640 .
99641 b\99
99642 .
99643 _D4
99644
99645
99646         )
99647
99648 14131 \ 1
99649         #EPFR27_D3
99650  
99651 \95ä27
99652 .
99653 b\99
99654 .
99655 _D3
99656
99657
99658         )
99659
99660 14132 \ 1
99661         #EPFR27_D2
99662  
99663 \95ä27
99664 .
99665 b\99
99666 .
99667 _D2
99668
99669
99670         )
99671
99672 14133 \ 1
99673         #EPFR27_D1
99674  
99675 \95ä27
99676 .
99677 b\99
99678 .
99679 _D1
99680
99681
99682         )
99683
99684 14134 \ 1
99685         #EPFR27_D0
99686  
99687 \95ä27
99688 .
99689 b\99
99690 .
99691 _D0
99692
99693
99694         )
99695
99696 14135 
99697 __IO_EXTERN
99698  
99699 IO_BYTE
99700  
99701         g\95ä29
99702 ;
99703
99704 14136 \ 1
99705         #EPFR29
99706  
99707 \95ä29
99708
99709
99710         )
99711
99712 14137 
99713 __IO_EXTERN
99714  
99715 PODR14STR
99716  
99717         gpodr14
99718 ;
99719
99720 14138 \ 1
99721         #PODR14
99722  
99723 podr14
99724 .
99725 by\8b
99726
99727
99728         )
99729
99730 14139 \ 1
99731         #PODR14_D7
99732  
99733 podr14
99734 .
99735 b\99
99736 .
99737 _D7
99738
99739
99740         )
99741
99742 14140 \ 1
99743         #PODR14_D6
99744  
99745 podr14
99746 .
99747 b\99
99748 .
99749 _D6
99750
99751
99752         )
99753
99754 14141 \ 1
99755         #PODR14_D5
99756  
99757 podr14
99758 .
99759 b\99
99760 .
99761 _D5
99762
99763
99764         )
99765
99766 14142 \ 1
99767         #PODR14_D4
99768  
99769 podr14
99770 .
99771 b\99
99772 .
99773 _D4
99774
99775
99776         )
99777
99778 14143 \ 1
99779         #PODR14_D3
99780  
99781 podr14
99782 .
99783 b\99
99784 .
99785 _D3
99786
99787
99788         )
99789
99790 14144 \ 1
99791         #PODR14_D2
99792  
99793 podr14
99794 .
99795 b\99
99796 .
99797 _D2
99798
99799
99800         )
99801
99802 14145 \ 1
99803         #PODR14_D1
99804  
99805 podr14
99806 .
99807 b\99
99808 .
99809 _D1
99810
99811
99812         )
99813
99814 14146 \ 1
99815         #PODR14_D0
99816  
99817 podr14
99818 .
99819 b\99
99820 .
99821 _D0
99822
99823
99824         )
99825
99826 14147 
99827 __IO_EXTERN
99828  
99829 PODR15STR
99830  
99831         gpodr15
99832 ;
99833
99834 14148 \ 1
99835         #PODR15
99836  
99837 podr15
99838 .
99839 by\8b
99840
99841
99842         )
99843
99844 14149 \ 1
99845         #PODR15_D7
99846  
99847 podr15
99848 .
99849 b\99
99850 .
99851 _D7
99852
99853
99854         )
99855
99856 14150 \ 1
99857         #PODR15_D6
99858  
99859 podr15
99860 .
99861 b\99
99862 .
99863 _D6
99864
99865
99866         )
99867
99868 14151 \ 1
99869         #PODR15_D5
99870  
99871 podr15
99872 .
99873 b\99
99874 .
99875 _D5
99876
99877
99878         )
99879
99880 14152 \ 1
99881         #PODR15_D4
99882  
99883 podr15
99884 .
99885 b\99
99886 .
99887 _D4
99888
99889
99890         )
99891
99892 14153 \ 1
99893         #PODR15_D3
99894  
99895 podr15
99896 .
99897 b\99
99898 .
99899 _D3
99900
99901
99902         )
99903
99904 14154 \ 1
99905         #PODR15_D2
99906  
99907 podr15
99908 .
99909 b\99
99910 .
99911 _D2
99912
99913
99914         )
99915
99916 14155 \ 1
99917         #PODR15_D1
99918  
99919 podr15
99920 .
99921 b\99
99922 .
99923 _D1
99924
99925
99926         )
99927
99928 14156 \ 1
99929         #PODR15_D0
99930  
99931 podr15
99932 .
99933 b\99
99934 .
99935 _D0
99936
99937
99938         )
99939
99940 14157 
99941 __IO_EXTERN
99942  
99943 PODR16STR
99944  
99945         gpodr16
99946 ;
99947
99948 14158 \ 1
99949         #PODR16
99950  
99951 podr16
99952 .
99953 by\8b
99954
99955
99956         )
99957
99958 14159 \ 1
99959         #PODR16_D7
99960  
99961 podr16
99962 .
99963 b\99
99964 .
99965 _D7
99966
99967
99968         )
99969
99970 14160 \ 1
99971         #PODR16_D6
99972  
99973 podr16
99974 .
99975 b\99
99976 .
99977 _D6
99978
99979
99980         )
99981
99982 14161 \ 1
99983         #PODR16_D5
99984  
99985 podr16
99986 .
99987 b\99
99988 .
99989 _D5
99990
99991
99992         )
99993
99994 14162 \ 1
99995         #PODR16_D4
99996  
99997 podr16
99998 .
99999 b\99
100000 .
100001 _D4
100002
100003
100004         )
100005
100006 14163 \ 1
100007         #PODR16_D3
100008  
100009 podr16
100010 .
100011 b\99
100012 .
100013 _D3
100014
100015
100016         )
100017
100018 14164 \ 1
100019         #PODR16_D2
100020  
100021 podr16
100022 .
100023 b\99
100024 .
100025 _D2
100026
100027
100028         )
100029
100030 14165 \ 1
100031         #PODR16_D1
100032  
100033 podr16
100034 .
100035 b\99
100036 .
100037 _D1
100038
100039
100040         )
100041
100042 14166 \ 1
100043         #PODR16_D0
100044  
100045 podr16
100046 .
100047 b\99
100048 .
100049 _D0
100050
100051
100052         )
100053
100054 14167 
100055 __IO_EXTERN
100056  
100057 PODR17STR
100058  
100059         gpodr17
100060 ;
100061
100062 14168 \ 1
100063         #PODR17
100064  
100065 podr17
100066 .
100067 by\8b
100068
100069
100070         )
100071
100072 14169 \ 1
100073         #PODR17_D7
100074  
100075 podr17
100076 .
100077 b\99
100078 .
100079 _D7
100080
100081
100082         )
100083
100084 14170 \ 1
100085         #PODR17_D6
100086  
100087 podr17
100088 .
100089 b\99
100090 .
100091 _D6
100092
100093
100094         )
100095
100096 14171 \ 1
100097         #PODR17_D5
100098  
100099 podr17
100100 .
100101 b\99
100102 .
100103 _D5
100104
100105
100106         )
100107
100108 14172 \ 1
100109         #PODR17_D4
100110  
100111 podr17
100112 .
100113 b\99
100114 .
100115 _D4
100116
100117
100118         )
100119
100120 14173 \ 1
100121         #PODR17_D3
100122  
100123 podr17
100124 .
100125 b\99
100126 .
100127 _D3
100128
100129
100130         )
100131
100132 14174 \ 1
100133         #PODR17_D2
100134  
100135 podr17
100136 .
100137 b\99
100138 .
100139 _D2
100140
100141
100142         )
100143
100144 14175 \ 1
100145         #PODR17_D1
100146  
100147 podr17
100148 .
100149 b\99
100150 .
100151 _D1
100152
100153
100154         )
100155
100156 14176 \ 1
100157         #PODR17_D0
100158  
100159 podr17
100160 .
100161 b\99
100162 .
100163 _D0
100164
100165
100166         )
100167
100168 14177 
100169 __IO_EXTERN
100170  
100171 PODR18STR
100172  
100173         gpodr18
100174 ;
100175
100176 14178 \ 1
100177         #PODR18
100178  
100179 podr18
100180 .
100181 by\8b
100182
100183
100184         )
100185
100186 14179 \ 1
100187         #PODR18_D6
100188  
100189 podr18
100190 .
100191 b\99
100192 .
100193 _D6
100194
100195
100196         )
100197
100198 14180 \ 1
100199         #PODR18_D2
100200  
100201 podr18
100202 .
100203 b\99
100204 .
100205 _D2
100206
100207
100208         )
100209
100210 14181 
100211 __IO_EXTERN
100212  
100213 PODR19STR
100214  
100215         gpodr19
100216 ;
100217
100218 14182 \ 1
100219         #PODR19
100220  
100221 podr19
100222 .
100223 by\8b
100224
100225
100226         )
100227
100228 14183 \ 1
100229         #PODR19_D6
100230  
100231 podr19
100232 .
100233 b\99
100234 .
100235 _D6
100236
100237
100238         )
100239
100240 14184 \ 1
100241         #PODR19_D2
100242  
100243 podr19
100244 .
100245 b\99
100246 .
100247 _D2
100248
100249
100250         )
100251
100252 14185 \ 1
100253         #PODR19_D1
100254  
100255 podr19
100256 .
100257 b\99
100258 .
100259 _D1
100260
100261
100262         )
100263
100264 14186 \ 1
100265         #PODR19_D0
100266  
100267 podr19
100268 .
100269 b\99
100270 .
100271 _D0
100272
100273
100274         )
100275
100276 14187 
100277 __IO_EXTERN
100278  
100279 PODR20STR
100280  
100281         gpodr20
100282 ;
100283
100284 14188 \ 1
100285         #PODR20
100286  
100287 podr20
100288 .
100289 by\8b
100290
100291
100292         )
100293
100294 14189 \ 1
100295         #PODR20_D7
100296  
100297 podr20
100298 .
100299 b\99
100300 .
100301 _D7
100302
100303
100304         )
100305
100306 14190 \ 1
100307         #PODR20_D6
100308  
100309 podr20
100310 .
100311 b\99
100312 .
100313 _D6
100314
100315
100316         )
100317
100318 14191 \ 1
100319         #PODR20_D5
100320  
100321 podr20
100322 .
100323 b\99
100324 .
100325 _D5
100326
100327
100328         )
100329
100330 14192 \ 1
100331         #PODR20_D4
100332  
100333 podr20
100334 .
100335 b\99
100336 .
100337 _D4
100338
100339
100340         )
100341
100342 14193 \ 1
100343         #PODR20_D3
100344  
100345 podr20
100346 .
100347 b\99
100348 .
100349 _D3
100350
100351
100352         )
100353
100354 14194 \ 1
100355         #PODR20_D2
100356  
100357 podr20
100358 .
100359 b\99
100360 .
100361 _D2
100362
100363
100364         )
100365
100366 14195 \ 1
100367         #PODR20_D1
100368  
100369 podr20
100370 .
100371 b\99
100372 .
100373 _D1
100374
100375
100376         )
100377
100378 14196 \ 1
100379         #PODR20_D0
100380  
100381 podr20
100382 .
100383 b\99
100384 .
100385 _D0
100386
100387
100388         )
100389
100390 14197 
100391 __IO_EXTERN
100392  
100393 PODR21STR
100394  
100395         gpodr21
100396 ;
100397
100398 14198 \ 1
100399         #PODR21
100400  
100401 podr21
100402 .
100403 by\8b
100404
100405
100406         )
100407
100408 14199 \ 1
100409         #PODR21_D7
100410  
100411 podr21
100412 .
100413 b\99
100414 .
100415 _D7
100416
100417
100418         )
100419
100420 14200 \ 1
100421         #PODR21_D6
100422  
100423 podr21
100424 .
100425 b\99
100426 .
100427 _D6
100428
100429
100430         )
100431
100432 14201 \ 1
100433         #PODR21_D5
100434  
100435 podr21
100436 .
100437 b\99
100438 .
100439 _D5
100440
100441
100442         )
100443
100444 14202 \ 1
100445         #PODR21_D4
100446  
100447 podr21
100448 .
100449 b\99
100450 .
100451 _D4
100452
100453
100454         )
100455
100456 14203 \ 1
100457         #PODR21_D3
100458  
100459 podr21
100460 .
100461 b\99
100462 .
100463 _D3
100464
100465
100466         )
100467
100468 14204 \ 1
100469         #PODR21_D2
100470  
100471 podr21
100472 .
100473 b\99
100474 .
100475 _D2
100476
100477
100478         )
100479
100480 14205 \ 1
100481         #PODR21_D1
100482  
100483 podr21
100484 .
100485 b\99
100486 .
100487 _D1
100488
100489
100490         )
100491
100492 14206 \ 1
100493         #PODR21_D0
100494  
100495 podr21
100496 .
100497 b\99
100498 .
100499 _D0
100500
100501
100502         )
100503
100504 14207 
100505 __IO_EXTERN
100506  
100507 PODR22STR
100508  
100509         gpodr22
100510 ;
100511
100512 14208 \ 1
100513         #PODR22
100514  
100515 podr22
100516 .
100517 by\8b
100518
100519
100520         )
100521
100522 14209 \ 1
100523         #PODR22_D5
100524  
100525 podr22
100526 .
100527 b\99
100528 .
100529 _D5
100530
100531
100532         )
100533
100534 14210 \ 1
100535         #PODR22_D4
100536  
100537 podr22
100538 .
100539 b\99
100540 .
100541 _D4
100542
100543
100544         )
100545
100546 14211 \ 1
100547         #PODR22_D1
100548  
100549 podr22
100550 .
100551 b\99
100552 .
100553 _D1
100554
100555
100556         )
100557
100558 14212 \ 1
100559         #PODR22_D0
100560  
100561 podr22
100562 .
100563 b\99
100564 .
100565 _D0
100566
100567
100568         )
100569
100570 14213 
100571 __IO_EXTERN
100572  
100573 PODR24STR
100574  
100575         gpodr24
100576 ;
100577
100578 14214 \ 1
100579         #PODR24
100580  
100581 podr24
100582 .
100583 by\8b
100584
100585
100586         )
100587
100588 14215 \ 1
100589         #PODR24_D7
100590  
100591 podr24
100592 .
100593 b\99
100594 .
100595 _D7
100596
100597
100598         )
100599
100600 14216 \ 1
100601         #PODR24_D6
100602  
100603 podr24
100604 .
100605 b\99
100606 .
100607 _D6
100608
100609
100610         )
100611
100612 14217 \ 1
100613         #PODR24_D5
100614  
100615 podr24
100616 .
100617 b\99
100618 .
100619 _D5
100620
100621
100622         )
100623
100624 14218 \ 1
100625         #PODR24_D4
100626  
100627 podr24
100628 .
100629 b\99
100630 .
100631 _D4
100632
100633
100634         )
100635
100636 14219 \ 1
100637         #PODR24_D3
100638  
100639 podr24
100640 .
100641 b\99
100642 .
100643 _D3
100644
100645
100646         )
100647
100648 14220 \ 1
100649         #PODR24_D2
100650  
100651 podr24
100652 .
100653 b\99
100654 .
100655 _D2
100656
100657
100658         )
100659
100660 14221 \ 1
100661         #PODR24_D1
100662  
100663 podr24
100664 .
100665 b\99
100666 .
100667 _D1
100668
100669
100670         )
100671
100672 14222 \ 1
100673         #PODR24_D0
100674  
100675 podr24
100676 .
100677 b\99
100678 .
100679 _D0
100680
100681
100682         )
100683
100684 14223 
100685 __IO_EXTERN
100686  
100687 PODR26STR
100688  
100689         gpodr26
100690 ;
100691
100692 14224 \ 1
100693         #PODR26
100694  
100695 podr26
100696 .
100697 by\8b
100698
100699
100700         )
100701
100702 14225 \ 1
100703         #PODR26_D1
100704  
100705 podr26
100706 .
100707 b\99
100708 .
100709 _D1
100710
100711
100712         )
100713
100714 14226 \ 1
100715         #PODR26_D0
100716  
100717 podr26
100718 .
100719 b\99
100720 .
100721 _D0
100722
100723
100724         )
100725
100726 14227 
100727 __IO_EXTERN
100728  
100729 PODR27STR
100730  
100731         gpodr27
100732 ;
100733
100734 14228 \ 1
100735         #PODR27
100736  
100737 podr27
100738 .
100739 by\8b
100740
100741
100742         )
100743
100744 14229 \ 1
100745         #PODR27_D7
100746  
100747 podr27
100748 .
100749 b\99
100750 .
100751 _D7
100752
100753
100754         )
100755
100756 14230 \ 1
100757         #PODR27_D6
100758  
100759 podr27
100760 .
100761 b\99
100762 .
100763 _D6
100764
100765
100766         )
100767
100768 14231 \ 1
100769         #PODR27_D5
100770  
100771 podr27
100772 .
100773 b\99
100774 .
100775 _D5
100776
100777
100778         )
100779
100780 14232 \ 1
100781         #PODR27_D4
100782  
100783 podr27
100784 .
100785 b\99
100786 .
100787 _D4
100788
100789
100790         )
100791
100792 14233 \ 1
100793         #PODR27_D3
100794  
100795 podr27
100796 .
100797 b\99
100798 .
100799 _D3
100800
100801
100802         )
100803
100804 14234 \ 1
100805         #PODR27_D2
100806  
100807 podr27
100808 .
100809 b\99
100810 .
100811 _D2
100812
100813
100814         )
100815
100816 14235 \ 1
100817         #PODR27_D1
100818  
100819 podr27
100820 .
100821 b\99
100822 .
100823 _D1
100824
100825
100826         )
100827
100828 14236 \ 1
100829         #PODR27_D0
100830  
100831 podr27
100832 .
100833 b\99
100834 .
100835 _D0
100836
100837
100838         )
100839
100840 14237 
100841 __IO_EXTERN
100842  
100843 PODR28STR
100844  
100845         gpodr28
100846 ;
100847
100848 14238 \ 1
100849         #PODR28
100850  
100851 podr28
100852 .
100853 by\8b
100854
100855
100856         )
100857
100858 14239 \ 1
100859         #PODR28_D7
100860  
100861 podr28
100862 .
100863 b\99
100864 .
100865 _D7
100866
100867
100868         )
100869
100870 14240 \ 1
100871         #PODR28_D6
100872  
100873 podr28
100874 .
100875 b\99
100876 .
100877 _D6
100878
100879
100880         )
100881
100882 14241 \ 1
100883         #PODR28_D5
100884  
100885 podr28
100886 .
100887 b\99
100888 .
100889 _D5
100890
100891
100892         )
100893
100894 14242 \ 1
100895         #PODR28_D4
100896  
100897 podr28
100898 .
100899 b\99
100900 .
100901 _D4
100902
100903
100904         )
100905
100906 14243 \ 1
100907         #PODR28_D3
100908  
100909 podr28
100910 .
100911 b\99
100912 .
100913 _D3
100914
100915
100916         )
100917
100918 14244 \ 1
100919         #PODR28_D2
100920  
100921 podr28
100922 .
100923 b\99
100924 .
100925 _D2
100926
100927
100928         )
100929
100930 14245 \ 1
100931         #PODR28_D1
100932  
100933 podr28
100934 .
100935 b\99
100936 .
100937 _D1
100938
100939
100940         )
100941
100942 14246 \ 1
100943         #PODR28_D0
100944  
100945 podr28
100946 .
100947 b\99
100948 .
100949 _D0
100950
100951
100952         )
100953
100954 14247 
100955 __IO_EXTERN
100956  
100957 PODR29STR
100958  
100959         gpodr29
100960 ;
100961
100962 14248 \ 1
100963         #PODR29
100964  
100965 podr29
100966 .
100967 by\8b
100968
100969
100970         )
100971
100972 14249 \ 1
100973         #PODR29_D7
100974  
100975 podr29
100976 .
100977 b\99
100978 .
100979 _D7
100980
100981
100982         )
100983
100984 14250 \ 1
100985         #PODR29_D6
100986  
100987 podr29
100988 .
100989 b\99
100990 .
100991 _D6
100992
100993
100994         )
100995
100996 14251 \ 1
100997         #PODR29_D5
100998  
100999 podr29
101000 .
101001 b\99
101002 .
101003 _D5
101004
101005
101006         )
101007
101008 14252 \ 1
101009         #PODR29_D4
101010  
101011 podr29
101012 .
101013 b\99
101014 .
101015 _D4
101016
101017
101018         )
101019
101020 14253 \ 1
101021         #PODR29_D3
101022  
101023 podr29
101024 .
101025 b\99
101026 .
101027 _D3
101028
101029
101030         )
101031
101032 14254 \ 1
101033         #PODR29_D2
101034  
101035 podr29
101036 .
101037 b\99
101038 .
101039 _D2
101040
101041
101042         )
101043
101044 14255 \ 1
101045         #PODR29_D1
101046  
101047 podr29
101048 .
101049 b\99
101050 .
101051 _D1
101052
101053
101054         )
101055
101056 14256 \ 1
101057         #PODR29_D0
101058  
101059 podr29
101060 .
101061 b\99
101062 .
101063 _D0
101064
101065
101066         )
101067
101068 14257 
101069 __IO_EXTERN
101070  
101071 PILR14STR
101072  
101073         gp\9er14
101074 ;
101075
101076 14258 \ 1
101077         #PILR14
101078  
101079 p\9er14
101080 .
101081 by\8b
101082
101083
101084         )
101085
101086 14259 \ 1
101087         #PILR14_D7
101088  
101089 p\9er14
101090 .
101091 b\99
101092 .
101093 _D7
101094
101095
101096         )
101097
101098 14260 \ 1
101099         #PILR14_D6
101100  
101101 p\9er14
101102 .
101103 b\99
101104 .
101105 _D6
101106
101107
101108         )
101109
101110 14261 \ 1
101111         #PILR14_D5
101112  
101113 p\9er14
101114 .
101115 b\99
101116 .
101117 _D5
101118
101119
101120         )
101121
101122 14262 \ 1
101123         #PILR14_D4
101124  
101125 p\9er14
101126 .
101127 b\99
101128 .
101129 _D4
101130
101131
101132         )
101133
101134 14263 \ 1
101135         #PILR14_D3
101136  
101137 p\9er14
101138 .
101139 b\99
101140 .
101141 _D3
101142
101143
101144         )
101145
101146 14264 \ 1
101147         #PILR14_D2
101148  
101149 p\9er14
101150 .
101151 b\99
101152 .
101153 _D2
101154
101155
101156         )
101157
101158 14265 \ 1
101159         #PILR14_D1
101160  
101161 p\9er14
101162 .
101163 b\99
101164 .
101165 _D1
101166
101167
101168         )
101169
101170 14266 \ 1
101171         #PILR14_D0
101172  
101173 p\9er14
101174 .
101175 b\99
101176 .
101177 _D0
101178
101179
101180         )
101181
101182 14267 
101183 __IO_EXTERN
101184  
101185 PILR15STR
101186  
101187         gp\9er15
101188 ;
101189
101190 14268 \ 1
101191         #PILR15
101192  
101193 p\9er15
101194 .
101195 by\8b
101196
101197
101198         )
101199
101200 14269 \ 1
101201         #PILR15_D7
101202  
101203 p\9er15
101204 .
101205 b\99
101206 .
101207 _D7
101208
101209
101210         )
101211
101212 14270 \ 1
101213         #PILR15_D6
101214  
101215 p\9er15
101216 .
101217 b\99
101218 .
101219 _D6
101220
101221
101222         )
101223
101224 14271 \ 1
101225         #PILR15_D5
101226  
101227 p\9er15
101228 .
101229 b\99
101230 .
101231 _D5
101232
101233
101234         )
101235
101236 14272 \ 1
101237         #PILR15_D4
101238  
101239 p\9er15
101240 .
101241 b\99
101242 .
101243 _D4
101244
101245
101246         )
101247
101248 14273 \ 1
101249         #PILR15_D3
101250  
101251 p\9er15
101252 .
101253 b\99
101254 .
101255 _D3
101256
101257
101258         )
101259
101260 14274 \ 1
101261         #PILR15_D2
101262  
101263 p\9er15
101264 .
101265 b\99
101266 .
101267 _D2
101268
101269
101270         )
101271
101272 14275 \ 1
101273         #PILR15_D1
101274  
101275 p\9er15
101276 .
101277 b\99
101278 .
101279 _D1
101280
101281
101282         )
101283
101284 14276 \ 1
101285         #PILR15_D0
101286  
101287 p\9er15
101288 .
101289 b\99
101290 .
101291 _D0
101292
101293
101294         )
101295
101296 14277 
101297 __IO_EXTERN
101298  
101299 PILR16STR
101300  
101301         gp\9er16
101302 ;
101303
101304 14278 \ 1
101305         #PILR16
101306  
101307 p\9er16
101308 .
101309 by\8b
101310
101311
101312         )
101313
101314 14279 \ 1
101315         #PILR16_D7
101316  
101317 p\9er16
101318 .
101319 b\99
101320 .
101321 _D7
101322
101323
101324         )
101325
101326 14280 \ 1
101327         #PILR16_D6
101328  
101329 p\9er16
101330 .
101331 b\99
101332 .
101333 _D6
101334
101335
101336         )
101337
101338 14281 \ 1
101339         #PILR16_D5
101340  
101341 p\9er16
101342 .
101343 b\99
101344 .
101345 _D5
101346
101347
101348         )
101349
101350 14282 \ 1
101351         #PILR16_D4
101352  
101353 p\9er16
101354 .
101355 b\99
101356 .
101357 _D4
101358
101359
101360         )
101361
101362 14283 \ 1
101363         #PILR16_D3
101364  
101365 p\9er16
101366 .
101367 b\99
101368 .
101369 _D3
101370
101371
101372         )
101373
101374 14284 \ 1
101375         #PILR16_D2
101376  
101377 p\9er16
101378 .
101379 b\99
101380 .
101381 _D2
101382
101383
101384         )
101385
101386 14285 \ 1
101387         #PILR16_D1
101388  
101389 p\9er16
101390 .
101391 b\99
101392 .
101393 _D1
101394
101395
101396         )
101397
101398 14286 \ 1
101399         #PILR16_D0
101400  
101401 p\9er16
101402 .
101403 b\99
101404 .
101405 _D0
101406
101407
101408         )
101409
101410 14287 
101411 __IO_EXTERN
101412  
101413 PILR17STR
101414  
101415         gp\9er17
101416 ;
101417
101418 14288 \ 1
101419         #PILR17
101420  
101421 p\9er17
101422 .
101423 by\8b
101424
101425
101426         )
101427
101428 14289 \ 1
101429         #PILR17_D7
101430  
101431 p\9er17
101432 .
101433 b\99
101434 .
101435 _D7
101436
101437
101438         )
101439
101440 14290 \ 1
101441         #PILR17_D6
101442  
101443 p\9er17
101444 .
101445 b\99
101446 .
101447 _D6
101448
101449
101450         )
101451
101452 14291 \ 1
101453         #PILR17_D5
101454  
101455 p\9er17
101456 .
101457 b\99
101458 .
101459 _D5
101460
101461
101462         )
101463
101464 14292 \ 1
101465         #PILR17_D4
101466  
101467 p\9er17
101468 .
101469 b\99
101470 .
101471 _D4
101472
101473
101474         )
101475
101476 14293 \ 1
101477         #PILR17_D3
101478  
101479 p\9er17
101480 .
101481 b\99
101482 .
101483 _D3
101484
101485
101486         )
101487
101488 14294 \ 1
101489         #PILR17_D2
101490  
101491 p\9er17
101492 .
101493 b\99
101494 .
101495 _D2
101496
101497
101498         )
101499
101500 14295 \ 1
101501         #PILR17_D1
101502  
101503 p\9er17
101504 .
101505 b\99
101506 .
101507 _D1
101508
101509
101510         )
101511
101512 14296 \ 1
101513         #PILR17_D0
101514  
101515 p\9er17
101516 .
101517 b\99
101518 .
101519 _D0
101520
101521
101522         )
101523
101524 14297 
101525 __IO_EXTERN
101526  
101527 PILR18STR
101528  
101529         gp\9er18
101530 ;
101531
101532 14298 \ 1
101533         #PILR18
101534  
101535 p\9er18
101536 .
101537 by\8b
101538
101539
101540         )
101541
101542 14299 \ 1
101543         #PILR18_D6
101544  
101545 p\9er18
101546 .
101547 b\99
101548 .
101549 _D6
101550
101551
101552         )
101553
101554 14300 \ 1
101555         #PILR18_D2
101556  
101557 p\9er18
101558 .
101559 b\99
101560 .
101561 _D2
101562
101563
101564         )
101565
101566 14301 
101567 __IO_EXTERN
101568  
101569 PILR19STR
101570  
101571         gp\9er19
101572 ;
101573
101574 14302 \ 1
101575         #PILR19
101576  
101577 p\9er19
101578 .
101579 by\8b
101580
101581
101582         )
101583
101584 14303 \ 1
101585         #PILR19_D6
101586  
101587 p\9er19
101588 .
101589 b\99
101590 .
101591 _D6
101592
101593
101594         )
101595
101596 14304 \ 1
101597         #PILR19_D2
101598  
101599 p\9er19
101600 .
101601 b\99
101602 .
101603 _D2
101604
101605
101606         )
101607
101608 14305 \ 1
101609         #PILR19_D1
101610  
101611 p\9er19
101612 .
101613 b\99
101614 .
101615 _D1
101616
101617
101618         )
101619
101620 14306 \ 1
101621         #PILR19_D0
101622  
101623 p\9er19
101624 .
101625 b\99
101626 .
101627 _D0
101628
101629
101630         )
101631
101632 14307 
101633 __IO_EXTERN
101634  
101635 PILR20STR
101636  
101637         gp\9er20
101638 ;
101639
101640 14308 \ 1
101641         #PILR20
101642  
101643 p\9er20
101644 .
101645 by\8b
101646
101647
101648         )
101649
101650 14309 \ 1
101651         #PILR20_D7
101652  
101653 p\9er20
101654 .
101655 b\99
101656 .
101657 _D7
101658
101659
101660         )
101661
101662 14310 \ 1
101663         #PILR20_D6
101664  
101665 p\9er20
101666 .
101667 b\99
101668 .
101669 _D6
101670
101671
101672         )
101673
101674 14311 \ 1
101675         #PILR20_D5
101676  
101677 p\9er20
101678 .
101679 b\99
101680 .
101681 _D5
101682
101683
101684         )
101685
101686 14312 \ 1
101687         #PILR20_D4
101688  
101689 p\9er20
101690 .
101691 b\99
101692 .
101693 _D4
101694
101695
101696         )
101697
101698 14313 \ 1
101699         #PILR20_D3
101700  
101701 p\9er20
101702 .
101703 b\99
101704 .
101705 _D3
101706
101707
101708         )
101709
101710 14314 \ 1
101711         #PILR20_D2
101712  
101713 p\9er20
101714 .
101715 b\99
101716 .
101717 _D2
101718
101719
101720         )
101721
101722 14315 \ 1
101723         #PILR20_D1
101724  
101725 p\9er20
101726 .
101727 b\99
101728 .
101729 _D1
101730
101731
101732         )
101733
101734 14316 \ 1
101735         #PILR20_D0
101736  
101737 p\9er20
101738 .
101739 b\99
101740 .
101741 _D0
101742
101743
101744         )
101745
101746 14317 
101747 __IO_EXTERN
101748  
101749 PILR21STR
101750  
101751         gp\9er21
101752 ;
101753
101754 14318 \ 1
101755         #PILR21
101756  
101757 p\9er21
101758 .
101759 by\8b
101760
101761
101762         )
101763
101764 14319 \ 1
101765         #PILR21_D7
101766  
101767 p\9er21
101768 .
101769 b\99
101770 .
101771 _D7
101772
101773
101774         )
101775
101776 14320 \ 1
101777         #PILR21_D6
101778  
101779 p\9er21
101780 .
101781 b\99
101782 .
101783 _D6
101784
101785
101786         )
101787
101788 14321 \ 1
101789         #PILR21_D5
101790  
101791 p\9er21
101792 .
101793 b\99
101794 .
101795 _D5
101796
101797
101798         )
101799
101800 14322 \ 1
101801         #PILR21_D4
101802  
101803 p\9er21
101804 .
101805 b\99
101806 .
101807 _D4
101808
101809
101810         )
101811
101812 14323 \ 1
101813         #PILR21_D3
101814  
101815 p\9er21
101816 .
101817 b\99
101818 .
101819 _D3
101820
101821
101822         )
101823
101824 14324 \ 1
101825         #PILR21_D2
101826  
101827 p\9er21
101828 .
101829 b\99
101830 .
101831 _D2
101832
101833
101834         )
101835
101836 14325 \ 1
101837         #PILR21_D1
101838  
101839 p\9er21
101840 .
101841 b\99
101842 .
101843 _D1
101844
101845
101846         )
101847
101848 14326 \ 1
101849         #PILR21_D0
101850  
101851 p\9er21
101852 .
101853 b\99
101854 .
101855 _D0
101856
101857
101858         )
101859
101860 14327 
101861 __IO_EXTERN
101862  
101863 PILR22STR
101864  
101865         gp\9er22
101866 ;
101867
101868 14328 \ 1
101869         #PILR22
101870  
101871 p\9er22
101872 .
101873 by\8b
101874
101875
101876         )
101877
101878 14329 \ 1
101879         #PILR22_D5
101880  
101881 p\9er22
101882 .
101883 b\99
101884 .
101885 _D5
101886
101887
101888         )
101889
101890 14330 \ 1
101891         #PILR22_D4
101892  
101893 p\9er22
101894 .
101895 b\99
101896 .
101897 _D4
101898
101899
101900         )
101901
101902 14331 \ 1
101903         #PILR22_D1
101904  
101905 p\9er22
101906 .
101907 b\99
101908 .
101909 _D1
101910
101911
101912         )
101913
101914 14332 \ 1
101915         #PILR22_D0
101916  
101917 p\9er22
101918 .
101919 b\99
101920 .
101921 _D0
101922
101923
101924         )
101925
101926 14333 
101927 __IO_EXTERN
101928  
101929 PILR24STR
101930  
101931         gp\9er24
101932 ;
101933
101934 14334 \ 1
101935         #PILR24
101936  
101937 p\9er24
101938 .
101939 by\8b
101940
101941
101942         )
101943
101944 14335 \ 1
101945         #PILR24_D7
101946  
101947 p\9er24
101948 .
101949 b\99
101950 .
101951 _D7
101952
101953
101954         )
101955
101956 14336 \ 1
101957         #PILR24_D6
101958  
101959 p\9er24
101960 .
101961 b\99
101962 .
101963 _D6
101964
101965
101966         )
101967
101968 14337 \ 1
101969         #PILR24_D5
101970  
101971 p\9er24
101972 .
101973 b\99
101974 .
101975 _D5
101976
101977
101978         )
101979
101980 14338 \ 1
101981         #PILR24_D4
101982  
101983 p\9er24
101984 .
101985 b\99
101986 .
101987 _D4
101988
101989
101990         )
101991
101992 14339 \ 1
101993         #PILR24_D3
101994  
101995 p\9er24
101996 .
101997 b\99
101998 .
101999 _D3
102000
102001
102002         )
102003
102004 14340 \ 1
102005         #PILR24_D2
102006  
102007 p\9er24
102008 .
102009 b\99
102010 .
102011 _D2
102012
102013
102014         )
102015
102016 14341 \ 1
102017         #PILR24_D1
102018  
102019 p\9er24
102020 .
102021 b\99
102022 .
102023 _D1
102024
102025
102026         )
102027
102028 14342 \ 1
102029         #PILR24_D0
102030  
102031 p\9er24
102032 .
102033 b\99
102034 .
102035 _D0
102036
102037
102038         )
102039
102040 14343 
102041 __IO_EXTERN
102042  
102043 PILR26STR
102044  
102045         gp\9er26
102046 ;
102047
102048 14344 \ 1
102049         #PILR26
102050  
102051 p\9er26
102052 .
102053 by\8b
102054
102055
102056         )
102057
102058 14345 \ 1
102059         #PILR26_D1
102060  
102061 p\9er26
102062 .
102063 b\99
102064 .
102065 _D1
102066
102067
102068         )
102069
102070 14346 \ 1
102071         #PILR26_D0
102072  
102073 p\9er26
102074 .
102075 b\99
102076 .
102077 _D0
102078
102079
102080         )
102081
102082 14347 
102083 __IO_EXTERN
102084  
102085 PILR27STR
102086  
102087         gp\9er27
102088 ;
102089
102090 14348 \ 1
102091         #PILR27
102092  
102093 p\9er27
102094 .
102095 by\8b
102096
102097
102098         )
102099
102100 14349 \ 1
102101         #PILR27_D7
102102  
102103 p\9er27
102104 .
102105 b\99
102106 .
102107 _D7
102108
102109
102110         )
102111
102112 14350 \ 1
102113         #PILR27_D6
102114  
102115 p\9er27
102116 .
102117 b\99
102118 .
102119 _D6
102120
102121
102122         )
102123
102124 14351 \ 1
102125         #PILR27_D5
102126  
102127 p\9er27
102128 .
102129 b\99
102130 .
102131 _D5
102132
102133
102134         )
102135
102136 14352 \ 1
102137         #PILR27_D4
102138  
102139 p\9er27
102140 .
102141 b\99
102142 .
102143 _D4
102144
102145
102146         )
102147
102148 14353 \ 1
102149         #PILR27_D3
102150  
102151 p\9er27
102152 .
102153 b\99
102154 .
102155 _D3
102156
102157
102158         )
102159
102160 14354 \ 1
102161         #PILR27_D2
102162  
102163 p\9er27
102164 .
102165 b\99
102166 .
102167 _D2
102168
102169
102170         )
102171
102172 14355 \ 1
102173         #PILR27_D1
102174  
102175 p\9er27
102176 .
102177 b\99
102178 .
102179 _D1
102180
102181
102182         )
102183
102184 14356 \ 1
102185         #PILR27_D0
102186  
102187 p\9er27
102188 .
102189 b\99
102190 .
102191 _D0
102192
102193
102194         )
102195
102196 14357 
102197 __IO_EXTERN
102198  
102199 PILR28STR
102200  
102201         gp\9er28
102202 ;
102203
102204 14358 \ 1
102205         #PILR28
102206  
102207 p\9er28
102208 .
102209 by\8b
102210
102211
102212         )
102213
102214 14359 \ 1
102215         #PILR28_D7
102216  
102217 p\9er28
102218 .
102219 b\99
102220 .
102221 _D7
102222
102223
102224         )
102225
102226 14360 \ 1
102227         #PILR28_D6
102228  
102229 p\9er28
102230 .
102231 b\99
102232 .
102233 _D6
102234
102235
102236         )
102237
102238 14361 \ 1
102239         #PILR28_D5
102240  
102241 p\9er28
102242 .
102243 b\99
102244 .
102245 _D5
102246
102247
102248         )
102249
102250 14362 \ 1
102251         #PILR28_D4
102252  
102253 p\9er28
102254 .
102255 b\99
102256 .
102257 _D4
102258
102259
102260         )
102261
102262 14363 \ 1
102263         #PILR28_D3
102264  
102265 p\9er28
102266 .
102267 b\99
102268 .
102269 _D3
102270
102271
102272         )
102273
102274 14364 \ 1
102275         #PILR28_D2
102276  
102277 p\9er28
102278 .
102279 b\99
102280 .
102281 _D2
102282
102283
102284         )
102285
102286 14365 \ 1
102287         #PILR28_D1
102288  
102289 p\9er28
102290 .
102291 b\99
102292 .
102293 _D1
102294
102295
102296         )
102297
102298 14366 \ 1
102299         #PILR28_D0
102300  
102301 p\9er28
102302 .
102303 b\99
102304 .
102305 _D0
102306
102307
102308         )
102309
102310 14367 
102311 __IO_EXTERN
102312  
102313 PILR29STR
102314  
102315         gp\9er29
102316 ;
102317
102318 14368 \ 1
102319         #PILR29
102320  
102321 p\9er29
102322 .
102323 by\8b
102324
102325
102326         )
102327
102328 14369 \ 1
102329         #PILR29_D7
102330  
102331 p\9er29
102332 .
102333 b\99
102334 .
102335 _D7
102336
102337
102338         )
102339
102340 14370 \ 1
102341         #PILR29_D6
102342  
102343 p\9er29
102344 .
102345 b\99
102346 .
102347 _D6
102348
102349
102350         )
102351
102352 14371 \ 1
102353         #PILR29_D5
102354  
102355 p\9er29
102356 .
102357 b\99
102358 .
102359 _D5
102360
102361
102362         )
102363
102364 14372 \ 1
102365         #PILR29_D4
102366  
102367 p\9er29
102368 .
102369 b\99
102370 .
102371 _D4
102372
102373
102374         )
102375
102376 14373 \ 1
102377         #PILR29_D3
102378  
102379 p\9er29
102380 .
102381 b\99
102382 .
102383 _D3
102384
102385
102386         )
102387
102388 14374 \ 1
102389         #PILR29_D2
102390  
102391 p\9er29
102392 .
102393 b\99
102394 .
102395 _D2
102396
102397
102398         )
102399
102400 14375 \ 1
102401         #PILR29_D1
102402  
102403 p\9er29
102404 .
102405 b\99
102406 .
102407 _D1
102408
102409
102410         )
102411
102412 14376 \ 1
102413         #PILR29_D0
102414  
102415 p\9er29
102416 .
102417 b\99
102418 .
102419 _D0
102420
102421
102422         )
102423
102424 14377 
102425 __IO_EXTERN
102426  
102427 EPILR14STR
102428  
102429         g\95\9er14
102430 ;
102431
102432 14378 \ 1
102433         #EPILR14
102434  
102435 \95\9er14
102436 .
102437 by\8b
102438
102439
102440         )
102441
102442 14379 \ 1
102443         #EPILR14_D7
102444  
102445 \95\9er14
102446 .
102447 b\99
102448 .
102449 _D7
102450
102451
102452         )
102453
102454 14380 \ 1
102455         #EPILR14_D6
102456  
102457 \95\9er14
102458 .
102459 b\99
102460 .
102461 _D6
102462
102463
102464         )
102465
102466 14381 \ 1
102467         #EPILR14_D5
102468  
102469 \95\9er14
102470 .
102471 b\99
102472 .
102473 _D5
102474
102475
102476         )
102477
102478 14382 \ 1
102479         #EPILR14_D4
102480  
102481 \95\9er14
102482 .
102483 b\99
102484 .
102485 _D4
102486
102487
102488         )
102489
102490 14383 \ 1
102491         #EPILR14_D3
102492  
102493 \95\9er14
102494 .
102495 b\99
102496 .
102497 _D3
102498
102499
102500         )
102501
102502 14384 \ 1
102503         #EPILR14_D2
102504  
102505 \95\9er14
102506 .
102507 b\99
102508 .
102509 _D2
102510
102511
102512         )
102513
102514 14385 \ 1
102515         #EPILR14_D1
102516  
102517 \95\9er14
102518 .
102519 b\99
102520 .
102521 _D1
102522
102523
102524         )
102525
102526 14386 \ 1
102527         #EPILR14_D0
102528  
102529 \95\9er14
102530 .
102531 b\99
102532 .
102533 _D0
102534
102535
102536         )
102537
102538 14387 
102539 __IO_EXTERN
102540  
102541 EPILR15STR
102542  
102543         g\95\9er15
102544 ;
102545
102546 14388 \ 1
102547         #EPILR15
102548  
102549 \95\9er15
102550 .
102551 by\8b
102552
102553
102554         )
102555
102556 14389 \ 1
102557         #EPILR15_D7
102558  
102559 \95\9er15
102560 .
102561 b\99
102562 .
102563 _D7
102564
102565
102566         )
102567
102568 14390 \ 1
102569         #EPILR15_D6
102570  
102571 \95\9er15
102572 .
102573 b\99
102574 .
102575 _D6
102576
102577
102578         )
102579
102580 14391 \ 1
102581         #EPILR15_D5
102582  
102583 \95\9er15
102584 .
102585 b\99
102586 .
102587 _D5
102588
102589
102590         )
102591
102592 14392 \ 1
102593         #EPILR15_D4
102594  
102595 \95\9er15
102596 .
102597 b\99
102598 .
102599 _D4
102600
102601
102602         )
102603
102604 14393 \ 1
102605         #EPILR15_D3
102606  
102607 \95\9er15
102608 .
102609 b\99
102610 .
102611 _D3
102612
102613
102614         )
102615
102616 14394 \ 1
102617         #EPILR15_D2
102618  
102619 \95\9er15
102620 .
102621 b\99
102622 .
102623 _D2
102624
102625
102626         )
102627
102628 14395 \ 1
102629         #EPILR15_D1
102630  
102631 \95\9er15
102632 .
102633 b\99
102634 .
102635 _D1
102636
102637
102638         )
102639
102640 14396 \ 1
102641         #EPILR15_D0
102642  
102643 \95\9er15
102644 .
102645 b\99
102646 .
102647 _D0
102648
102649
102650         )
102651
102652 14397 
102653 __IO_EXTERN
102654  
102655 EPILR16STR
102656  
102657         g\95\9er16
102658 ;
102659
102660 14398 \ 1
102661         #EPILR16
102662  
102663 \95\9er16
102664 .
102665 by\8b
102666
102667
102668         )
102669
102670 14399 \ 1
102671         #EPILR16_D7
102672  
102673 \95\9er16
102674 .
102675 b\99
102676 .
102677 _D7
102678
102679
102680         )
102681
102682 14400 \ 1
102683         #EPILR16_D6
102684  
102685 \95\9er16
102686 .
102687 b\99
102688 .
102689 _D6
102690
102691
102692         )
102693
102694 14401 \ 1
102695         #EPILR16_D5
102696  
102697 \95\9er16
102698 .
102699 b\99
102700 .
102701 _D5
102702
102703
102704         )
102705
102706 14402 \ 1
102707         #EPILR16_D4
102708  
102709 \95\9er16
102710 .
102711 b\99
102712 .
102713 _D4
102714
102715
102716         )
102717
102718 14403 \ 1
102719         #EPILR16_D3
102720  
102721 \95\9er16
102722 .
102723 b\99
102724 .
102725 _D3
102726
102727
102728         )
102729
102730 14404 \ 1
102731         #EPILR16_D2
102732  
102733 \95\9er16
102734 .
102735 b\99
102736 .
102737 _D2
102738
102739
102740         )
102741
102742 14405 \ 1
102743         #EPILR16_D1
102744  
102745 \95\9er16
102746 .
102747 b\99
102748 .
102749 _D1
102750
102751
102752         )
102753
102754 14406 \ 1
102755         #EPILR16_D0
102756  
102757 \95\9er16
102758 .
102759 b\99
102760 .
102761 _D0
102762
102763
102764         )
102765
102766 14407 
102767 __IO_EXTERN
102768  
102769 EPILR17STR
102770  
102771         g\95\9er17
102772 ;
102773
102774 14408 \ 1
102775         #EPILR17
102776  
102777 \95\9er17
102778 .
102779 by\8b
102780
102781
102782         )
102783
102784 14409 \ 1
102785         #EPILR17_D7
102786  
102787 \95\9er17
102788 .
102789 b\99
102790 .
102791 _D7
102792
102793
102794         )
102795
102796 14410 \ 1
102797         #EPILR17_D6
102798  
102799 \95\9er17
102800 .
102801 b\99
102802 .
102803 _D6
102804
102805
102806         )
102807
102808 14411 \ 1
102809         #EPILR17_D5
102810  
102811 \95\9er17
102812 .
102813 b\99
102814 .
102815 _D5
102816
102817
102818         )
102819
102820 14412 \ 1
102821         #EPILR17_D4
102822  
102823 \95\9er17
102824 .
102825 b\99
102826 .
102827 _D4
102828
102829
102830         )
102831
102832 14413 \ 1
102833         #EPILR17_D3
102834  
102835 \95\9er17
102836 .
102837 b\99
102838 .
102839 _D3
102840
102841
102842         )
102843
102844 14414 \ 1
102845         #EPILR17_D2
102846  
102847 \95\9er17
102848 .
102849 b\99
102850 .
102851 _D2
102852
102853
102854         )
102855
102856 14415 \ 1
102857         #EPILR17_D1
102858  
102859 \95\9er17
102860 .
102861 b\99
102862 .
102863 _D1
102864
102865
102866         )
102867
102868 14416 \ 1
102869         #EPILR17_D0
102870  
102871 \95\9er17
102872 .
102873 b\99
102874 .
102875 _D0
102876
102877
102878         )
102879
102880 14417 
102881 __IO_EXTERN
102882  
102883 EPILR18STR
102884  
102885         g\95\9er18
102886 ;
102887
102888 14418 \ 1
102889         #EPILR18
102890  
102891 \95\9er18
102892 .
102893 by\8b
102894
102895
102896         )
102897
102898 14419 \ 1
102899         #EPILR18_D6
102900  
102901 \95\9er18
102902 .
102903 b\99
102904 .
102905 _D6
102906
102907
102908         )
102909
102910 14420 \ 1
102911         #EPILR18_D2
102912  
102913 \95\9er18
102914 .
102915 b\99
102916 .
102917 _D2
102918
102919
102920         )
102921
102922 14421 
102923 __IO_EXTERN
102924  
102925 EPILR19STR
102926  
102927         g\95\9er19
102928 ;
102929
102930 14422 \ 1
102931         #EPILR19
102932  
102933 \95\9er19
102934 .
102935 by\8b
102936
102937
102938         )
102939
102940 14423 \ 1
102941         #EPILR19_D6
102942  
102943 \95\9er19
102944 .
102945 b\99
102946 .
102947 _D6
102948
102949
102950         )
102951
102952 14424 \ 1
102953         #EPILR19_D2
102954  
102955 \95\9er19
102956 .
102957 b\99
102958 .
102959 _D2
102960
102961
102962         )
102963
102964 14425 \ 1
102965         #EPILR19_D1
102966  
102967 \95\9er19
102968 .
102969 b\99
102970 .
102971 _D1
102972
102973
102974         )
102975
102976 14426 \ 1
102977         #EPILR19_D0
102978  
102979 \95\9er19
102980 .
102981 b\99
102982 .
102983 _D0
102984
102985
102986         )
102987
102988 14427 
102989 __IO_EXTERN
102990  
102991 EPILR20STR
102992  
102993         g\95\9er20
102994 ;
102995
102996 14428 \ 1
102997         #EPILR20
102998  
102999 \95\9er20
103000 .
103001 by\8b
103002
103003
103004         )
103005
103006 14429 \ 1
103007         #EPILR20_D7
103008  
103009 \95\9er20
103010 .
103011 b\99
103012 .
103013 _D7
103014
103015
103016         )
103017
103018 14430 \ 1
103019         #EPILR20_D6
103020  
103021 \95\9er20
103022 .
103023 b\99
103024 .
103025 _D6
103026
103027
103028         )
103029
103030 14431 \ 1
103031         #EPILR20_D5
103032  
103033 \95\9er20
103034 .
103035 b\99
103036 .
103037 _D5
103038
103039
103040         )
103041
103042 14432 \ 1
103043         #EPILR20_D4
103044  
103045 \95\9er20
103046 .
103047 b\99
103048 .
103049 _D4
103050
103051
103052         )
103053
103054 14433 \ 1
103055         #EPILR20_D3
103056  
103057 \95\9er20
103058 .
103059 b\99
103060 .
103061 _D3
103062
103063
103064         )
103065
103066 14434 \ 1
103067         #EPILR20_D2
103068  
103069 \95\9er20
103070 .
103071 b\99
103072 .
103073 _D2
103074
103075
103076         )
103077
103078 14435 \ 1
103079         #EPILR20_D1
103080  
103081 \95\9er20
103082 .
103083 b\99
103084 .
103085 _D1
103086
103087
103088         )
103089
103090 14436 \ 1
103091         #EPILR20_D0
103092  
103093 \95\9er20
103094 .
103095 b\99
103096 .
103097 _D0
103098
103099
103100         )
103101
103102 14437 
103103 __IO_EXTERN
103104  
103105 EPILR21STR
103106  
103107         g\95\9er21
103108 ;
103109
103110 14438 \ 1
103111         #EPILR21
103112  
103113 \95\9er21
103114 .
103115 by\8b
103116
103117
103118         )
103119
103120 14439 \ 1
103121         #EPILR21_D7
103122  
103123 \95\9er21
103124 .
103125 b\99
103126 .
103127 _D7
103128
103129
103130         )
103131
103132 14440 \ 1
103133         #EPILR21_D6
103134  
103135 \95\9er21
103136 .
103137 b\99
103138 .
103139 _D6
103140
103141
103142         )
103143
103144 14441 \ 1
103145         #EPILR21_D5
103146  
103147 \95\9er21
103148 .
103149 b\99
103150 .
103151 _D5
103152
103153
103154         )
103155
103156 14442 \ 1
103157         #EPILR21_D4
103158  
103159 \95\9er21
103160 .
103161 b\99
103162 .
103163 _D4
103164
103165
103166         )
103167
103168 14443 \ 1
103169         #EPILR21_D3
103170  
103171 \95\9er21
103172 .
103173 b\99
103174 .
103175 _D3
103176
103177
103178         )
103179
103180 14444 \ 1
103181         #EPILR21_D2
103182  
103183 \95\9er21
103184 .
103185 b\99
103186 .
103187 _D2
103188
103189
103190         )
103191
103192 14445 \ 1
103193         #EPILR21_D1
103194  
103195 \95\9er21
103196 .
103197 b\99
103198 .
103199 _D1
103200
103201
103202         )
103203
103204 14446 \ 1
103205         #EPILR21_D0
103206  
103207 \95\9er21
103208 .
103209 b\99
103210 .
103211 _D0
103212
103213
103214         )
103215
103216 14447 
103217 __IO_EXTERN
103218  
103219 EPILR22STR
103220  
103221         g\95\9er22
103222 ;
103223
103224 14448 \ 1
103225         #EPILR22
103226  
103227 \95\9er22
103228 .
103229 by\8b
103230
103231
103232         )
103233
103234 14449 \ 1
103235         #EPILR22_D5
103236  
103237 \95\9er22
103238 .
103239 b\99
103240 .
103241 _D5
103242
103243
103244         )
103245
103246 14450 \ 1
103247         #EPILR22_D4
103248  
103249 \95\9er22
103250 .
103251 b\99
103252 .
103253 _D4
103254
103255
103256         )
103257
103258 14451 \ 1
103259         #EPILR22_D1
103260  
103261 \95\9er22
103262 .
103263 b\99
103264 .
103265 _D1
103266
103267
103268         )
103269
103270 14452 \ 1
103271         #EPILR22_D0
103272  
103273 \95\9er22
103274 .
103275 b\99
103276 .
103277 _D0
103278
103279
103280         )
103281
103282 14453 
103283 __IO_EXTERN
103284  
103285 EPILR24STR
103286  
103287         g\95\9er24
103288 ;
103289
103290 14454 \ 1
103291         #EPILR24
103292  
103293 \95\9er24
103294 .
103295 by\8b
103296
103297
103298         )
103299
103300 14455 \ 1
103301         #EPILR24_D7
103302  
103303 \95\9er24
103304 .
103305 b\99
103306 .
103307 _D7
103308
103309
103310         )
103311
103312 14456 \ 1
103313         #EPILR24_D6
103314  
103315 \95\9er24
103316 .
103317 b\99
103318 .
103319 _D6
103320
103321
103322         )
103323
103324 14457 \ 1
103325         #EPILR24_D5
103326  
103327 \95\9er24
103328 .
103329 b\99
103330 .
103331 _D5
103332
103333
103334         )
103335
103336 14458 \ 1
103337         #EPILR24_D4
103338  
103339 \95\9er24
103340 .
103341 b\99
103342 .
103343 _D4
103344
103345
103346         )
103347
103348 14459 \ 1
103349         #EPILR24_D3
103350  
103351 \95\9er24
103352 .
103353 b\99
103354 .
103355 _D3
103356
103357
103358         )
103359
103360 14460 \ 1
103361         #EPILR24_D2
103362  
103363 \95\9er24
103364 .
103365 b\99
103366 .
103367 _D2
103368
103369
103370         )
103371
103372 14461 \ 1
103373         #EPILR24_D1
103374  
103375 \95\9er24
103376 .
103377 b\99
103378 .
103379 _D1
103380
103381
103382         )
103383
103384 14462 \ 1
103385         #EPILR24_D0
103386  
103387 \95\9er24
103388 .
103389 b\99
103390 .
103391 _D0
103392
103393
103394         )
103395
103396 14463 
103397 __IO_EXTERN
103398  
103399 EPILR26STR
103400  
103401         g\95\9er26
103402 ;
103403
103404 14464 \ 1
103405         #EPILR26
103406  
103407 \95\9er26
103408 .
103409 by\8b
103410
103411
103412         )
103413
103414 14465 \ 1
103415         #EPILR26_D1
103416  
103417 \95\9er26
103418 .
103419 b\99
103420 .
103421 _D1
103422
103423
103424         )
103425
103426 14466 \ 1
103427         #EPILR26_D0
103428  
103429 \95\9er26
103430 .
103431 b\99
103432 .
103433 _D0
103434
103435
103436         )
103437
103438 14467 
103439 __IO_EXTERN
103440  
103441 EPILR27STR
103442  
103443         g\95\9er27
103444 ;
103445
103446 14468 \ 1
103447         #EPILR27
103448  
103449 \95\9er27
103450 .
103451 by\8b
103452
103453
103454         )
103455
103456 14469 \ 1
103457         #EPILR27_D7
103458  
103459 \95\9er27
103460 .
103461 b\99
103462 .
103463 _D7
103464
103465
103466         )
103467
103468 14470 \ 1
103469         #EPILR27_D6
103470  
103471 \95\9er27
103472 .
103473 b\99
103474 .
103475 _D6
103476
103477
103478         )
103479
103480 14471 \ 1
103481         #EPILR27_D5
103482  
103483 \95\9er27
103484 .
103485 b\99
103486 .
103487 _D5
103488
103489
103490         )
103491
103492 14472 \ 1
103493         #EPILR27_D4
103494  
103495 \95\9er27
103496 .
103497 b\99
103498 .
103499 _D4
103500
103501
103502         )
103503
103504 14473 \ 1
103505         #EPILR27_D3
103506  
103507 \95\9er27
103508 .
103509 b\99
103510 .
103511 _D3
103512
103513
103514         )
103515
103516 14474 \ 1
103517         #EPILR27_D2
103518  
103519 \95\9er27
103520 .
103521 b\99
103522 .
103523 _D2
103524
103525
103526         )
103527
103528 14475 \ 1
103529         #EPILR27_D1
103530  
103531 \95\9er27
103532 .
103533 b\99
103534 .
103535 _D1
103536
103537
103538         )
103539
103540 14476 \ 1
103541         #EPILR27_D0
103542  
103543 \95\9er27
103544 .
103545 b\99
103546 .
103547 _D0
103548
103549
103550         )
103551
103552 14477 
103553 __IO_EXTERN
103554  
103555 EPILR28STR
103556  
103557         g\95\9er28
103558 ;
103559
103560 14478 \ 1
103561         #EPILR28
103562  
103563 \95\9er28
103564 .
103565 by\8b
103566
103567
103568         )
103569
103570 14479 \ 1
103571         #EPILR28_D7
103572  
103573 \95\9er28
103574 .
103575 b\99
103576 .
103577 _D7
103578
103579
103580         )
103581
103582 14480 \ 1
103583         #EPILR28_D6
103584  
103585 \95\9er28
103586 .
103587 b\99
103588 .
103589 _D6
103590
103591
103592         )
103593
103594 14481 \ 1
103595         #EPILR28_D5
103596  
103597 \95\9er28
103598 .
103599 b\99
103600 .
103601 _D5
103602
103603
103604         )
103605
103606 14482 \ 1
103607         #EPILR28_D4
103608  
103609 \95\9er28
103610 .
103611 b\99
103612 .
103613 _D4
103614
103615
103616         )
103617
103618 14483 \ 1
103619         #EPILR28_D3
103620  
103621 \95\9er28
103622 .
103623 b\99
103624 .
103625 _D3
103626
103627
103628         )
103629
103630 14484 \ 1
103631         #EPILR28_D2
103632  
103633 \95\9er28
103634 .
103635 b\99
103636 .
103637 _D2
103638
103639
103640         )
103641
103642 14485 \ 1
103643         #EPILR28_D1
103644  
103645 \95\9er28
103646 .
103647 b\99
103648 .
103649 _D1
103650
103651
103652         )
103653
103654 14486 \ 1
103655         #EPILR28_D0
103656  
103657 \95\9er28
103658 .
103659 b\99
103660 .
103661 _D0
103662
103663
103664         )
103665
103666 14487 
103667 __IO_EXTERN
103668  
103669 EPILR29STR
103670  
103671         g\95\9er29
103672 ;
103673
103674 14488 \ 1
103675         #EPILR29
103676  
103677 \95\9er29
103678 .
103679 by\8b
103680
103681
103682         )
103683
103684 14489 \ 1
103685         #EPILR29_D7
103686  
103687 \95\9er29
103688 .
103689 b\99
103690 .
103691 _D7
103692
103693
103694         )
103695
103696 14490 \ 1
103697         #EPILR29_D6
103698  
103699 \95\9er29
103700 .
103701 b\99
103702 .
103703 _D6
103704
103705
103706         )
103707
103708 14491 \ 1
103709         #EPILR29_D5
103710  
103711 \95\9er29
103712 .
103713 b\99
103714 .
103715 _D5
103716
103717
103718         )
103719
103720 14492 \ 1
103721         #EPILR29_D4
103722  
103723 \95\9er29
103724 .
103725 b\99
103726 .
103727 _D4
103728
103729
103730         )
103731
103732 14493 \ 1
103733         #EPILR29_D3
103734  
103735 \95\9er29
103736 .
103737 b\99
103738 .
103739 _D3
103740
103741
103742         )
103743
103744 14494 \ 1
103745         #EPILR29_D2
103746  
103747 \95\9er29
103748 .
103749 b\99
103750 .
103751 _D2
103752
103753
103754         )
103755
103756 14495 \ 1
103757         #EPILR29_D1
103758  
103759 \95\9er29
103760 .
103761 b\99
103762 .
103763 _D1
103764
103765
103766         )
103767
103768 14496 \ 1
103769         #EPILR29_D0
103770  
103771 \95\9er29
103772 .
103773 b\99
103774 .
103775 _D0
103776
103777
103778         )
103779
103780 14497 
103781 __IO_EXTERN
103782  
103783 PPER14STR
103784  
103785         gµ\9414
103786 ;
103787
103788 14498 \ 1
103789         #PPER14
103790  
103791 µ\9414
103792 .
103793 by\8b
103794
103795
103796         )
103797
103798 14499 \ 1
103799         #PPER14_D7
103800  
103801 µ\9414
103802 .
103803 b\99
103804 .
103805 _D7
103806
103807
103808         )
103809
103810 14500 \ 1
103811         #PPER14_D6
103812  
103813 µ\9414
103814 .
103815 b\99
103816 .
103817 _D6
103818
103819
103820         )
103821
103822 14501 \ 1
103823         #PPER14_D5
103824  
103825 µ\9414
103826 .
103827 b\99
103828 .
103829 _D5
103830
103831
103832         )
103833
103834 14502 \ 1
103835         #PPER14_D4
103836  
103837 µ\9414
103838 .
103839 b\99
103840 .
103841 _D4
103842
103843
103844         )
103845
103846 14503 \ 1
103847         #PPER14_D3
103848  
103849 µ\9414
103850 .
103851 b\99
103852 .
103853 _D3
103854
103855
103856         )
103857
103858 14504 \ 1
103859         #PPER14_D2
103860  
103861 µ\9414
103862 .
103863 b\99
103864 .
103865 _D2
103866
103867
103868         )
103869
103870 14505 \ 1
103871         #PPER14_D1
103872  
103873 µ\9414
103874 .
103875 b\99
103876 .
103877 _D1
103878
103879
103880         )
103881
103882 14506 \ 1
103883         #PPER14_D0
103884  
103885 µ\9414
103886 .
103887 b\99
103888 .
103889 _D0
103890
103891
103892         )
103893
103894 14507 
103895 __IO_EXTERN
103896  
103897 PPER15STR
103898  
103899         gµ\9415
103900 ;
103901
103902 14508 \ 1
103903         #PPER15
103904  
103905 µ\9415
103906 .
103907 by\8b
103908
103909
103910         )
103911
103912 14509 \ 1
103913         #PPER15_D7
103914  
103915 µ\9415
103916 .
103917 b\99
103918 .
103919 _D7
103920
103921
103922         )
103923
103924 14510 \ 1
103925         #PPER15_D6
103926  
103927 µ\9415
103928 .
103929 b\99
103930 .
103931 _D6
103932
103933
103934         )
103935
103936 14511 \ 1
103937         #PPER15_D5
103938  
103939 µ\9415
103940 .
103941 b\99
103942 .
103943 _D5
103944
103945
103946         )
103947
103948 14512 \ 1
103949         #PPER15_D4
103950  
103951 µ\9415
103952 .
103953 b\99
103954 .
103955 _D4
103956
103957
103958         )
103959
103960 14513 \ 1
103961         #PPER15_D3
103962  
103963 µ\9415
103964 .
103965 b\99
103966 .
103967 _D3
103968
103969
103970         )
103971
103972 14514 \ 1
103973         #PPER15_D2
103974  
103975 µ\9415
103976 .
103977 b\99
103978 .
103979 _D2
103980
103981
103982         )
103983
103984 14515 \ 1
103985         #PPER15_D1
103986  
103987 µ\9415
103988 .
103989 b\99
103990 .
103991 _D1
103992
103993
103994         )
103995
103996 14516 \ 1
103997         #PPER15_D0
103998  
103999 µ\9415
104000 .
104001 b\99
104002 .
104003 _D0
104004
104005
104006         )
104007
104008 14517 
104009 __IO_EXTERN
104010  
104011 PPER16STR
104012  
104013         gµ\9416
104014 ;
104015
104016 14518 \ 1
104017         #PPER16
104018  
104019 µ\9416
104020 .
104021 by\8b
104022
104023
104024         )
104025
104026 14519 \ 1
104027         #PPER16_D7
104028  
104029 µ\9416
104030 .
104031 b\99
104032 .
104033 _D7
104034
104035
104036         )
104037
104038 14520 \ 1
104039         #PPER16_D6
104040  
104041 µ\9416
104042 .
104043 b\99
104044 .
104045 _D6
104046
104047
104048         )
104049
104050 14521 \ 1
104051         #PPER16_D5
104052  
104053 µ\9416
104054 .
104055 b\99
104056 .
104057 _D5
104058
104059
104060         )
104061
104062 14522 \ 1
104063         #PPER16_D4
104064  
104065 µ\9416
104066 .
104067 b\99
104068 .
104069 _D4
104070
104071
104072         )
104073
104074 14523 \ 1
104075         #PPER16_D3
104076  
104077 µ\9416
104078 .
104079 b\99
104080 .
104081 _D3
104082
104083
104084         )
104085
104086 14524 \ 1
104087         #PPER16_D2
104088  
104089 µ\9416
104090 .
104091 b\99
104092 .
104093 _D2
104094
104095
104096         )
104097
104098 14525 \ 1
104099         #PPER16_D1
104100  
104101 µ\9416
104102 .
104103 b\99
104104 .
104105 _D1
104106
104107
104108         )
104109
104110 14526 \ 1
104111         #PPER16_D0
104112  
104113 µ\9416
104114 .
104115 b\99
104116 .
104117 _D0
104118
104119
104120         )
104121
104122 14527 
104123 __IO_EXTERN
104124  
104125 PPER17STR
104126  
104127         gµ\9417
104128 ;
104129
104130 14528 \ 1
104131         #PPER17
104132  
104133 µ\9417
104134 .
104135 by\8b
104136
104137
104138         )
104139
104140 14529 \ 1
104141         #PPER17_D7
104142  
104143 µ\9417
104144 .
104145 b\99
104146 .
104147 _D7
104148
104149
104150         )
104151
104152 14530 \ 1
104153         #PPER17_D6
104154  
104155 µ\9417
104156 .
104157 b\99
104158 .
104159 _D6
104160
104161
104162         )
104163
104164 14531 \ 1
104165         #PPER17_D5
104166  
104167 µ\9417
104168 .
104169 b\99
104170 .
104171 _D5
104172
104173
104174         )
104175
104176 14532 \ 1
104177         #PPER17_D4
104178  
104179 µ\9417
104180 .
104181 b\99
104182 .
104183 _D4
104184
104185
104186         )
104187
104188 14533 \ 1
104189         #PPER17_D3
104190  
104191 µ\9417
104192 .
104193 b\99
104194 .
104195 _D3
104196
104197
104198         )
104199
104200 14534 \ 1
104201         #PPER17_D2
104202  
104203 µ\9417
104204 .
104205 b\99
104206 .
104207 _D2
104208
104209
104210         )
104211
104212 14535 \ 1
104213         #PPER17_D1
104214  
104215 µ\9417
104216 .
104217 b\99
104218 .
104219 _D1
104220
104221
104222         )
104223
104224 14536 \ 1
104225         #PPER17_D0
104226  
104227 µ\9417
104228 .
104229 b\99
104230 .
104231 _D0
104232
104233
104234         )
104235
104236 14537 
104237 __IO_EXTERN
104238  
104239 PPER18STR
104240  
104241         gµ\9418
104242 ;
104243
104244 14538 \ 1
104245         #PPER18
104246  
104247 µ\9418
104248 .
104249 by\8b
104250
104251
104252         )
104253
104254 14539 \ 1
104255         #PPER18_D6
104256  
104257 µ\9418
104258 .
104259 b\99
104260 .
104261 _D6
104262
104263
104264         )
104265
104266 14540 \ 1
104267         #PPER18_D2
104268  
104269 µ\9418
104270 .
104271 b\99
104272 .
104273 _D2
104274
104275
104276         )
104277
104278 14541 
104279 __IO_EXTERN
104280  
104281 PPER19STR
104282  
104283         gµ\9419
104284 ;
104285
104286 14542 \ 1
104287         #PPER19
104288  
104289 µ\9419
104290 .
104291 by\8b
104292
104293
104294         )
104295
104296 14543 \ 1
104297         #PPER19_D6
104298  
104299 µ\9419
104300 .
104301 b\99
104302 .
104303 _D6
104304
104305
104306         )
104307
104308 14544 \ 1
104309         #PPER19_D2
104310  
104311 µ\9419
104312 .
104313 b\99
104314 .
104315 _D2
104316
104317
104318         )
104319
104320 14545 \ 1
104321         #PPER19_D1
104322  
104323 µ\9419
104324 .
104325 b\99
104326 .
104327 _D1
104328
104329
104330         )
104331
104332 14546 \ 1
104333         #PPER19_D0
104334  
104335 µ\9419
104336 .
104337 b\99
104338 .
104339 _D0
104340
104341
104342         )
104343
104344 14547 
104345 __IO_EXTERN
104346  
104347 PPER20STR
104348  
104349         gµ\9420
104350 ;
104351
104352 14548 \ 1
104353         #PPER20
104354  
104355 µ\9420
104356 .
104357 by\8b
104358
104359
104360         )
104361
104362 14549 \ 1
104363         #PPER20_D7
104364  
104365 µ\9420
104366 .
104367 b\99
104368 .
104369 _D7
104370
104371
104372         )
104373
104374 14550 \ 1
104375         #PPER20_D6
104376  
104377 µ\9420
104378 .
104379 b\99
104380 .
104381 _D6
104382
104383
104384         )
104385
104386 14551 \ 1
104387         #PPER20_D5
104388  
104389 µ\9420
104390 .
104391 b\99
104392 .
104393 _D5
104394
104395
104396         )
104397
104398 14552 \ 1
104399         #PPER20_D4
104400  
104401 µ\9420
104402 .
104403 b\99
104404 .
104405 _D4
104406
104407
104408         )
104409
104410 14553 \ 1
104411         #PPER20_D3
104412  
104413 µ\9420
104414 .
104415 b\99
104416 .
104417 _D3
104418
104419
104420         )
104421
104422 14554 \ 1
104423         #PPER20_D2
104424  
104425 µ\9420
104426 .
104427 b\99
104428 .
104429 _D2
104430
104431
104432         )
104433
104434 14555 \ 1
104435         #PPER20_D1
104436  
104437 µ\9420
104438 .
104439 b\99
104440 .
104441 _D1
104442
104443
104444         )
104445
104446 14556 \ 1
104447         #PPER20_D0
104448  
104449 µ\9420
104450 .
104451 b\99
104452 .
104453 _D0
104454
104455
104456         )
104457
104458 14557 
104459 __IO_EXTERN
104460  
104461 PPER21STR
104462  
104463         gµ\9421
104464 ;
104465
104466 14558 \ 1
104467         #PPER21
104468  
104469 µ\9421
104470 .
104471 by\8b
104472
104473
104474         )
104475
104476 14559 \ 1
104477         #PPER21_D7
104478  
104479 µ\9421
104480 .
104481 b\99
104482 .
104483 _D7
104484
104485
104486         )
104487
104488 14560 \ 1
104489         #PPER21_D6
104490  
104491 µ\9421
104492 .
104493 b\99
104494 .
104495 _D6
104496
104497
104498         )
104499
104500 14561 \ 1
104501         #PPER21_D5
104502  
104503 µ\9421
104504 .
104505 b\99
104506 .
104507 _D5
104508
104509
104510         )
104511
104512 14562 \ 1
104513         #PPER21_D4
104514  
104515 µ\9421
104516 .
104517 b\99
104518 .
104519 _D4
104520
104521
104522         )
104523
104524 14563 \ 1
104525         #PPER21_D3
104526  
104527 µ\9421
104528 .
104529 b\99
104530 .
104531 _D3
104532
104533
104534         )
104535
104536 14564 \ 1
104537         #PPER21_D2
104538  
104539 µ\9421
104540 .
104541 b\99
104542 .
104543 _D2
104544
104545
104546         )
104547
104548 14565 \ 1
104549         #PPER21_D1
104550  
104551 µ\9421
104552 .
104553 b\99
104554 .
104555 _D1
104556
104557
104558         )
104559
104560 14566 \ 1
104561         #PPER21_D0
104562  
104563 µ\9421
104564 .
104565 b\99
104566 .
104567 _D0
104568
104569
104570         )
104571
104572 14567 
104573 __IO_EXTERN
104574  
104575 PPER22STR
104576  
104577         gµ\9422
104578 ;
104579
104580 14568 \ 1
104581         #PPER22
104582  
104583 µ\9422
104584 .
104585 by\8b
104586
104587
104588         )
104589
104590 14569 \ 1
104591         #PPER22_D5
104592  
104593 µ\9422
104594 .
104595 b\99
104596 .
104597 _D5
104598
104599
104600         )
104601
104602 14570 \ 1
104603         #PPER22_D4
104604  
104605 µ\9422
104606 .
104607 b\99
104608 .
104609 _D4
104610
104611
104612         )
104613
104614 14571 \ 1
104615         #PPER22_D1
104616  
104617 µ\9422
104618 .
104619 b\99
104620 .
104621 _D1
104622
104623
104624         )
104625
104626 14572 \ 1
104627         #PPER22_D0
104628  
104629 µ\9422
104630 .
104631 b\99
104632 .
104633 _D0
104634
104635
104636         )
104637
104638 14573 
104639 __IO_EXTERN
104640  
104641 PPER24STR
104642  
104643         gµ\9424
104644 ;
104645
104646 14574 \ 1
104647         #PPER24
104648  
104649 µ\9424
104650 .
104651 by\8b
104652
104653
104654         )
104655
104656 14575 \ 1
104657         #PPER24_D7
104658  
104659 µ\9424
104660 .
104661 b\99
104662 .
104663 _D7
104664
104665
104666         )
104667
104668 14576 \ 1
104669         #PPER24_D6
104670  
104671 µ\9424
104672 .
104673 b\99
104674 .
104675 _D6
104676
104677
104678         )
104679
104680 14577 \ 1
104681         #PPER24_D5
104682  
104683 µ\9424
104684 .
104685 b\99
104686 .
104687 _D5
104688
104689
104690         )
104691
104692 14578 \ 1
104693         #PPER24_D4
104694  
104695 µ\9424
104696 .
104697 b\99
104698 .
104699 _D4
104700
104701
104702         )
104703
104704 14579 \ 1
104705         #PPER24_D3
104706  
104707 µ\9424
104708 .
104709 b\99
104710 .
104711 _D3
104712
104713
104714         )
104715
104716 14580 \ 1
104717         #PPER24_D2
104718  
104719 µ\9424
104720 .
104721 b\99
104722 .
104723 _D2
104724
104725
104726         )
104727
104728 14581 \ 1
104729         #PPER24_D1
104730  
104731 µ\9424
104732 .
104733 b\99
104734 .
104735 _D1
104736
104737
104738         )
104739
104740 14582 \ 1
104741         #PPER24_D0
104742  
104743 µ\9424
104744 .
104745 b\99
104746 .
104747 _D0
104748
104749
104750         )
104751
104752 14583 
104753 __IO_EXTERN
104754  
104755 PPER26STR
104756  
104757         gµ\9426
104758 ;
104759
104760 14584 \ 1
104761         #PPER26
104762  
104763 µ\9426
104764 .
104765 by\8b
104766
104767
104768         )
104769
104770 14585 \ 1
104771         #PPER26_D1
104772  
104773 µ\9426
104774 .
104775 b\99
104776 .
104777 _D1
104778
104779
104780         )
104781
104782 14586 \ 1
104783         #PPER26_D0
104784  
104785 µ\9426
104786 .
104787 b\99
104788 .
104789 _D0
104790
104791
104792         )
104793
104794 14587 
104795 __IO_EXTERN
104796  
104797 PPER27STR
104798  
104799         gµ\9427
104800 ;
104801
104802 14588 \ 1
104803         #PPER27
104804  
104805 µ\9427
104806 .
104807 by\8b
104808
104809
104810         )
104811
104812 14589 \ 1
104813         #PPER27_D7
104814  
104815 µ\9427
104816 .
104817 b\99
104818 .
104819 _D7
104820
104821
104822         )
104823
104824 14590 \ 1
104825         #PPER27_D6
104826  
104827 µ\9427
104828 .
104829 b\99
104830 .
104831 _D6
104832
104833
104834         )
104835
104836 14591 \ 1
104837         #PPER27_D5
104838  
104839 µ\9427
104840 .
104841 b\99
104842 .
104843 _D5
104844
104845
104846         )
104847
104848 14592 \ 1
104849         #PPER27_D4
104850  
104851 µ\9427
104852 .
104853 b\99
104854 .
104855 _D4
104856
104857
104858         )
104859
104860 14593 \ 1
104861         #PPER27_D3
104862  
104863 µ\9427
104864 .
104865 b\99
104866 .
104867 _D3
104868
104869
104870         )
104871
104872 14594 \ 1
104873         #PPER27_D2
104874  
104875 µ\9427
104876 .
104877 b\99
104878 .
104879 _D2
104880
104881
104882         )
104883
104884 14595 \ 1
104885         #PPER27_D1
104886  
104887 µ\9427
104888 .
104889 b\99
104890 .
104891 _D1
104892
104893
104894         )
104895
104896 14596 \ 1
104897         #PPER27_D0
104898  
104899 µ\9427
104900 .
104901 b\99
104902 .
104903 _D0
104904
104905
104906         )
104907
104908 14597 
104909 __IO_EXTERN
104910  
104911 PPER28STR
104912  
104913         gµ\9428
104914 ;
104915
104916 14598 \ 1
104917         #PPER28
104918  
104919 µ\9428
104920 .
104921 by\8b
104922
104923
104924         )
104925
104926 14599 \ 1
104927         #PPER28_D7
104928  
104929 µ\9428
104930 .
104931 b\99
104932 .
104933 _D7
104934
104935
104936         )
104937
104938 14600 \ 1
104939         #PPER28_D6
104940  
104941 µ\9428
104942 .
104943 b\99
104944 .
104945 _D6
104946
104947
104948         )
104949
104950 14601 \ 1
104951         #PPER28_D5
104952  
104953 µ\9428
104954 .
104955 b\99
104956 .
104957 _D5
104958
104959
104960         )
104961
104962 14602 \ 1
104963         #PPER28_D4
104964  
104965 µ\9428
104966 .
104967 b\99
104968 .
104969 _D4
104970
104971
104972         )
104973
104974 14603 \ 1
104975         #PPER28_D3
104976  
104977 µ\9428
104978 .
104979 b\99
104980 .
104981 _D3
104982
104983
104984         )
104985
104986 14604 \ 1
104987         #PPER28_D2
104988  
104989 µ\9428
104990 .
104991 b\99
104992 .
104993 _D2
104994
104995
104996         )
104997
104998 14605 \ 1
104999         #PPER28_D1
105000  
105001 µ\9428
105002 .
105003 b\99
105004 .
105005 _D1
105006
105007
105008         )
105009
105010 14606 \ 1
105011         #PPER28_D0
105012  
105013 µ\9428
105014 .
105015 b\99
105016 .
105017 _D0
105018
105019
105020         )
105021
105022 14607 
105023 __IO_EXTERN
105024  
105025 PPER29STR
105026  
105027         gµ\9429
105028 ;
105029
105030 14608 \ 1
105031         #PPER29
105032  
105033 µ\9429
105034 .
105035 by\8b
105036
105037
105038         )
105039
105040 14609 \ 1
105041         #PPER29_D7
105042  
105043 µ\9429
105044 .
105045 b\99
105046 .
105047 _D7
105048
105049
105050         )
105051
105052 14610 \ 1
105053         #PPER29_D6
105054  
105055 µ\9429
105056 .
105057 b\99
105058 .
105059 _D6
105060
105061
105062         )
105063
105064 14611 \ 1
105065         #PPER29_D5
105066  
105067 µ\9429
105068 .
105069 b\99
105070 .
105071 _D5
105072
105073
105074         )
105075
105076 14612 \ 1
105077         #PPER29_D4
105078  
105079 µ\9429
105080 .
105081 b\99
105082 .
105083 _D4
105084
105085
105086         )
105087
105088 14613 \ 1
105089         #PPER29_D3
105090  
105091 µ\9429
105092 .
105093 b\99
105094 .
105095 _D3
105096
105097
105098         )
105099
105100 14614 \ 1
105101         #PPER29_D2
105102  
105103 µ\9429
105104 .
105105 b\99
105106 .
105107 _D2
105108
105109
105110         )
105111
105112 14615 \ 1
105113         #PPER29_D1
105114  
105115 µ\9429
105116 .
105117 b\99
105118 .
105119 _D1
105120
105121
105122         )
105123
105124 14616 \ 1
105125         #PPER29_D0
105126  
105127 µ\9429
105128 .
105129 b\99
105130 .
105131 _D0
105132
105133
105134         )
105135
105136 14617 
105137 __IO_EXTERN
105138  
105139 PPCR14STR
105140  
105141         gµü14
105142 ;
105143
105144 14618 \ 1
105145         #PPCR14
105146  
105147 µü14
105148 .
105149 by\8b
105150
105151
105152         )
105153
105154 14619 \ 1
105155         #PPCR14_D7
105156  
105157 µü14
105158 .
105159 b\99
105160 .
105161 _D7
105162
105163
105164         )
105165
105166 14620 \ 1
105167         #PPCR14_D6
105168  
105169 µü14
105170 .
105171 b\99
105172 .
105173 _D6
105174
105175
105176         )
105177
105178 14621 \ 1
105179         #PPCR14_D5
105180  
105181 µü14
105182 .
105183 b\99
105184 .
105185 _D5
105186
105187
105188         )
105189
105190 14622 \ 1
105191         #PPCR14_D4
105192  
105193 µü14
105194 .
105195 b\99
105196 .
105197 _D4
105198
105199
105200         )
105201
105202 14623 \ 1
105203         #PPCR14_D3
105204  
105205 µü14
105206 .
105207 b\99
105208 .
105209 _D3
105210
105211
105212         )
105213
105214 14624 \ 1
105215         #PPCR14_D2
105216  
105217 µü14
105218 .
105219 b\99
105220 .
105221 _D2
105222
105223
105224         )
105225
105226 14625 \ 1
105227         #PPCR14_D1
105228  
105229 µü14
105230 .
105231 b\99
105232 .
105233 _D1
105234
105235
105236         )
105237
105238 14626 \ 1
105239         #PPCR14_D0
105240  
105241 µü14
105242 .
105243 b\99
105244 .
105245 _D0
105246
105247
105248         )
105249
105250 14627 
105251 __IO_EXTERN
105252  
105253 PPCR15STR
105254  
105255         gµü15
105256 ;
105257
105258 14628 \ 1
105259         #PPCR15
105260  
105261 µü15
105262 .
105263 by\8b
105264
105265
105266         )
105267
105268 14629 \ 1
105269         #PPCR15_D7
105270  
105271 µü15
105272 .
105273 b\99
105274 .
105275 _D7
105276
105277
105278         )
105279
105280 14630 \ 1
105281         #PPCR15_D6
105282  
105283 µü15
105284 .
105285 b\99
105286 .
105287 _D6
105288
105289
105290         )
105291
105292 14631 \ 1
105293         #PPCR15_D5
105294  
105295 µü15
105296 .
105297 b\99
105298 .
105299 _D5
105300
105301
105302         )
105303
105304 14632 \ 1
105305         #PPCR15_D4
105306  
105307 µü15
105308 .
105309 b\99
105310 .
105311 _D4
105312
105313
105314         )
105315
105316 14633 \ 1
105317         #PPCR15_D3
105318  
105319 µü15
105320 .
105321 b\99
105322 .
105323 _D3
105324
105325
105326         )
105327
105328 14634 \ 1
105329         #PPCR15_D2
105330  
105331 µü15
105332 .
105333 b\99
105334 .
105335 _D2
105336
105337
105338         )
105339
105340 14635 \ 1
105341         #PPCR15_D1
105342  
105343 µü15
105344 .
105345 b\99
105346 .
105347 _D1
105348
105349
105350         )
105351
105352 14636 \ 1
105353         #PPCR15_D0
105354  
105355 µü15
105356 .
105357 b\99
105358 .
105359 _D0
105360
105361
105362         )
105363
105364 14637 
105365 __IO_EXTERN
105366  
105367 PPCR16STR
105368  
105369         gµü16
105370 ;
105371
105372 14638 \ 1
105373         #PPCR16
105374  
105375 µü16
105376 .
105377 by\8b
105378
105379
105380         )
105381
105382 14639 \ 1
105383         #PPCR16_D7
105384  
105385 µü16
105386 .
105387 b\99
105388 .
105389 _D7
105390
105391
105392         )
105393
105394 14640 \ 1
105395         #PPCR16_D6
105396  
105397 µü16
105398 .
105399 b\99
105400 .
105401 _D6
105402
105403
105404         )
105405
105406 14641 \ 1
105407         #PPCR16_D5
105408  
105409 µü16
105410 .
105411 b\99
105412 .
105413 _D5
105414
105415
105416         )
105417
105418 14642 \ 1
105419         #PPCR16_D4
105420  
105421 µü16
105422 .
105423 b\99
105424 .
105425 _D4
105426
105427
105428         )
105429
105430 14643 \ 1
105431         #PPCR16_D3
105432  
105433 µü16
105434 .
105435 b\99
105436 .
105437 _D3
105438
105439
105440         )
105441
105442 14644 \ 1
105443         #PPCR16_D2
105444  
105445 µü16
105446 .
105447 b\99
105448 .
105449 _D2
105450
105451
105452         )
105453
105454 14645 \ 1
105455         #PPCR16_D1
105456  
105457 µü16
105458 .
105459 b\99
105460 .
105461 _D1
105462
105463
105464         )
105465
105466 14646 \ 1
105467         #PPCR16_D0
105468  
105469 µü16
105470 .
105471 b\99
105472 .
105473 _D0
105474
105475
105476         )
105477
105478 14647 
105479 __IO_EXTERN
105480  
105481 PPCR17STR
105482  
105483         gµü17
105484 ;
105485
105486 14648 \ 1
105487         #PPCR17
105488  
105489 µü17
105490 .
105491 by\8b
105492
105493
105494         )
105495
105496 14649 \ 1
105497         #PPCR17_D7
105498  
105499 µü17
105500 .
105501 b\99
105502 .
105503 _D7
105504
105505
105506         )
105507
105508 14650 \ 1
105509         #PPCR17_D6
105510  
105511 µü17
105512 .
105513 b\99
105514 .
105515 _D6
105516
105517
105518         )
105519
105520 14651 \ 1
105521         #PPCR17_D5
105522  
105523 µü17
105524 .
105525 b\99
105526 .
105527 _D5
105528
105529
105530         )
105531
105532 14652 \ 1
105533         #PPCR17_D4
105534  
105535 µü17
105536 .
105537 b\99
105538 .
105539 _D4
105540
105541
105542         )
105543
105544 14653 \ 1
105545         #PPCR17_D3
105546  
105547 µü17
105548 .
105549 b\99
105550 .
105551 _D3
105552
105553
105554         )
105555
105556 14654 \ 1
105557         #PPCR17_D2
105558  
105559 µü17
105560 .
105561 b\99
105562 .
105563 _D2
105564
105565
105566         )
105567
105568 14655 \ 1
105569         #PPCR17_D1
105570  
105571 µü17
105572 .
105573 b\99
105574 .
105575 _D1
105576
105577
105578         )
105579
105580 14656 \ 1
105581         #PPCR17_D0
105582  
105583 µü17
105584 .
105585 b\99
105586 .
105587 _D0
105588
105589
105590         )
105591
105592 14657 
105593 __IO_EXTERN
105594  
105595 PPCR18STR
105596  
105597         gµü18
105598 ;
105599
105600 14658 \ 1
105601         #PPCR18
105602  
105603 µü18
105604 .
105605 by\8b
105606
105607
105608         )
105609
105610 14659 \ 1
105611         #PPCR18_D6
105612  
105613 µü18
105614 .
105615 b\99
105616 .
105617 _D6
105618
105619
105620         )
105621
105622 14660 \ 1
105623         #PPCR18_D2
105624  
105625 µü18
105626 .
105627 b\99
105628 .
105629 _D2
105630
105631
105632         )
105633
105634 14661 
105635 __IO_EXTERN
105636  
105637 PPCR19STR
105638  
105639         gµü19
105640 ;
105641
105642 14662 \ 1
105643         #PPCR19
105644  
105645 µü19
105646 .
105647 by\8b
105648
105649
105650         )
105651
105652 14663 \ 1
105653         #PPCR19_D6
105654  
105655 µü19
105656 .
105657 b\99
105658 .
105659 _D6
105660
105661
105662         )
105663
105664 14664 \ 1
105665         #PPCR19_D2
105666  
105667 µü19
105668 .
105669 b\99
105670 .
105671 _D2
105672
105673
105674         )
105675
105676 14665 \ 1
105677         #PPCR19_D1
105678  
105679 µü19
105680 .
105681 b\99
105682 .
105683 _D1
105684
105685
105686         )
105687
105688 14666 \ 1
105689         #PPCR19_D0
105690  
105691 µü19
105692 .
105693 b\99
105694 .
105695 _D0
105696
105697
105698         )
105699
105700 14667 
105701 __IO_EXTERN
105702  
105703 PPCR20STR
105704  
105705         gµü20
105706 ;
105707
105708 14668 \ 1
105709         #PPCR20
105710  
105711 µü20
105712 .
105713 by\8b
105714
105715
105716         )
105717
105718 14669 \ 1
105719         #PPCR20_D7
105720  
105721 µü20
105722 .
105723 b\99
105724 .
105725 _D7
105726
105727
105728         )
105729
105730 14670 \ 1
105731         #PPCR20_D6
105732  
105733 µü20
105734 .
105735 b\99
105736 .
105737 _D6
105738
105739
105740         )
105741
105742 14671 \ 1
105743         #PPCR20_D5
105744  
105745 µü20
105746 .
105747 b\99
105748 .
105749 _D5
105750
105751
105752         )
105753
105754 14672 \ 1
105755         #PPCR20_D4
105756  
105757 µü20
105758 .
105759 b\99
105760 .
105761 _D4
105762
105763
105764         )
105765
105766 14673 \ 1
105767         #PPCR20_D3
105768  
105769 µü20
105770 .
105771 b\99
105772 .
105773 _D3
105774
105775
105776         )
105777
105778 14674 \ 1
105779         #PPCR20_D2
105780  
105781 µü20
105782 .
105783 b\99
105784 .
105785 _D2
105786
105787
105788         )
105789
105790 14675 \ 1
105791         #PPCR20_D1
105792  
105793 µü20
105794 .
105795 b\99
105796 .
105797 _D1
105798
105799
105800         )
105801
105802 14676 \ 1
105803         #PPCR20_D0
105804  
105805 µü20
105806 .
105807 b\99
105808 .
105809 _D0
105810
105811
105812         )
105813
105814 14677 
105815 __IO_EXTERN
105816  
105817 PPCR21STR
105818  
105819         gµü21
105820 ;
105821
105822 14678 \ 1
105823         #PPCR21
105824  
105825 µü21
105826 .
105827 by\8b
105828
105829
105830         )
105831
105832 14679 \ 1
105833         #PPCR21_D7
105834  
105835 µü21
105836 .
105837 b\99
105838 .
105839 _D7
105840
105841
105842         )
105843
105844 14680 \ 1
105845         #PPCR21_D6
105846  
105847 µü21
105848 .
105849 b\99
105850 .
105851 _D6
105852
105853
105854         )
105855
105856 14681 \ 1
105857         #PPCR21_D5
105858  
105859 µü21
105860 .
105861 b\99
105862 .
105863 _D5
105864
105865
105866         )
105867
105868 14682 \ 1
105869         #PPCR21_D4
105870  
105871 µü21
105872 .
105873 b\99
105874 .
105875 _D4
105876
105877
105878         )
105879
105880 14683 \ 1
105881         #PPCR21_D3
105882  
105883 µü21
105884 .
105885 b\99
105886 .
105887 _D3
105888
105889
105890         )
105891
105892 14684 \ 1
105893         #PPCR21_D2
105894  
105895 µü21
105896 .
105897 b\99
105898 .
105899 _D2
105900
105901
105902         )
105903
105904 14685 \ 1
105905         #PPCR21_D1
105906  
105907 µü21
105908 .
105909 b\99
105910 .
105911 _D1
105912
105913
105914         )
105915
105916 14686 \ 1
105917         #PPCR21_D0
105918  
105919 µü21
105920 .
105921 b\99
105922 .
105923 _D0
105924
105925
105926         )
105927
105928 14687 
105929 __IO_EXTERN
105930  
105931 PPCR22STR
105932  
105933         gµü22
105934 ;
105935
105936 14688 \ 1
105937         #PPCR22
105938  
105939 µü22
105940 .
105941 by\8b
105942
105943
105944         )
105945
105946 14689 \ 1
105947         #PPCR22_D5
105948  
105949 µü22
105950 .
105951 b\99
105952 .
105953 _D5
105954
105955
105956         )
105957
105958 14690 \ 1
105959         #PPCR22_D4
105960  
105961 µü22
105962 .
105963 b\99
105964 .
105965 _D4
105966
105967
105968         )
105969
105970 14691 \ 1
105971         #PPCR22_D1
105972  
105973 µü22
105974 .
105975 b\99
105976 .
105977 _D1
105978
105979
105980         )
105981
105982 14692 \ 1
105983         #PPCR22_D0
105984  
105985 µü22
105986 .
105987 b\99
105988 .
105989 _D0
105990
105991
105992         )
105993
105994 14693 
105995 __IO_EXTERN
105996  
105997 PPCR24STR
105998  
105999         gµü24
106000 ;
106001
106002 14694 \ 1
106003         #PPCR24
106004  
106005 µü24
106006 .
106007 by\8b
106008
106009
106010         )
106011
106012 14695 \ 1
106013         #PPCR24_D7
106014  
106015 µü24
106016 .
106017 b\99
106018 .
106019 _D7
106020
106021
106022         )
106023
106024 14696 \ 1
106025         #PPCR24_D6
106026  
106027 µü24
106028 .
106029 b\99
106030 .
106031 _D6
106032
106033
106034         )
106035
106036 14697 \ 1
106037         #PPCR24_D5
106038  
106039 µü24
106040 .
106041 b\99
106042 .
106043 _D5
106044
106045
106046         )
106047
106048 14698 \ 1
106049         #PPCR24_D4
106050  
106051 µü24
106052 .
106053 b\99
106054 .
106055 _D4
106056
106057
106058         )
106059
106060 14699 \ 1
106061         #PPCR24_D3
106062  
106063 µü24
106064 .
106065 b\99
106066 .
106067 _D3
106068
106069
106070         )
106071
106072 14700 \ 1
106073         #PPCR24_D2
106074  
106075 µü24
106076 .
106077 b\99
106078 .
106079 _D2
106080
106081
106082         )
106083
106084 14701 \ 1
106085         #PPCR24_D1
106086  
106087 µü24
106088 .
106089 b\99
106090 .
106091 _D1
106092
106093
106094         )
106095
106096 14702 \ 1
106097         #PPCR24_D0
106098  
106099 µü24
106100 .
106101 b\99
106102 .
106103 _D0
106104
106105
106106         )
106107
106108 14703 
106109 __IO_EXTERN
106110  
106111 PPCR26STR
106112  
106113         gµü26
106114 ;
106115
106116 14704 \ 1
106117         #PPCR26
106118  
106119 µü26
106120 .
106121 by\8b
106122
106123
106124         )
106125
106126 14705 \ 1
106127         #PPCR26_D1
106128  
106129 µü26
106130 .
106131 b\99
106132 .
106133 _D1
106134
106135
106136         )
106137
106138 14706 \ 1
106139         #PPCR26_D0
106140  
106141 µü26
106142 .
106143 b\99
106144 .
106145 _D0
106146
106147
106148         )
106149
106150 14707 
106151 __IO_EXTERN
106152  
106153 PPCR27STR
106154  
106155         gµü27
106156 ;
106157
106158 14708 \ 1
106159         #PPCR27
106160  
106161 µü27
106162 .
106163 by\8b
106164
106165
106166         )
106167
106168 14709 \ 1
106169         #PPCR27_D7
106170  
106171 µü27
106172 .
106173 b\99
106174 .
106175 _D7
106176
106177
106178         )
106179
106180 14710 \ 1
106181         #PPCR27_D6
106182  
106183 µü27
106184 .
106185 b\99
106186 .
106187 _D6
106188
106189
106190         )
106191
106192 14711 \ 1
106193         #PPCR27_D5
106194  
106195 µü27
106196 .
106197 b\99
106198 .
106199 _D5
106200
106201
106202         )
106203
106204 14712 \ 1
106205         #PPCR27_D4
106206  
106207 µü27
106208 .
106209 b\99
106210 .
106211 _D4
106212
106213
106214         )
106215
106216 14713 \ 1
106217         #PPCR27_D3
106218  
106219 µü27
106220 .
106221 b\99
106222 .
106223 _D3
106224
106225
106226         )
106227
106228 14714 \ 1
106229         #PPCR27_D2
106230  
106231 µü27
106232 .
106233 b\99
106234 .
106235 _D2
106236
106237
106238         )
106239
106240 14715 \ 1
106241         #PPCR27_D1
106242  
106243 µü27
106244 .
106245 b\99
106246 .
106247 _D1
106248
106249
106250         )
106251
106252 14716 \ 1
106253         #PPCR27_D0
106254  
106255 µü27
106256 .
106257 b\99
106258 .
106259 _D0
106260
106261
106262         )
106263
106264 14717 
106265 __IO_EXTERN
106266  
106267 PPCR28STR
106268  
106269         gµü28
106270 ;
106271
106272 14718 \ 1
106273         #PPCR28
106274  
106275 µü28
106276 .
106277 by\8b
106278
106279
106280         )
106281
106282 14719 \ 1
106283         #PPCR28_D7
106284  
106285 µü28
106286 .
106287 b\99
106288 .
106289 _D7
106290
106291
106292         )
106293
106294 14720 \ 1
106295         #PPCR28_D6
106296  
106297 µü28
106298 .
106299 b\99
106300 .
106301 _D6
106302
106303
106304         )
106305
106306 14721 \ 1
106307         #PPCR28_D5
106308  
106309 µü28
106310 .
106311 b\99
106312 .
106313 _D5
106314
106315
106316         )
106317
106318 14722 \ 1
106319         #PPCR28_D4
106320  
106321 µü28
106322 .
106323 b\99
106324 .
106325 _D4
106326
106327
106328         )
106329
106330 14723 \ 1
106331         #PPCR28_D3
106332  
106333 µü28
106334 .
106335 b\99
106336 .
106337 _D3
106338
106339
106340         )
106341
106342 14724 \ 1
106343         #PPCR28_D2
106344  
106345 µü28
106346 .
106347 b\99
106348 .
106349 _D2
106350
106351
106352         )
106353
106354 14725 \ 1
106355         #PPCR28_D1
106356  
106357 µü28
106358 .
106359 b\99
106360 .
106361 _D1
106362
106363
106364         )
106365
106366 14726 \ 1
106367         #PPCR28_D0
106368  
106369 µü28
106370 .
106371 b\99
106372 .
106373 _D0
106374
106375
106376         )
106377
106378 14727 
106379 __IO_EXTERN
106380  
106381 PPCR29STR
106382  
106383         gµü29
106384 ;
106385
106386 14728 \ 1
106387         #PPCR29
106388  
106389 µü29
106390 .
106391 by\8b
106392
106393
106394         )
106395
106396 14729 \ 1
106397         #PPCR29_D7
106398  
106399 µü29
106400 .
106401 b\99
106402 .
106403 _D7
106404
106405
106406         )
106407
106408 14730 \ 1
106409         #PPCR29_D6
106410  
106411 µü29
106412 .
106413 b\99
106414 .
106415 _D6
106416
106417
106418         )
106419
106420 14731 \ 1
106421         #PPCR29_D5
106422  
106423 µü29
106424 .
106425 b\99
106426 .
106427 _D5
106428
106429
106430         )
106431
106432 14732 \ 1
106433         #PPCR29_D4
106434  
106435 µü29
106436 .
106437 b\99
106438 .
106439 _D4
106440
106441
106442         )
106443
106444 14733 \ 1
106445         #PPCR29_D3
106446  
106447 µü29
106448 .
106449 b\99
106450 .
106451 _D3
106452
106453
106454         )
106455
106456 14734 \ 1
106457         #PPCR29_D2
106458  
106459 µü29
106460 .
106461 b\99
106462 .
106463 _D2
106464
106465
106466         )
106467
106468 14735 \ 1
106469         #PPCR29_D1
106470  
106471 µü29
106472 .
106473 b\99
106474 .
106475 _D1
106476
106477
106478         )
106479
106480 14736 \ 1
106481         #PPCR29_D0
106482  
106483 µü29
106484 .
106485 b\99
106486 .
106487 _D0
106488
106489
106490         )
106491
106492 14737 
106493 __IO_EXTERN
106494  
106495 IO_LWORD
106496  
106497         gdma§0
106498 ;
106499
106500 14738 \ 1
106501         #DMASA0
106502  
106503 dma§0
106504
106505
106506         )
106507
106508 14739 
106509 __IO_EXTERN
106510  
106511 IO_LWORD
106512  
106513         gdmada0
106514 ;
106515
106516 14740 \ 1
106517         #DMADA0
106518  
106519 dmada0
106520
106521
106522         )
106523
106524 14741 
106525 __IO_EXTERN
106526  
106527 IO_LWORD
106528  
106529         gdma§1
106530 ;
106531
106532 14742 \ 1
106533         #DMASA1
106534  
106535 dma§1
106536
106537
106538         )
106539
106540 14743 
106541 __IO_EXTERN
106542  
106543 IO_LWORD
106544  
106545         gdmada1
106546 ;
106547
106548 14744 \ 1
106549         #DMADA1
106550  
106551 dmada1
106552
106553
106554         )
106555
106556 14745 
106557 __IO_EXTERN
106558  
106559 IO_LWORD
106560  
106561         gdma§2
106562 ;
106563
106564 14746 \ 1
106565         #DMASA2
106566  
106567 dma§2
106568
106569
106570         )
106571
106572 14747 
106573 __IO_EXTERN
106574  
106575 IO_LWORD
106576  
106577         gdmada2
106578 ;
106579
106580 14748 \ 1
106581         #DMADA2
106582  
106583 dmada2
106584
106585
106586         )
106587
106588 14749 
106589 __IO_EXTERN
106590  
106591 IO_LWORD
106592  
106593         gdma§3
106594 ;
106595
106596 14750 \ 1
106597         #DMASA3
106598  
106599 dma§3
106600
106601
106602         )
106603
106604 14751 
106605 __IO_EXTERN
106606  
106607 IO_LWORD
106608  
106609         gdmada3
106610 ;
106611
106612 14752 \ 1
106613         #DMADA3
106614  
106615 dmada3
106616
106617
106618         )
106619
106620 14753 
106621 __IO_EXTERN
106622  
106623 IO_LWORD
106624  
106625         gdma§4
106626 ;
106627
106628 14754 \ 1
106629         #DMASA4
106630  
106631 dma§4
106632
106633
106634         )
106635
106636 14755 
106637 __IO_EXTERN
106638  
106639 IO_LWORD
106640  
106641         gdmada4
106642 ;
106643
106644 14756 \ 1
106645         #DMADA4
106646  
106647 dmada4
106648
106649
106650         )
106651
106652 14757 
106653 __IO_EXTERN
106654  
106655 FMCSSTR
106656  
106657         gfmcs
106658 ;
106659
106660 14758 \ 1
106661         #FMCS
106662  
106663 fmcs
106664 .
106665 by\8b
106666
106667
106668         )
106669
106670 14759 \ 1
106671         #FMCS_ASYNC
106672  
106673 fmcs
106674 .
106675 b\99
106676 .
106677 _ASYNC
106678
106679
106680         )
106681
106682 14760 \ 1
106683         #FMCS_FIXE
106684  
106685 fmcs
106686 .
106687 b\99
106688 .
106689 _FIXE
106690
106691
106692         )
106693
106694 14761 \ 1
106695         #FMCS_BIRE
106696  
106697 fmcs
106698 .
106699 b\99
106700 .
106701 _BIRE
106702
106703
106704         )
106705
106706 14762 \ 1
106707         #FMCS_RDYEG
106708  
106709 fmcs
106710 .
106711 b\99
106712 .
106713 _RDYEG
106714
106715
106716         )
106717
106718 14763 \ 1
106719         #FMCS_RDY
106720  
106721 fmcs
106722 .
106723 b\99
106724 .
106725 _RDY
106726
106727
106728         )
106729
106730 14764 \ 1
106731         #FMCS_RDYI
106732  
106733 fmcs
106734 .
106735 b\99
106736 .
106737 _RDYI
106738
106739
106740         )
106741
106742 14765 \ 1
106743         #FMCS_RW16
106744  
106745 fmcs
106746 .
106747 b\99
106748 .
106749 _RW16
106750
106751
106752         )
106753
106754 14766 \ 1
106755         #FMCS_LPM
106756  
106757 fmcs
106758 .
106759 b\99
106760 .
106761 _LPM
106762
106763
106764         )
106765
106766 14767 
106767 __IO_EXTERN
106768  
106769 FMCRSTR
106770  
106771         gfmü
106772 ;
106773
106774 14768 \ 1
106775         #FMCR
106776  
106777 fmü
106778 .
106779 by\8b
106780
106781
106782         )
106783
106784 14769 \ 1
106785         #FMCR_LOCK
106786  
106787 fmü
106788 .
106789 b\99
106790 .
106791 _LOCK
106792
106793
106794         )
106795
106796 14770 \ 1
106797         #FMCR_PHASE
106798  
106799 fmü
106800 .
106801 b\99
106802 .
106803 _PHASE
106804
106805
106806         )
106807
106808 14771 \ 1
106809         #FMCR_PF2I
106810  
106811 fmü
106812 .
106813 b\99
106814 .
106815 _PF2I
106816
106817
106818         )
106819
106820 14772 \ 1
106821         #FMCR_RD64
106822  
106823 fmü
106824 .
106825 b\99
106826 .
106827 _RD64
106828
106829
106830         )
106831
106832 14773 
106833 __IO_EXTERN
106834  
106835 FCHCRSTR
106836  
106837         gfchü
106838 ;
106839
106840 14774 \ 1
106841         #FCHCR
106842  
106843 fchü
106844 .
106845 wÜd
106846
106847
106848         )
106849
106850 14775 \ 1
106851         #FCHCR_REN
106852  
106853 fchü
106854 .
106855 b\99
106856 .
106857 _REN
106858
106859
106860         )
106861
106862 14776 \ 1
106863         #FCHCR_TAGE
106864  
106865 fchü
106866 .
106867 b\99
106868 .
106869 _TAGE
106870
106871
106872         )
106873
106874 14777 \ 1
106875         #FCHCR_FLUSH
106876  
106877 fchü
106878 .
106879 b\99
106880 .
106881 _FLUSH
106882
106883
106884         )
106885
106886 14778 \ 1
106887         #FCHCR_DBEN
106888  
106889 fchü
106890 .
106891 b\99
106892 .
106893 _DBEN
106894
106895
106896         )
106897
106898 14779 \ 1
106899         #FCHCR_PFEN
106900  
106901 fchü
106902 .
106903 b\99
106904 .
106905 _PFEN
106906
106907
106908         )
106909
106910 14780 \ 1
106911         #FCHCR_PFMC
106912  
106913 fchü
106914 .
106915 b\99
106916 .
106917 _PFMC
106918
106919
106920         )
106921
106922 14781 \ 1
106923         #FCHCR_LOCK
106924  
106925 fchü
106926 .
106927 b\99
106928 .
106929 _LOCK
106930
106931
106932         )
106933
106934 14782 \ 1
106935         #FCHCR_ENAB
106936  
106937 fchü
106938 .
106939 b\99
106940 .
106941 _ENAB
106942
106943
106944         )
106945
106946 14783 \ 1
106947         #FCHCR_SIZE1
106948  
106949 fchü
106950 .
106951 b\99
106952 .
106953 _SIZE1
106954
106955
106956         )
106957
106958 14784 \ 1
106959         #FCHCR_SIZE0
106960  
106961 fchü
106962 .
106963 b\99
106964 .
106965 _SIZE0
106966
106967
106968         )
106969
106970 14785 \ 1
106971         #FCHCR_SIZE
106972  
106973 fchü
106974 .
106975 b\99c
106976 .
106977 _SIZE
106978
106979
106980         )
106981
106982 14786 
106983 __IO_EXTERN
106984  
106985 FMWTSTR
106986  
106987         gfmwt
106988 ;
106989
106990 14787 \ 1
106991         #FMWT
106992  
106993 fmwt
106994 .
106995 wÜd
106996
106997
106998         )
106999
107000 14788 \ 1
107001         #FMWT_WTP1
107002  
107003 fmwt
107004 .
107005 b\99
107006 .
107007 _WTP1
107008
107009
107010         )
107011
107012 14789 \ 1
107013         #FMWT_WTP0
107014  
107015 fmwt
107016 .
107017 b\99
107018 .
107019 _WTP0
107020
107021
107022         )
107023
107024 14790 \ 1
107025         #FMWT_WEXH1
107026  
107027 fmwt
107028 .
107029 b\99
107030 .
107031 _WEXH1
107032
107033
107034         )
107035
107036 14791 \ 1
107037         #FMWT_WEXH0
107038  
107039 fmwt
107040 .
107041 b\99
107042 .
107043 _WEXH0
107044
107045
107046         )
107047
107048 14792 \ 1
107049         #FMWT_WTC3
107050  
107051 fmwt
107052 .
107053 b\99
107054 .
107055 _WTC3
107056
107057
107058         )
107059
107060 14793 \ 1
107061         #FMWT_WTC2
107062  
107063 fmwt
107064 .
107065 b\99
107066 .
107067 _WTC2
107068
107069
107070         )
107071
107072 14794 \ 1
107073         #FMWT_WTC1
107074  
107075 fmwt
107076 .
107077 b\99
107078 .
107079 _WTC1
107080
107081
107082         )
107083
107084 14795 \ 1
107085         #FMWT_WTC0
107086  
107087 fmwt
107088 .
107089 b\99
107090 .
107091 _WTC0
107092
107093
107094         )
107095
107096 14796 \ 1
107097         #FMWT_FRAM
107098  
107099 fmwt
107100 .
107101 b\99
107102 .
107103 _FRAM
107104
107105
107106         )
107107
107108 14797 \ 1
107109         #FMWT_ATD2
107110  
107111 fmwt
107112 .
107113 b\99
107114 .
107115 _ATD2
107116
107117
107118         )
107119
107120 14798 \ 1
107121         #FMWT_ATD1
107122  
107123 fmwt
107124 .
107125 b\99
107126 .
107127 _ATD1
107128
107129
107130         )
107131
107132 14799 \ 1
107133         #FMWT_ATD0
107134  
107135 fmwt
107136 .
107137 b\99
107138 .
107139 _ATD0
107140
107141
107142         )
107143
107144 14800 \ 1
107145         #FMWT_EQ3
107146  
107147 fmwt
107148 .
107149 b\99
107150 .
107151 _EQ3
107152
107153
107154         )
107155
107156 14801 \ 1
107157         #FMWT_EQ2
107158  
107159 fmwt
107160 .
107161 b\99
107162 .
107163 _EQ2
107164
107165
107166         )
107167
107168 14802 \ 1
107169         #FMWT_EQ1
107170  
107171 fmwt
107172 .
107173 b\99
107174 .
107175 _EQ1
107176
107177
107178         )
107179
107180 14803 \ 1
107181         #FMWT_EQ0
107182  
107183 fmwt
107184 .
107185 b\99
107186 .
107187 _EQ0
107188
107189
107190         )
107191
107192 14804 \ 1
107193         #FMWT_WTP
107194  
107195 fmwt
107196 .
107197 b\99c
107198 .
107199 _WTP
107200
107201
107202         )
107203
107204 14805 \ 1
107205         #FMWT_WEXH
107206  
107207 fmwt
107208 .
107209 b\99c
107210 .
107211 _WEXH
107212
107213
107214         )
107215
107216 14806 \ 1
107217         #FMWT_WTC
107218  
107219 fmwt
107220 .
107221 b\99c
107222 .
107223 _WTC
107224
107225
107226         )
107227
107228 14807 \ 1
107229         #FMWT_ATD
107230  
107231 fmwt
107232 .
107233 b\99c
107234 .
107235 _ATD
107236
107237
107238         )
107239
107240 14808 \ 1
107241         #FMWT_EQ
107242  
107243 fmwt
107244 .
107245 b\99c
107246 .
107247 _EQ
107248
107249
107250         )
107251
107252 14809 
107253 __IO_EXTERN
107254  
107255 FMWT2STR
107256  
107257         gfmwt2
107258 ;
107259
107260 14810 \ 1
107261         #FMWT2
107262  
107263 fmwt2
107264 .
107265 by\8b
107266
107267
107268         )
107269
107270 14811 \ 1
107271         #FMWT2_ALEH2
107272  
107273 fmwt2
107274 .
107275 b\99
107276 .
107277 _ALEH2
107278
107279
107280         )
107281
107282 14812 \ 1
107283         #FMWT2_ALEH1
107284  
107285 fmwt2
107286 .
107287 b\99
107288 .
107289 _ALEH1
107290
107291
107292         )
107293
107294 14813 \ 1
107295         #FMWT2_ALEH0
107296  
107297 fmwt2
107298 .
107299 b\99
107300 .
107301 _ALEH0
107302
107303
107304         )
107305
107306 14814 \ 1
107307         #FMWT2_ALEH
107308  
107309 fmwt2
107310 .
107311 b\99c
107312 .
107313 _ALEH
107314
107315
107316         )
107317
107318 14815 
107319 __IO_EXTERN
107320  
107321 FMPSSTR
107322  
107323         gfmps
107324 ;
107325
107326 14816 \ 1
107327         #FMPS
107328  
107329 fmps
107330 .
107331 by\8b
107332
107333
107334         )
107335
107336 14817 \ 1
107337         #FMPS_PS2
107338  
107339 fmps
107340 .
107341 b\99
107342 .
107343 _PS2
107344
107345
107346         )
107347
107348 14818 \ 1
107349         #FMPS_PS1
107350  
107351 fmps
107352 .
107353 b\99
107354 .
107355 _PS1
107356
107357
107358         )
107359
107360 14819 \ 1
107361         #FMPS_PS0
107362  
107363 fmps
107364 .
107365 b\99
107366 .
107367 _PS0
107368
107369
107370         )
107371
107372 14820 \ 1
107373         #FMPS_PS
107374  
107375 fmps
107376 .
107377 b\99c
107378 .
107379 _PS
107380
107381
107382         )
107383
107384 14821 
107385 __IO_EXTERN
107386  
107387 IO_LWORD
107388  
107389         gfmac
107390 ;
107391
107392 14822 \ 1
107393         #FMAC
107394  
107395 fmac
107396
107397
107398         )
107399
107400 14823 
107401 __IO_EXTERN
107402  
107403 IO_LWORD
107404  
107405         gfcha0
107406 ;
107407
107408 14824 \ 1
107409         #FCHA0
107410  
107411 fcha0
107412
107413
107414         )
107415
107416 14825 
107417 __IO_EXTERN
107418  
107419 IO_LWORD
107420  
107421         gfcha1
107422 ;
107423
107424 14826 \ 1
107425         #FCHA1
107426  
107427 fcha1
107428
107429
107430         )
107431
107432 14827 
107433 __IO_EXTERN
107434  
107435 FSCR0STR
107436  
107437         gfsü0
107438 ;
107439
107440 14828 \ 1
107441         #FSCR0
107442  
107443 fsü0
107444 .
107445 lwÜd
107446
107447
107448         )
107449
107450 14829 \ 1
107451         #FSCR0_CRC31
107452  
107453 fsü0
107454 .
107455 b\99
107456 .
107457 _CRC31
107458
107459
107460         )
107461
107462 14830 \ 1
107463         #FSCR0_CRC30
107464  
107465 fsü0
107466 .
107467 b\99
107468 .
107469 _CRC30
107470
107471
107472         )
107473
107474 14831 \ 1
107475         #FSCR0_CRC29
107476  
107477 fsü0
107478 .
107479 b\99
107480 .
107481 _CRC29
107482
107483
107484         )
107485
107486 14832 \ 1
107487         #FSCR0_CRC28
107488  
107489 fsü0
107490 .
107491 b\99
107492 .
107493 _CRC28
107494
107495
107496         )
107497
107498 14833 \ 1
107499         #FSCR0_CRC27
107500  
107501 fsü0
107502 .
107503 b\99
107504 .
107505 _CRC27
107506
107507
107508         )
107509
107510 14834 \ 1
107511         #FSCR0_CRC26
107512  
107513 fsü0
107514 .
107515 b\99
107516 .
107517 _CRC26
107518
107519
107520         )
107521
107522 14835 \ 1
107523         #FSCR0_CRC25
107524  
107525 fsü0
107526 .
107527 b\99
107528 .
107529 _CRC25
107530
107531
107532         )
107533
107534 14836 \ 1
107535         #FSCR0_CRC24
107536  
107537 fsü0
107538 .
107539 b\99
107540 .
107541 _CRC24
107542
107543
107544         )
107545
107546 14837 \ 1
107547         #FSCR0_CRC23
107548  
107549 fsü0
107550 .
107551 b\99
107552 .
107553 _CRC23
107554
107555
107556         )
107557
107558 14838 \ 1
107559         #FSCR0_CRC22
107560  
107561 fsü0
107562 .
107563 b\99
107564 .
107565 _CRC22
107566
107567
107568         )
107569
107570 14839 \ 1
107571         #FSCR0_CRC21
107572  
107573 fsü0
107574 .
107575 b\99
107576 .
107577 _CRC21
107578
107579
107580         )
107581
107582 14840 \ 1
107583         #FSCR0_CRC20
107584  
107585 fsü0
107586 .
107587 b\99
107588 .
107589 _CRC20
107590
107591
107592         )
107593
107594 14841 \ 1
107595         #FSCR0_CRC19
107596  
107597 fsü0
107598 .
107599 b\99
107600 .
107601 _CRC19
107602
107603
107604         )
107605
107606 14842 \ 1
107607         #FSCR0_CRC18
107608  
107609 fsü0
107610 .
107611 b\99
107612 .
107613 _CRC18
107614
107615
107616         )
107617
107618 14843 \ 1
107619         #FSCR0_CRC17
107620  
107621 fsü0
107622 .
107623 b\99
107624 .
107625 _CRC17
107626
107627
107628         )
107629
107630 14844 \ 1
107631         #FSCR0_CRC16
107632  
107633 fsü0
107634 .
107635 b\99
107636 .
107637 _CRC16
107638
107639
107640         )
107641
107642 14845 \ 1
107643         #FSCR0_CRC15
107644  
107645 fsü0
107646 .
107647 b\99
107648 .
107649 _CRC15
107650
107651
107652         )
107653
107654 14846 \ 1
107655         #FSCR0_CRC14
107656  
107657 fsü0
107658 .
107659 b\99
107660 .
107661 _CRC14
107662
107663
107664         )
107665
107666 14847 \ 1
107667         #FSCR0_CRC13
107668  
107669 fsü0
107670 .
107671 b\99
107672 .
107673 _CRC13
107674
107675
107676         )
107677
107678 14848 \ 1
107679         #FSCR0_CRC12
107680  
107681 fsü0
107682 .
107683 b\99
107684 .
107685 _CRC12
107686
107687
107688         )
107689
107690 14849 \ 1
107691         #FSCR0_CRC11
107692  
107693 fsü0
107694 .
107695 b\99
107696 .
107697 _CRC11
107698
107699
107700         )
107701
107702 14850 \ 1
107703         #FSCR0_CRC10
107704  
107705 fsü0
107706 .
107707 b\99
107708 .
107709 _CRC10
107710
107711
107712         )
107713
107714 14851 \ 1
107715         #FSCR0_CRC9
107716  
107717 fsü0
107718 .
107719 b\99
107720 .
107721 _CRC9
107722
107723
107724         )
107725
107726 14852 \ 1
107727         #FSCR0_CRC8
107728  
107729 fsü0
107730 .
107731 b\99
107732 .
107733 _CRC8
107734
107735
107736         )
107737
107738 14853 \ 1
107739         #FSCR0_CRC7
107740  
107741 fsü0
107742 .
107743 b\99
107744 .
107745 _CRC7
107746
107747
107748         )
107749
107750 14854 \ 1
107751         #FSCR0_CRC6
107752  
107753 fsü0
107754 .
107755 b\99
107756 .
107757 _CRC6
107758
107759
107760         )
107761
107762 14855 \ 1
107763         #FSCR0_CRC5
107764  
107765 fsü0
107766 .
107767 b\99
107768 .
107769 _CRC5
107770
107771
107772         )
107773
107774 14856 \ 1
107775         #FSCR0_CRC4
107776  
107777 fsü0
107778 .
107779 b\99
107780 .
107781 _CRC4
107782
107783
107784         )
107785
107786 14857 \ 1
107787         #FSCR0_CRC3
107788  
107789 fsü0
107790 .
107791 b\99
107792 .
107793 _CRC3
107794
107795
107796         )
107797
107798 14858 \ 1
107799         #FSCR0_CRC2
107800  
107801 fsü0
107802 .
107803 b\99
107804 .
107805 _CRC2
107806
107807
107808         )
107809
107810 14859 \ 1
107811         #FSCR0_CRC1
107812  
107813 fsü0
107814 .
107815 b\99
107816 .
107817 _CRC1
107818
107819
107820         )
107821
107822 14860 \ 1
107823         #FSCR0_CRC0
107824  
107825 fsü0
107826 .
107827 b\99
107828 .
107829 _CRC0
107830
107831
107832         )
107833
107834 14861 
107835 __IO_EXTERN
107836  
107837 FSCR1STR
107838  
107839         gfsü1
107840 ;
107841
107842 14862 \ 1
107843         #FSCR1
107844  
107845 fsü1
107846 .
107847 lwÜd
107848
107849
107850         )
107851
107852 14863 \ 1
107853         #FSCR1_RDY
107854  
107855 fsü1
107856 .
107857 b\99
107858 .
107859 _RDY
107860
107861
107862         )
107863
107864 14864 \ 1
107865         #FSCR1_CSZ3
107866  
107867 fsü1
107868 .
107869 b\99
107870 .
107871 _CSZ3
107872
107873
107874         )
107875
107876 14865 \ 1
107877         #FSCR1_CSZ2
107878  
107879 fsü1
107880 .
107881 b\99
107882 .
107883 _CSZ2
107884
107885
107886         )
107887
107888 14866 \ 1
107889         #FSCR1_CSZ1
107890  
107891 fsü1
107892 .
107893 b\99
107894 .
107895 _CSZ1
107896
107897
107898         )
107899
107900 14867 \ 1
107901         #FSCR1_CSZ0
107902  
107903 fsü1
107904 .
107905 b\99
107906 .
107907 _CSZ0
107908
107909
107910         )
107911
107912 14868 \ 1
107913         #FSCR1_CSA15
107914  
107915 fsü1
107916 .
107917 b\99
107918 .
107919 _CSA15
107920
107921
107922         )
107923
107924 14869 \ 1
107925         #FSCR1_CSA14
107926  
107927 fsü1
107928 .
107929 b\99
107930 .
107931 _CSA14
107932
107933
107934         )
107935
107936 14870 \ 1
107937         #FSCR1_CSA13
107938  
107939 fsü1
107940 .
107941 b\99
107942 .
107943 _CSA13
107944
107945
107946         )
107947
107948 14871 \ 1
107949         #FSCR1_CSA12
107950  
107951 fsü1
107952 .
107953 b\99
107954 .
107955 _CSA12
107956
107957
107958         )
107959
107960 14872 \ 1
107961         #FSCR1_CSA11
107962  
107963 fsü1
107964 .
107965 b\99
107966 .
107967 _CSA11
107968
107969
107970         )
107971
107972 14873 \ 1
107973         #FSCR1_CSA10
107974  
107975 fsü1
107976 .
107977 b\99
107978 .
107979 _CSA10
107980
107981
107982         )
107983
107984 14874 \ 1
107985         #FSCR1_CSA9
107986  
107987 fsü1
107988 .
107989 b\99
107990 .
107991 _CSA9
107992
107993
107994         )
107995
107996 14875 \ 1
107997         #FSCR1_CSA8
107998  
107999 fsü1
108000 .
108001 b\99
108002 .
108003 _CSA8
108004
108005
108006         )
108007
108008 14876 \ 1
108009         #FSCR1_CSA7
108010  
108011 fsü1
108012 .
108013 b\99
108014 .
108015 _CSA7
108016
108017
108018         )
108019
108020 14877 \ 1
108021         #FSCR1_CSA6
108022  
108023 fsü1
108024 .
108025 b\99
108026 .
108027 _CSA6
108028
108029
108030         )
108031
108032 14878 \ 1
108033         #FSCR1_CSA5
108034  
108035 fsü1
108036 .
108037 b\99
108038 .
108039 _CSA5
108040
108041
108042         )
108043
108044 14879 \ 1
108045         #FSCR1_CSA4
108046  
108047 fsü1
108048 .
108049 b\99
108050 .
108051 _CSA4
108052
108053
108054         )
108055
108056 14880 \ 1
108057         #FSCR1_CSA3
108058  
108059 fsü1
108060 .
108061 b\99
108062 .
108063 _CSA3
108064
108065
108066         )
108067
108068 14881 \ 1
108069         #FSCR1_CSA2
108070  
108071 fsü1
108072 .
108073 b\99
108074 .
108075 _CSA2
108076
108077
108078         )
108079
108080 14882 \ 1
108081         #FSCR1_CSA1
108082  
108083 fsü1
108084 .
108085 b\99
108086 .
108087 _CSA1
108088
108089
108090         )
108091
108092 14883 \ 1
108093         #FSCR1_CSA0
108094  
108095 fsü1
108096 .
108097 b\99
108098 .
108099 _CSA0
108100
108101
108102         )
108103
108104 14884 \ 1
108105         #FSCR1_CSZ
108106  
108107 fsü1
108108 .
108109 b\99c
108110 .
108111 _CSZ
108112
108113
108114         )
108115
108116 14885 
108117 __IO_EXTERN
108118  
108119 CTRLR4STR
108120  
108121         gù¾r4
108122 ;
108123
108124 14886 \ 1
108125         #CTRLR4
108126  
108127 ù¾r4
108128 .
108129 wÜd
108130
108131
108132         )
108133
108134 14887 \ 1
108135         #CTRLR4_Te¡
108136  
108137 ù¾r4
108138 .
108139 b\99
108140 .
108141 _Te¡
108142
108143
108144         )
108145
108146 14888 \ 1
108147         #CTRLR4_CCE
108148  
108149 ù¾r4
108150 .
108151 b\99
108152 .
108153 _CCE
108154
108155
108156         )
108157
108158 14889 \ 1
108159         #CTRLR4_DAR
108160  
108161 ù¾r4
108162 .
108163 b\99
108164 .
108165 _DAR
108166
108167
108168         )
108169
108170 14890 \ 1
108171         #CTRLR4_EIE
108172  
108173 ù¾r4
108174 .
108175 b\99
108176 .
108177 _EIE
108178
108179
108180         )
108181
108182 14891 \ 1
108183         #CTRLR4_SIE
108184  
108185 ù¾r4
108186 .
108187 b\99
108188 .
108189 _SIE
108190
108191
108192         )
108193
108194 14892 \ 1
108195         #CTRLR4_IE
108196  
108197 ù¾r4
108198 .
108199 b\99
108200 .
108201 _IE
108202
108203
108204         )
108205
108206 14893 \ 1
108207         #CTRLR4_In\99
108208  
108209 ù¾r4
108210 .
108211 b\99
108212 .
108213 _In\99
108214
108215
108216         )
108217
108218 14894 
108219 __IO_EXTERN
108220  
108221 STATR4STR
108222  
108223         g¡©r4
108224 ;
108225
108226 14895 \ 1
108227         #STATR4
108228  
108229 ¡©r4
108230 .
108231 wÜd
108232
108233
108234         )
108235
108236 14896 \ 1
108237         #STATR4_BOff
108238  
108239 ¡©r4
108240 .
108241 b\99
108242 .
108243 _BOff
108244
108245
108246         )
108247
108248 14897 \ 1
108249         #STATR4_EW¬n
108250  
108251 ¡©r4
108252 .
108253 b\99
108254 .
108255 _EW¬n
108256
108257
108258         )
108259
108260 14898 \ 1
108261         #STATR4_EPass
108262  
108263 ¡©r4
108264 .
108265 b\99
108266 .
108267 _EPass
108268
108269
108270         )
108271
108272 14899 \ 1
108273         #STATR4_RxOK
108274  
108275 ¡©r4
108276 .
108277 b\99
108278 .
108279 _RxOK
108280
108281
108282         )
108283
108284 14900 \ 1
108285         #STATR4_TxOK
108286  
108287 ¡©r4
108288 .
108289 b\99
108290 .
108291 _TxOK
108292
108293
108294         )
108295
108296 14901 \ 1
108297         #STATR4_LEC2
108298  
108299 ¡©r4
108300 .
108301 b\99
108302 .
108303 _LEC2
108304
108305
108306         )
108307
108308 14902 \ 1
108309         #STATR4_LEC1
108310  
108311 ¡©r4
108312 .
108313 b\99
108314 .
108315 _LEC1
108316
108317
108318         )
108319
108320 14903 \ 1
108321         #STATR4_LEC0
108322  
108323 ¡©r4
108324 .
108325 b\99
108326 .
108327 _LEC0
108328
108329
108330         )
108331
108332 14904 \ 1
108333         #STATR4_LEC
108334  
108335 ¡©r4
108336 .
108337 b\99c
108338 .
108339 _LEC
108340
108341
108342         )
108343
108344 14905 
108345 __IO_EXTERN
108346  
108347 ERRCNT4STR
108348  
108349         g\94rút4
108350 ;
108351
108352 14906 \ 1
108353         #ERRCNT4
108354  
108355 \94rút4
108356 .
108357 wÜd
108358
108359
108360         )
108361
108362 14907 \ 1
108363         #ERRCNT4_RP
108364  
108365 \94rút4
108366 .
108367 b\99
108368 .
108369 _RP
108370
108371
108372         )
108373
108374 14908 \ 1
108375         #ERRCNT4_REC6
108376  
108377 \94rút4
108378 .
108379 b\99
108380 .
108381 _REC6
108382
108383
108384         )
108385
108386 14909 \ 1
108387         #ERRCNT4_REC5
108388  
108389 \94rút4
108390 .
108391 b\99
108392 .
108393 _REC5
108394
108395
108396         )
108397
108398 14910 \ 1
108399         #ERRCNT4_REC4
108400  
108401 \94rút4
108402 .
108403 b\99
108404 .
108405 _REC4
108406
108407
108408         )
108409
108410 14911 \ 1
108411         #ERRCNT4_REC3
108412  
108413 \94rút4
108414 .
108415 b\99
108416 .
108417 _REC3
108418
108419
108420         )
108421
108422 14912 \ 1
108423         #ERRCNT4_REC2
108424  
108425 \94rút4
108426 .
108427 b\99
108428 .
108429 _REC2
108430
108431
108432         )
108433
108434 14913 \ 1
108435         #ERRCNT4_REC1
108436  
108437 \94rút4
108438 .
108439 b\99
108440 .
108441 _REC1
108442
108443
108444         )
108445
108446 14914 \ 1
108447         #ERRCNT4_REC0
108448  
108449 \94rút4
108450 .
108451 b\99
108452 .
108453 _REC0
108454
108455
108456         )
108457
108458 14915 \ 1
108459         #ERRCNT4_TEC7
108460  
108461 \94rút4
108462 .
108463 b\99
108464 .
108465 _TEC7
108466
108467
108468         )
108469
108470 14916 \ 1
108471         #ERRCNT4_TEC6
108472  
108473 \94rút4
108474 .
108475 b\99
108476 .
108477 _TEC6
108478
108479
108480         )
108481
108482 14917 \ 1
108483         #ERRCNT4_TEC5
108484  
108485 \94rút4
108486 .
108487 b\99
108488 .
108489 _TEC5
108490
108491
108492         )
108493
108494 14918 \ 1
108495         #ERRCNT4_TEC4
108496  
108497 \94rút4
108498 .
108499 b\99
108500 .
108501 _TEC4
108502
108503
108504         )
108505
108506 14919 \ 1
108507         #ERRCNT4_TEC3
108508  
108509 \94rút4
108510 .
108511 b\99
108512 .
108513 _TEC3
108514
108515
108516         )
108517
108518 14920 \ 1
108519         #ERRCNT4_TEC2
108520  
108521 \94rút4
108522 .
108523 b\99
108524 .
108525 _TEC2
108526
108527
108528         )
108529
108530 14921 \ 1
108531         #ERRCNT4_TEC1
108532  
108533 \94rút4
108534 .
108535 b\99
108536 .
108537 _TEC1
108538
108539
108540         )
108541
108542 14922 \ 1
108543         #ERRCNT4_TEC0
108544  
108545 \94rút4
108546 .
108547 b\99
108548 .
108549 _TEC0
108550
108551
108552         )
108553
108554 14923 \ 1
108555         #ERRCNT4_REC
108556  
108557 \94rút4
108558 .
108559 b\99c
108560 .
108561 _REC
108562
108563
108564         )
108565
108566 14924 \ 1
108567         #ERRCNT4_TEC
108568  
108569 \94rút4
108570 .
108571 b\99c
108572 .
108573 _TEC
108574
108575
108576         )
108577
108578 14925 
108579 __IO_EXTERN
108580  
108581 BTR4STR
108582  
108583         gb\8c4
108584 ;
108585
108586 14926 \ 1
108587         #BTR4
108588  
108589 b\8c4
108590 .
108591 wÜd
108592
108593
108594         )
108595
108596 14927 \ 1
108597         #BTR4_T£g22
108598  
108599 b\8c4
108600 .
108601 b\99
108602 .
108603 _T£g22
108604
108605
108606         )
108607
108608 14928 \ 1
108609         #BTR4_T£g21
108610  
108611 b\8c4
108612 .
108613 b\99
108614 .
108615 _T£g21
108616
108617
108618         )
108619
108620 14929 \ 1
108621         #BTR4_T£g20
108622  
108623 b\8c4
108624 .
108625 b\99
108626 .
108627 _T£g20
108628
108629
108630         )
108631
108632 14930 \ 1
108633         #BTR4_T£g13
108634  
108635 b\8c4
108636 .
108637 b\99
108638 .
108639 _T£g13
108640
108641
108642         )
108643
108644 14931 \ 1
108645         #BTR4_T£g12
108646  
108647 b\8c4
108648 .
108649 b\99
108650 .
108651 _T£g12
108652
108653
108654         )
108655
108656 14932 \ 1
108657         #BTR4_T£g11
108658  
108659 b\8c4
108660 .
108661 b\99
108662 .
108663 _T£g11
108664
108665
108666         )
108667
108668 14933 \ 1
108669         #BTR4_T£g10
108670  
108671 b\8c4
108672 .
108673 b\99
108674 .
108675 _T£g10
108676
108677
108678         )
108679
108680 14934 \ 1
108681         #BTR4_SJW1
108682  
108683 b\8c4
108684 .
108685 b\99
108686 .
108687 _SJW1
108688
108689
108690         )
108691
108692 14935 \ 1
108693         #BTR4_SJW0
108694  
108695 b\8c4
108696 .
108697 b\99
108698 .
108699 _SJW0
108700
108701
108702         )
108703
108704 14936 \ 1
108705         #BTR4_BRP5
108706  
108707 b\8c4
108708 .
108709 b\99
108710 .
108711 _BRP5
108712
108713
108714         )
108715
108716 14937 \ 1
108717         #BTR4_BRP4
108718  
108719 b\8c4
108720 .
108721 b\99
108722 .
108723 _BRP4
108724
108725
108726         )
108727
108728 14938 \ 1
108729         #BTR4_BRP3
108730  
108731 b\8c4
108732 .
108733 b\99
108734 .
108735 _BRP3
108736
108737
108738         )
108739
108740 14939 \ 1
108741         #BTR4_BRP2
108742  
108743 b\8c4
108744 .
108745 b\99
108746 .
108747 _BRP2
108748
108749
108750         )
108751
108752 14940 \ 1
108753         #BTR4_BRP1
108754  
108755 b\8c4
108756 .
108757 b\99
108758 .
108759 _BRP1
108760
108761
108762         )
108763
108764 14941 \ 1
108765         #BTR4_BRP0
108766  
108767 b\8c4
108768 .
108769 b\99
108770 .
108771 _BRP0
108772
108773
108774         )
108775
108776 14942 \ 1
108777         #BTR4_T£g2
108778  
108779 b\8c4
108780 .
108781 b\99c
108782 .
108783 _T£g2
108784
108785
108786         )
108787
108788 14943 \ 1
108789         #BTR4_T£g1
108790  
108791 b\8c4
108792 .
108793 b\99c
108794 .
108795 _T£g1
108796
108797
108798         )
108799
108800 14944 \ 1
108801         #BTR4_SJW
108802  
108803 b\8c4
108804 .
108805 b\99c
108806 .
108807 _SJW
108808
108809
108810         )
108811
108812 14945 \ 1
108813         #BTR4_BRP
108814  
108815 b\8c4
108816 .
108817 b\99c
108818 .
108819 _BRP
108820
108821
108822         )
108823
108824 14946 
108825 __IO_EXTERN
108826  
108827 IO_WORD
108828  
108829         g\9a\8c4
108830 ;
108831
108832 14947 \ 1
108833         #INTR4
108834  
108835 \9a\8c4
108836
108837
108838         )
108839
108840 14948 
108841 __IO_EXTERN
108842  
108843 TESTR4STR
108844  
108845         g\8b¡r4
108846 ;
108847
108848 14949 \ 1
108849         #TESTR4
108850  
108851 \8b¡r4
108852 .
108853 wÜd
108854
108855
108856         )
108857
108858 14950 \ 1
108859         #TESTR4_Rx
108860  
108861 \8b¡r4
108862 .
108863 b\99
108864 .
108865 _Rx
108866
108867
108868         )
108869
108870 14951 \ 1
108871         #TESTR4_Tx1
108872  
108873 \8b¡r4
108874 .
108875 b\99
108876 .
108877 _Tx1
108878
108879
108880         )
108881
108882 14952 \ 1
108883         #TESTR4_Tx0
108884  
108885 \8b¡r4
108886 .
108887 b\99
108888 .
108889 _Tx0
108890
108891
108892         )
108893
108894 14953 \ 1
108895         #TESTR4_LBack
108896  
108897 \8b¡r4
108898 .
108899 b\99
108900 .
108901 _LBack
108902
108903
108904         )
108905
108906 14954 \ 1
108907         #TESTR4_S\9e\92t
108908  
108909 \8b¡r4
108910 .
108911 b\99
108912 .
108913 _S\9e\92t
108914
108915
108916         )
108917
108918 14955 \ 1
108919         #TESTR4_Basic
108920  
108921 \8b¡r4
108922 .
108923 b\99
108924 .
108925 _Basic
108926
108927
108928         )
108929
108930 14956 \ 1
108931         #TESTR4_Tx
108932  
108933 \8b¡r4
108934 .
108935 b\99c
108936 .
108937 _Tx
108938
108939
108940         )
108941
108942 14957 
108943 __IO_EXTERN
108944  
108945 BRPER4STR
108946  
108947         gb½\944
108948 ;
108949
108950 14958 \ 1
108951         #BRPER4
108952  
108953\944
108954 .
108955 wÜd
108956
108957
108958         )
108959
108960 14959 \ 1
108961         #BRPER4_BRPE3
108962  
108963\944
108964 .
108965 b\99
108966 .
108967 _BRPE3
108968
108969
108970         )
108971
108972 14960 \ 1
108973         #BRPER4_BRPE2
108974  
108975\944
108976 .
108977 b\99
108978 .
108979 _BRPE2
108980
108981
108982         )
108983
108984 14961 \ 1
108985         #BRPER4_BRPE1
108986  
108987\944
108988 .
108989 b\99
108990 .
108991 _BRPE1
108992
108993
108994         )
108995
108996 14962 \ 1
108997         #BRPER4_BRPE0
108998  
108999\944
109000 .
109001 b\99
109002 .
109003 _BRPE0
109004
109005
109006         )
109007
109008 14963 \ 1
109009         #BRPER4_BRPE
109010  
109011\944
109012 .
109013 b\99c
109014 .
109015 _BRPE
109016
109017
109018         )
109019
109020 14964 
109021 __IO_EXTERN
109022  
109023 BRPE4STR
109024  
109025         gb½e4
109026 ;
109027
109028 14965 \ 1
109029         #BRPE4
109030  
109031 b½e4
109032 .
109033 wÜd
109034
109035
109036         )
109037
109038 14966 
109039 __IO_EXTERN
109040  
109041 IF1CREQ4STR
109042  
109043         gif1üeq4
109044 ;
109045
109046 14967 \ 1
109047         #IF1CREQ4
109048  
109049 if1üeq4
109050 .
109051 wÜd
109052
109053
109054         )
109055
109056 14968 \ 1
109057         #IF1CREQ4_Busy
109058  
109059 if1üeq4
109060 .
109061 b\99
109062 .
109063 _Busy
109064
109065
109066         )
109067
109068 14969 \ 1
109069         #IF1CREQ4_MN5
109070  
109071 if1üeq4
109072 .
109073 b\99
109074 .
109075 _MN5
109076
109077
109078         )
109079
109080 14970 \ 1
109081         #IF1CREQ4_MN4
109082  
109083 if1üeq4
109084 .
109085 b\99
109086 .
109087 _MN4
109088
109089
109090         )
109091
109092 14971 \ 1
109093         #IF1CREQ4_MN3
109094  
109095 if1üeq4
109096 .
109097 b\99
109098 .
109099 _MN3
109100
109101
109102         )
109103
109104 14972 \ 1
109105         #IF1CREQ4_MN2
109106  
109107 if1üeq4
109108 .
109109 b\99
109110 .
109111 _MN2
109112
109113
109114         )
109115
109116 14973 \ 1
109117         #IF1CREQ4_MN1
109118  
109119 if1üeq4
109120 .
109121 b\99
109122 .
109123 _MN1
109124
109125
109126         )
109127
109128 14974 \ 1
109129         #IF1CREQ4_MN0
109130  
109131 if1üeq4
109132 .
109133 b\99
109134 .
109135 _MN0
109136
109137
109138         )
109139
109140 14975 \ 1
109141         #IF1CREQ4_MN
109142  
109143 if1üeq4
109144 .
109145 b\99c
109146 .
109147 _MN
109148
109149
109150         )
109151
109152 14976 
109153 __IO_EXTERN
109154  
109155 IF1CMSK4STR
109156  
109157         gif1cmsk4
109158 ;
109159
109160 14977 \ 1
109161         #IF1CMSK4
109162  
109163 if1cmsk4
109164 .
109165 wÜd
109166
109167
109168         )
109169
109170 14978 \ 1
109171         #IF1CMSK4_WR
109172  
109173 if1cmsk4
109174 .
109175 b\99
109176 .
109177 _WR
109178
109179
109180         )
109181
109182 14979 \ 1
109183         #IF1CMSK4_Mask
109184  
109185 if1cmsk4
109186 .
109187 b\99
109188 .
109189 _Mask
109190
109191
109192         )
109193
109194 14980 \ 1
109195         #IF1CMSK4_Arb
109196  
109197 if1cmsk4
109198 .
109199 b\99
109200 .
109201 _Arb
109202
109203
109204         )
109205
109206 14981 \ 1
109207         #IF1CMSK4_CÚ\8cÞ
109208  
109209 if1cmsk4
109210 .
109211 b\99
109212 .
109213 _CÚ\8cÞ
109214
109215
109216         )
109217
109218 14982 \ 1
109219         #IF1CMSK4_CIP
109220  
109221 if1cmsk4
109222 .
109223 b\99
109224 .
109225 _CIP
109226
109227
109228         )
109229
109230 14983 \ 1
109231         #IF1CMSK4_TxReq
109232  
109233 if1cmsk4
109234 .
109235 b\99
109236 .
109237 _TxReq
109238
109239
109240         )
109241
109242 14984 \ 1
109243         #IF1CMSK4_D©aA
109244  
109245 if1cmsk4
109246 .
109247 b\99
109248 .
109249 _D©aA
109250
109251
109252         )
109253
109254 14985 \ 1
109255         #IF1CMSK4_D©aB
109256  
109257 if1cmsk4
109258 .
109259 b\99
109260 .
109261 _D©aB
109262
109263
109264         )
109265
109266 14986 
109267 __IO_EXTERN
109268  
109269 IO_LWORD
109270  
109271         gif1msk124
109272 ;
109273
109274 14987 \ 1
109275         #IF1MSK124
109276  
109277 if1msk124
109278
109279
109280         )
109281
109282 14988 
109283 __IO_EXTERN
109284  
109285 IF1MSK24STR
109286  
109287         gif1msk24
109288 ;
109289
109290 14989 \ 1
109291         #IF1MSK24
109292  
109293 if1msk24
109294 .
109295 wÜd
109296
109297
109298         )
109299
109300 14990 \ 1
109301         #IF1MSK24_MXtd
109302  
109303 if1msk24
109304 .
109305 b\99
109306 .
109307 _MXtd
109308
109309
109310         )
109311
109312 14991 \ 1
109313         #IF1MSK24_MD\9c
109314  
109315 if1msk24
109316 .
109317 b\99
109318 .
109319 _MD\9c
109320
109321
109322         )
109323
109324 14992 
109325 __IO_EXTERN
109326  
109327 IO_WORD
109328  
109329         gif1msk14
109330 ;
109331
109332 14993 \ 1
109333         #IF1MSK14
109334  
109335 if1msk14
109336
109337
109338         )
109339
109340 14994 
109341 __IO_EXTERN
109342  
109343 IO_LWORD
109344  
109345         gif1¬b124
109346 ;
109347
109348 14995 \ 1
109349         #IF1ARB124
109350  
109351 if1¬b124
109352
109353
109354         )
109355
109356 14996 
109357 __IO_EXTERN
109358  
109359 IF1ARB24STR
109360  
109361         gif1¬b24
109362 ;
109363
109364 14997 \ 1
109365         #IF1ARB24
109366  
109367 if1¬b24
109368 .
109369 wÜd
109370
109371
109372         )
109373
109374 14998 \ 1
109375         #IF1ARB24_MsgV®
109376  
109377 if1¬b24
109378 .
109379 b\99
109380 .
109381 _MsgV®
109382
109383
109384         )
109385
109386 14999 \ 1
109387         #IF1ARB24_Xtd
109388  
109389 if1¬b24
109390 .
109391 b\99
109392 .
109393 _Xtd
109394
109395
109396         )
109397
109398 15000 \ 1
109399         #IF1ARB24_DIR
109400  
109401 if1¬b24
109402 .
109403 b\99
109404 .
109405 _DIR
109406
109407
109408         )
109409
109410 15001 
109411 __IO_EXTERN
109412  
109413 IO_WORD
109414  
109415         gif1¬b14
109416 ;
109417
109418 15002 \ 1
109419         #IF1ARB14
109420  
109421 if1¬b14
109422
109423
109424         )
109425
109426 15003 
109427 __IO_EXTERN
109428  
109429 IF1MCTR4STR
109430  
109431         gif1mùr4
109432 ;
109433
109434 15004 \ 1
109435         #IF1MCTR4
109436  
109437 if1mùr4
109438 .
109439 wÜd
109440
109441
109442         )
109443
109444 15005 \ 1
109445         #IF1MCTR4_NewD©
109446  
109447 if1mùr4
109448 .
109449 b\99
109450 .
109451 _NewD©
109452
109453
109454         )
109455
109456 15006 \ 1
109457         #IF1MCTR4_MsgL¡
109458  
109459 if1mùr4
109460 .
109461 b\99
109462 .
109463 _MsgL¡
109464
109465
109466         )
109467
109468 15007 \ 1
109469         #IF1MCTR4_IÁPnd
109470  
109471 if1mùr4
109472 .
109473 b\99
109474 .
109475 _IÁPnd
109476
109477
109478         )
109479
109480 15008 \ 1
109481         #IF1MCTR4_UMask
109482  
109483 if1mùr4
109484 .
109485 b\99
109486 .
109487 _UMask
109488
109489
109490         )
109491
109492 15009 \ 1
109493         #IF1MCTR4_TxIE
109494  
109495 if1mùr4
109496 .
109497 b\99
109498 .
109499 _TxIE
109500
109501
109502         )
109503
109504 15010 \ 1
109505         #IF1MCTR4_RxIE
109506  
109507 if1mùr4
109508 .
109509 b\99
109510 .
109511 _RxIE
109512
109513
109514         )
109515
109516 15011 \ 1
109517         #IF1MCTR4_RmtEn
109518  
109519 if1mùr4
109520 .
109521 b\99
109522 .
109523 _RmtEn
109524
109525
109526         )
109527
109528 15012 \ 1
109529         #IF1MCTR4_TxRq¡
109530  
109531 if1mùr4
109532 .
109533 b\99
109534 .
109535 _TxRq¡
109536
109537
109538         )
109539
109540 15013 \ 1
109541         #IF1MCTR4_EoB
109542  
109543 if1mùr4
109544 .
109545 b\99
109546 .
109547 _EoB
109548
109549
109550         )
109551
109552 15014 \ 1
109553         #IF1MCTR4_DLC3
109554  
109555 if1mùr4
109556 .
109557 b\99
109558 .
109559 _DLC3
109560
109561
109562         )
109563
109564 15015 \ 1
109565         #IF1MCTR4_DLC2
109566  
109567 if1mùr4
109568 .
109569 b\99
109570 .
109571 _DLC2
109572
109573
109574         )
109575
109576 15016 \ 1
109577         #IF1MCTR4_DLC1
109578  
109579 if1mùr4
109580 .
109581 b\99
109582 .
109583 _DLC1
109584
109585
109586         )
109587
109588 15017 \ 1
109589         #IF1MCTR4_DLC0
109590  
109591 if1mùr4
109592 .
109593 b\99
109594 .
109595 _DLC0
109596
109597
109598         )
109599
109600 15018 \ 1
109601         #IF1MCTR4_DLC
109602  
109603 if1mùr4
109604 .
109605 b\99c
109606 .
109607 _DLC
109608
109609
109610         )
109611
109612 15019 
109613 __IO_EXTERN
109614  
109615 IO_LWORD
109616  
109617         gif1d\8f124
109618 ;
109619
109620 15020 \ 1
109621         #IF1DTA124
109622  
109623 if1d\8f124
109624
109625
109626         )
109627
109628 15021 
109629 __IO_EXTERN
109630  
109631 IO_WORD
109632  
109633         gif1d\8f14
109634 ;
109635
109636 15022 \ 1
109637         #IF1DTA14
109638  
109639 if1d\8f14
109640
109641
109642         )
109643
109644 15023 
109645 __IO_EXTERN
109646  
109647 IO_WORD
109648  
109649         gif1d\8f24
109650 ;
109651
109652 15024 \ 1
109653         #IF1DTA24
109654  
109655 if1d\8f24
109656
109657
109658         )
109659
109660 15025 
109661 __IO_EXTERN
109662  
109663 IO_LWORD
109664  
109665         gif1dtb124
109666 ;
109667
109668 15026 \ 1
109669         #IF1DTB124
109670  
109671 if1dtb124
109672
109673
109674         )
109675
109676 15027 
109677 __IO_EXTERN
109678  
109679 IO_WORD
109680  
109681         gif1dtb14
109682 ;
109683
109684 15028 \ 1
109685         #IF1DTB14
109686  
109687 if1dtb14
109688
109689
109690         )
109691
109692 15029 
109693 __IO_EXTERN
109694  
109695 IO_WORD
109696  
109697         gif1dtb24
109698 ;
109699
109700 15030 \ 1
109701         #IF1DTB24
109702  
109703 if1dtb24
109704
109705
109706         )
109707
109708 15031 
109709 __IO_EXTERN
109710  
109711 IO_LWORD
109712  
109713         gif1d\8f_swp124
109714 ;
109715
109716 15032 \ 1
109717         #IF1DTA_SWP124
109718  
109719 if1d\8f_swp124
109720
109721
109722         )
109723
109724 15033 
109725 __IO_EXTERN
109726  
109727 IO_WORD
109728  
109729         gif1d\8f_swp24
109730 ;
109731
109732 15034 \ 1
109733         #IF1DTA_SWP24
109734  
109735 if1d\8f_swp24
109736
109737
109738         )
109739
109740 15035 
109741 __IO_EXTERN
109742  
109743 IO_WORD
109744  
109745         gif1d\8f_swp14
109746 ;
109747
109748 15036 \ 1
109749         #IF1DTA_SWP14
109750  
109751 if1d\8f_swp14
109752
109753
109754         )
109755
109756 15037 
109757 __IO_EXTERN
109758  
109759 IO_LWORD
109760  
109761         gif1dtb_swp124
109762 ;
109763
109764 15038 \ 1
109765         #IF1DTB_SWP124
109766  
109767 if1dtb_swp124
109768
109769
109770         )
109771
109772 15039 
109773 __IO_EXTERN
109774  
109775 IO_WORD
109776  
109777         gif1dtb_swp24
109778 ;
109779
109780 15040 \ 1
109781         #IF1DTB_SWP24
109782  
109783 if1dtb_swp24
109784
109785
109786         )
109787
109788 15041 
109789 __IO_EXTERN
109790  
109791 IO_WORD
109792  
109793         gif1dtb_swp14
109794 ;
109795
109796 15042 \ 1
109797         #IF1DTB_SWP14
109798  
109799 if1dtb_swp14
109800
109801
109802         )
109803
109804 15043 
109805 __IO_EXTERN
109806  
109807 IF2CREQ4STR
109808  
109809         gif2üeq4
109810 ;
109811
109812 15044 \ 1
109813         #IF2CREQ4
109814  
109815 if2üeq4
109816 .
109817 wÜd
109818
109819
109820         )
109821
109822 15045 \ 1
109823         #IF2CREQ4_Busy
109824  
109825 if2üeq4
109826 .
109827 b\99
109828 .
109829 _Busy
109830
109831
109832         )
109833
109834 15046 \ 1
109835         #IF2CREQ4_MN5
109836  
109837 if2üeq4
109838 .
109839 b\99
109840 .
109841 _MN5
109842
109843
109844         )
109845
109846 15047 \ 1
109847         #IF2CREQ4_MN4
109848  
109849 if2üeq4
109850 .
109851 b\99
109852 .
109853 _MN4
109854
109855
109856         )
109857
109858 15048 \ 1
109859         #IF2CREQ4_MN3
109860  
109861 if2üeq4
109862 .
109863 b\99
109864 .
109865 _MN3
109866
109867
109868         )
109869
109870 15049 \ 1
109871         #IF2CREQ4_MN2
109872  
109873 if2üeq4
109874 .
109875 b\99
109876 .
109877 _MN2
109878
109879
109880         )
109881
109882 15050 \ 1
109883         #IF2CREQ4_MN1
109884  
109885 if2üeq4
109886 .
109887 b\99
109888 .
109889 _MN1
109890
109891
109892         )
109893
109894 15051 \ 1
109895         #IF2CREQ4_MN0
109896  
109897 if2üeq4
109898 .
109899 b\99
109900 .
109901 _MN0
109902
109903
109904         )
109905
109906 15052 \ 1
109907         #IF2CREQ4_MN
109908  
109909 if2üeq4
109910 .
109911 b\99c
109912 .
109913 _MN
109914
109915
109916         )
109917
109918 15053 
109919 __IO_EXTERN
109920  
109921 IF2CMSK4STR
109922  
109923         gif2cmsk4
109924 ;
109925
109926 15054 \ 1
109927         #IF2CMSK4
109928  
109929 if2cmsk4
109930 .
109931 wÜd
109932
109933
109934         )
109935
109936 15055 \ 1
109937         #IF2CMSK4_WR
109938  
109939 if2cmsk4
109940 .
109941 b\99
109942 .
109943 _WR
109944
109945
109946         )
109947
109948 15056 \ 1
109949         #IF2CMSK4_Mask
109950  
109951 if2cmsk4
109952 .
109953 b\99
109954 .
109955 _Mask
109956
109957
109958         )
109959
109960 15057 \ 1
109961         #IF2CMSK4_Arb
109962  
109963 if2cmsk4
109964 .
109965 b\99
109966 .
109967 _Arb
109968
109969
109970         )
109971
109972 15058 \ 1
109973         #IF2CMSK4_CÚ\8cÞ
109974  
109975 if2cmsk4
109976 .
109977 b\99
109978 .
109979 _CÚ\8cÞ
109980
109981
109982         )
109983
109984 15059 \ 1
109985         #IF2CMSK4_CIP
109986  
109987 if2cmsk4
109988 .
109989 b\99
109990 .
109991 _CIP
109992
109993
109994         )
109995
109996 15060 \ 1
109997         #IF2CMSK4_TxReq
109998  
109999 if2cmsk4
110000 .
110001 b\99
110002 .
110003 _TxReq
110004
110005
110006         )
110007
110008 15061 \ 1
110009         #IF2CMSK4_D©aA
110010  
110011 if2cmsk4
110012 .
110013 b\99
110014 .
110015 _D©aA
110016
110017
110018         )
110019
110020 15062 \ 1
110021         #IF2CMSK4_D©aB
110022  
110023 if2cmsk4
110024 .
110025 b\99
110026 .
110027 _D©aB
110028
110029
110030         )
110031
110032 15063 
110033 __IO_EXTERN
110034  
110035 IO_LWORD
110036  
110037         gif2msk124
110038 ;
110039
110040 15064 \ 1
110041         #IF2MSK124
110042  
110043 if2msk124
110044
110045
110046         )
110047
110048 15065 
110049 __IO_EXTERN
110050  
110051 IF2MSK24STR
110052  
110053         gif2msk24
110054 ;
110055
110056 15066 \ 1
110057         #IF2MSK24
110058  
110059 if2msk24
110060 .
110061 wÜd
110062
110063
110064         )
110065
110066 15067 \ 1
110067         #IF2MSK24_MXtd
110068  
110069 if2msk24
110070 .
110071 b\99
110072 .
110073 _MXtd
110074
110075
110076         )
110077
110078 15068 \ 1
110079         #IF2MSK24_MD\9c
110080  
110081 if2msk24
110082 .
110083 b\99
110084 .
110085 _MD\9c
110086
110087
110088         )
110089
110090 15069 
110091 __IO_EXTERN
110092  
110093 IO_WORD
110094  
110095         gif2msk14
110096 ;
110097
110098 15070 \ 1
110099         #IF2MSK14
110100  
110101 if2msk14
110102
110103
110104         )
110105
110106 15071 
110107 __IO_EXTERN
110108  
110109 IO_LWORD
110110  
110111         gif2¬b124
110112 ;
110113
110114 15072 \ 1
110115         #IF2ARB124
110116  
110117 if2¬b124
110118
110119
110120         )
110121
110122 15073 
110123 __IO_EXTERN
110124  
110125 IF2ARB24STR
110126  
110127         gif2¬b24
110128 ;
110129
110130 15074 \ 1
110131         #IF2ARB24
110132  
110133 if2¬b24
110134 .
110135 wÜd
110136
110137
110138         )
110139
110140 15075 \ 1
110141         #IF2ARB24_MsgV®
110142  
110143 if2¬b24
110144 .
110145 b\99
110146 .
110147 _MsgV®
110148
110149
110150         )
110151
110152 15076 \ 1
110153         #IF2ARB24_Xtd
110154  
110155 if2¬b24
110156 .
110157 b\99
110158 .
110159 _Xtd
110160
110161
110162         )
110163
110164 15077 \ 1
110165         #IF2ARB24_DIR
110166  
110167 if2¬b24
110168 .
110169 b\99
110170 .
110171 _DIR
110172
110173
110174         )
110175
110176 15078 
110177 __IO_EXTERN
110178  
110179 IO_WORD
110180  
110181         gif2¬b14
110182 ;
110183
110184 15079 \ 1
110185         #IF2ARB14
110186  
110187 if2¬b14
110188
110189
110190         )
110191
110192 15080 
110193 __IO_EXTERN
110194  
110195 IF2MCTR4STR
110196  
110197         gif2mùr4
110198 ;
110199
110200 15081 \ 1
110201         #IF2MCTR4
110202  
110203 if2mùr4
110204 .
110205 wÜd
110206
110207
110208         )
110209
110210 15082 \ 1
110211         #IF2MCTR4_NewD©
110212  
110213 if2mùr4
110214 .
110215 b\99
110216 .
110217 _NewD©
110218
110219
110220         )
110221
110222 15083 \ 1
110223         #IF2MCTR4_MsgL¡
110224  
110225 if2mùr4
110226 .
110227 b\99
110228 .
110229 _MsgL¡
110230
110231
110232         )
110233
110234 15084 \ 1
110235         #IF2MCTR4_IÁPnd
110236  
110237 if2mùr4
110238 .
110239 b\99
110240 .
110241 _IÁPnd
110242
110243
110244         )
110245
110246 15085 \ 1
110247         #IF2MCTR4_UMask
110248  
110249 if2mùr4
110250 .
110251 b\99
110252 .
110253 _UMask
110254
110255
110256         )
110257
110258 15086 \ 1
110259         #IF2MCTR4_TxIE
110260  
110261 if2mùr4
110262 .
110263 b\99
110264 .
110265 _TxIE
110266
110267
110268         )
110269
110270 15087 \ 1
110271         #IF2MCTR4_RxIE
110272  
110273 if2mùr4
110274 .
110275 b\99
110276 .
110277 _RxIE
110278
110279
110280         )
110281
110282 15088 \ 1
110283         #IF2MCTR4_RmtEn
110284  
110285 if2mùr4
110286 .
110287 b\99
110288 .
110289 _RmtEn
110290
110291
110292         )
110293
110294 15089 \ 1
110295         #IF2MCTR4_TxRq¡
110296  
110297 if2mùr4
110298 .
110299 b\99
110300 .
110301 _TxRq¡
110302
110303
110304         )
110305
110306 15090 \ 1
110307         #IF2MCTR4_EoB
110308  
110309 if2mùr4
110310 .
110311 b\99
110312 .
110313 _EoB
110314
110315
110316         )
110317
110318 15091 \ 1
110319         #IF2MCTR4_DLC3
110320  
110321 if2mùr4
110322 .
110323 b\99
110324 .
110325 _DLC3
110326
110327
110328         )
110329
110330 15092 \ 1
110331         #IF2MCTR4_DLC2
110332  
110333 if2mùr4
110334 .
110335 b\99
110336 .
110337 _DLC2
110338
110339
110340         )
110341
110342 15093 \ 1
110343         #IF2MCTR4_DLC1
110344  
110345 if2mùr4
110346 .
110347 b\99
110348 .
110349 _DLC1
110350
110351
110352         )
110353
110354 15094 \ 1
110355         #IF2MCTR4_DLC0
110356  
110357 if2mùr4
110358 .
110359 b\99
110360 .
110361 _DLC0
110362
110363
110364         )
110365
110366 15095 \ 1
110367         #IF2MCTR4_DLC
110368  
110369 if2mùr4
110370 .
110371 b\99c
110372 .
110373 _DLC
110374
110375
110376         )
110377
110378 15096 
110379 __IO_EXTERN
110380  
110381 IO_LWORD
110382  
110383         gif2d\8f124
110384 ;
110385
110386 15097 \ 1
110387         #IF2DTA124
110388  
110389 if2d\8f124
110390
110391
110392         )
110393
110394 15098 
110395 __IO_EXTERN
110396  
110397 IO_WORD
110398  
110399         gif2d\8f14
110400 ;
110401
110402 15099 \ 1
110403         #IF2DTA14
110404  
110405 if2d\8f14
110406
110407
110408         )
110409
110410 15100 
110411 __IO_EXTERN
110412  
110413 IO_WORD
110414  
110415         gif2d\8f24
110416 ;
110417
110418 15101 \ 1
110419         #IF2DTA24
110420  
110421 if2d\8f24
110422
110423
110424         )
110425
110426 15102 
110427 __IO_EXTERN
110428  
110429 IO_LWORD
110430  
110431         gif2dtb124
110432 ;
110433
110434 15103 \ 1
110435         #IF2DTB124
110436  
110437 if2dtb124
110438
110439
110440         )
110441
110442 15104 
110443 __IO_EXTERN
110444  
110445 IO_WORD
110446  
110447         gif2dtb14
110448 ;
110449
110450 15105 \ 1
110451         #IF2DTB14
110452  
110453 if2dtb14
110454
110455
110456         )
110457
110458 15106 
110459 __IO_EXTERN
110460  
110461 IO_WORD
110462  
110463         gif2dtb24
110464 ;
110465
110466 15107 \ 1
110467         #IF2DTB24
110468  
110469 if2dtb24
110470
110471
110472         )
110473
110474 15108 
110475 __IO_EXTERN
110476  
110477 IO_LWORD
110478  
110479         gif2d\8f_swp124
110480 ;
110481
110482 15109 \ 1
110483         #IF2DTA_SWP124
110484  
110485 if2d\8f_swp124
110486
110487
110488         )
110489
110490 15110 
110491 __IO_EXTERN
110492  
110493 IO_WORD
110494  
110495         gif2d\8f_swp24
110496 ;
110497
110498 15111 \ 1
110499         #IF2DTA_SWP24
110500  
110501 if2d\8f_swp24
110502
110503
110504         )
110505
110506 15112 
110507 __IO_EXTERN
110508  
110509 IO_WORD
110510  
110511         gif2d\8f_swp14
110512 ;
110513
110514 15113 \ 1
110515         #IF2DTA_SWP14
110516  
110517 if2d\8f_swp14
110518
110519
110520         )
110521
110522 15114 
110523 __IO_EXTERN
110524  
110525 IO_LWORD
110526  
110527         gif2dtb_swp124
110528 ;
110529
110530 15115 \ 1
110531         #IF2DTB_SWP124
110532  
110533 if2dtb_swp124
110534
110535
110536         )
110537
110538 15116 
110539 __IO_EXTERN
110540  
110541 IO_WORD
110542  
110543         gif2dtb_swp24
110544 ;
110545
110546 15117 \ 1
110547         #IF2DTB_SWP24
110548  
110549 if2dtb_swp24
110550
110551
110552         )
110553
110554 15118 
110555 __IO_EXTERN
110556  
110557 IO_WORD
110558  
110559         gif2dtb_swp14
110560 ;
110561
110562 15119 \ 1
110563         #IF2DTB_SWP14
110564  
110565 if2dtb_swp14
110566
110567
110568         )
110569
110570 15120 
110571 __IO_EXTERN
110572  
110573 IO_LWORD
110574  
110575         g\8ceqr124
110576 ;
110577
110578 15121 \ 1
110579         #TREQR124
110580  
110581 \8ceqr124
110582
110583
110584         )
110585
110586 15122 
110587 __IO_EXTERN
110588  
110589 IO_WORD
110590  
110591         g\8ceqr24
110592 ;
110593
110594 15123 \ 1
110595         #TREQR24
110596  
110597 \8ceqr24
110598
110599
110600         )
110601
110602 15124 
110603 __IO_EXTERN
110604  
110605 IO_WORD
110606  
110607         g\8ceqr14
110608 ;
110609
110610 15125 \ 1
110611         #TREQR14
110612  
110613 \8ceqr14
110614
110615
110616         )
110617
110618 15126 
110619 __IO_EXTERN
110620  
110621 IO_LWORD
110622  
110623         g\8ceqr344
110624 ;
110625
110626 15127 \ 1
110627         #TREQR344
110628  
110629 \8ceqr344
110630
110631
110632         )
110633
110634 15128 
110635 __IO_EXTERN
110636  
110637 IO_LWORD
110638  
110639         gÃwdt124
110640 ;
110641
110642 15129 \ 1
110643         #NEWDT124
110644  
110645 Ãwdt124
110646
110647
110648         )
110649
110650 15130 
110651 __IO_EXTERN
110652  
110653 IO_WORD
110654  
110655         gÃwdt24
110656 ;
110657
110658 15131 \ 1
110659         #NEWDT24
110660  
110661 Ãwdt24
110662
110663
110664         )
110665
110666 15132 
110667 __IO_EXTERN
110668  
110669 IO_WORD
110670  
110671         gÃwdt14
110672 ;
110673
110674 15133 \ 1
110675         #NEWDT14
110676  
110677 Ãwdt14
110678
110679
110680         )
110681
110682 15134 
110683 __IO_EXTERN
110684  
110685 IO_LWORD
110686  
110687         g\9a\8dnd124
110688 ;
110689
110690 15135 \ 1
110691         #INTPND124
110692  
110693 \9a\8dnd124
110694
110695
110696         )
110697
110698 15136 
110699 __IO_EXTERN
110700  
110701 IO_WORD
110702  
110703         g\9a\8dnd24
110704 ;
110705
110706 15137 \ 1
110707         #INTPND24
110708  
110709 \9a\8dnd24
110710
110711
110712         )
110713
110714 15138 
110715 __IO_EXTERN
110716  
110717 IO_WORD
110718  
110719         g\9a\8dnd14
110720 ;
110721
110722 15139 \ 1
110723         #INTPND14
110724  
110725 \9a\8dnd14
110726
110727
110728         )
110729
110730 15140 
110731 __IO_EXTERN
110732  
110733 IO_LWORD
110734  
110735         gmsgv®124
110736 ;
110737
110738 15141 \ 1
110739         #MSGVAL124
110740  
110741 msgv®124
110742
110743
110744         )
110745
110746 15142 
110747 __IO_EXTERN
110748  
110749 IO_WORD
110750  
110751         gmsgv®24
110752 ;
110753
110754 15143 \ 1
110755         #MSGVAL24
110756  
110757 msgv®24
110758
110759
110760         )
110761
110762 15144 
110763 __IO_EXTERN
110764  
110765 IO_WORD
110766  
110767         gmsgv®14
110768 ;
110769
110770 15145 \ 1
110771         #MSGVAL14
110772  
110773 msgv®14
110774
110775
110776         )
110777
110778 15146 
110779 __IO_EXTERN
110780  
110781 BCTRLSTR
110782  
110783         gbù¾
110784 ;
110785
110786 15147 \ 1
110787         #BCTRL
110788  
110789 bù¾
110790 .
110791 lwÜd
110792
110793
110794         )
110795
110796 15148 \ 1
110797         #BCTRL_SR
110798  
110799 bù¾
110800 .
110801 b\99
110802 .
110803 _SR
110804
110805
110806         )
110807
110808 15149 \ 1
110809         #BCTRL_SW
110810  
110811 bù¾
110812 .
110813 b\99
110814 .
110815 _SW
110816
110817
110818         )
110819
110820 15150 \ 1
110821         #BCTRL_SX
110822  
110823 bù¾
110824 .
110825 b\99
110826 .
110827 _SX
110828
110829
110830         )
110831
110832 15151 \ 1
110833         #BCTRL_UR
110834  
110835 bù¾
110836 .
110837 b\99
110838 .
110839 _UR
110840
110841
110842         )
110843
110844 15152 \ 1
110845         #BCTRL_UW
110846  
110847 bù¾
110848 .
110849 b\99
110850 .
110851 _UW
110852
110853
110854         )
110855
110856 15153 \ 1
110857         #BCTRL_UX
110858  
110859 bù¾
110860 .
110861 b\99
110862 .
110863 _UX
110864
110865
110866         )
110867
110868 15154 \ 1
110869         #BCTRL_FCPU
110870  
110871 bù¾
110872 .
110873 b\99
110874 .
110875 _FCPU
110876
110877
110878         )
110879
110880 15155 \ 1
110881         #BCTRL_FDMA
110882  
110883 bù¾
110884 .
110885 b\99
110886 .
110887 _FDMA
110888
110889
110890         )
110891
110892 15156 \ 1
110893         #BCTRL_EEMM
110894  
110895 bù¾
110896 .
110897 b\99
110898 .
110899 _EEMM
110900
110901
110902         )
110903
110904 15157 \ 1
110905         #BCTRL_PFD
110906  
110907 bù¾
110908 .
110909 b\99
110910 .
110911 _PFD
110912
110913
110914         )
110915
110916 15158 \ 1
110917         #BCTRL_SINT1
110918  
110919 bù¾
110920 .
110921 b\99
110922 .
110923 _SINT1
110924
110925
110926         )
110927
110928 15159 \ 1
110929         #BCTRL_SINT0
110930  
110931 bù¾
110932 .
110933 b\99
110934 .
110935 _SINT0
110936
110937
110938         )
110939
110940 15160 \ 1
110941         #BCTRL_EINT1
110942  
110943 bù¾
110944 .
110945 b\99
110946 .
110947 _EINT1
110948
110949
110950         )
110951
110952 15161 \ 1
110953         #BCTRL_EINT0
110954  
110955 bù¾
110956 .
110957 b\99
110958 .
110959 _EINT0
110960
110961
110962         )
110963
110964 15162 \ 1
110965         #BCTRL_EINTT
110966  
110967 bù¾
110968 .
110969 b\99
110970 .
110971 _EINTT
110972
110973
110974         )
110975
110976 15163 \ 1
110977         #BCTRL_EINTR
110978  
110979 bù¾
110980 .
110981 b\99
110982 .
110983 _EINTR
110984
110985
110986         )
110987
110988 15164 \ 1
110989         #BCTRL_SINT
110990  
110991 bù¾
110992 .
110993 b\99c
110994 .
110995 _SINT
110996
110997
110998         )
110999
111000 15165 \ 1
111001         #BCTRL_EINT
111002  
111003 bù¾
111004 .
111005 b\99c
111006 .
111007 _EINT
111008
111009
111010         )
111011
111012 15166 
111013 __IO_EXTERN
111014  
111015 BSTATSTR
111016  
111017         gb¡©
111018 ;
111019
111020 15167 \ 1
111021         #BSTAT
111022  
111023 b¡©
111024 .
111025 lwÜd
111026
111027
111028         )
111029
111030 15168 \ 1
111031         #BSTAT_IDX4
111032  
111033 b¡©
111034 .
111035 b\99
111036 .
111037 _IDX4
111038
111039
111040         )
111041
111042 15169 \ 1
111043         #BSTAT_IDX3
111044  
111045 b¡©
111046 .
111047 b\99
111048 .
111049 _IDX3
111050
111051
111052         )
111053
111054 15170 \ 1
111055         #BSTAT_IDX2
111056  
111057 b¡©
111058 .
111059 b\99
111060 .
111061 _IDX2
111062
111063
111064         )
111065
111066 15171 \ 1
111067         #BSTAT_IDX1
111068  
111069 b¡©
111070 .
111071 b\99
111072 .
111073 _IDX1
111074
111075
111076         )
111077
111078 15172 \ 1
111079         #BSTAT_IDX0
111080  
111081 b¡©
111082 .
111083 b\99
111084 .
111085 _IDX0
111086
111087
111088         )
111089
111090 15173 \ 1
111091         #BSTAT_CDMA
111092  
111093 b¡©
111094 .
111095 b\99
111096 .
111097 _CDMA
111098
111099
111100         )
111101
111102 15174 \ 1
111103         #BSTAT_CSZ1
111104  
111105 b¡©
111106 .
111107 b\99
111108 .
111109 _CSZ1
111110
111111
111112         )
111113
111114 15175 \ 1
111115         #BSTAT_CSZ0
111116  
111117 b¡©
111118 .
111119 b\99
111120 .
111121 _CSZ0
111122
111123
111124         )
111125
111126 15176 \ 1
111127         #BSTAT_CRW1
111128  
111129 b¡©
111130 .
111131 b\99
111132 .
111133 _CRW1
111134
111135
111136         )
111137
111138 15177 \ 1
111139         #BSTAT_CRW0
111140  
111141 b¡©
111142 .
111143 b\99
111144 .
111145 _CRW0
111146
111147
111148         )
111149
111150 15178 \ 1
111151         #BSTAT_PV
111152  
111153 b¡©
111154 .
111155 b\99
111156 .
111157 _PV
111158
111159
111160         )
111161
111162 15179 \ 1
111163         #BSTAT_RST
111164  
111165 b¡©
111166 .
111167 b\99
111168 .
111169 _RST
111170
111171
111172         )
111173
111174 15180 \ 1
111175         #BSTAT_INT1
111176  
111177 b¡©
111178 .
111179 b\99
111180 .
111181 _INT1
111182
111183
111184         )
111185
111186 15181 \ 1
111187         #BSTAT_INT0
111188  
111189 b¡©
111190 .
111191 b\99
111192 .
111193 _INT0
111194
111195
111196         )
111197
111198 15182 \ 1
111199         #BSTAT_INTT
111200  
111201 b¡©
111202 .
111203 b\99
111204 .
111205 _INTT
111206
111207
111208         )
111209
111210 15183 \ 1
111211         #BSTAT_INTR
111212  
111213 b¡©
111214 .
111215 b\99
111216 .
111217 _INTR
111218
111219
111220         )
111221
111222 15184 \ 1
111223         #BSTAT_IDX
111224  
111225 b¡©
111226 .
111227 b\99c
111228 .
111229 _IDX
111230
111231
111232         )
111233
111234 15185 \ 1
111235         #BSTAT_CSZ
111236  
111237 b¡©
111238 .
111239 b\99c
111240 .
111241 _CSZ
111242
111243
111244         )
111245
111246 15186 \ 1
111247         #BSTAT_CRW
111248  
111249 b¡©
111250 .
111251 b\99c
111252 .
111253 _CRW
111254
111255
111256         )
111257
111258 15187 \ 1
111259         #BSTAT_INT
111260  
111261 b¡©
111262 .
111263 b\99c
111264 .
111265 _INT
111266
111267
111268         )
111269
111270 15188 
111271 __IO_EXTERN
111272  
111273 IO_LWORD
111274  
111275         gb\9fc
111276 ;
111277
111278 15189 \ 1
111279         #BIAC
111280  
111281 b\9fc
111282
111283
111284         )
111285
111286 15190 
111287 __IO_EXTERN
111288  
111289 IO_LWORD
111290  
111291         gbßc
111292 ;
111293
111294 15191 \ 1
111295         #BOAC
111296  
111297 bßc
111298
111299
111300         )
111301
111302 15192 
111303 __IO_EXTERN
111304  
111305 BIRQSTR
111306  
111307         gb\9cq
111308 ;
111309
111310 15193 \ 1
111311         #BIRQ
111312  
111313 b\9cq
111314 .
111315 lwÜd
111316
111317
111318         )
111319
111320 15194 \ 1
111321         #BIRQ_BD31
111322  
111323 b\9cq
111324 .
111325 b\99
111326 .
111327 _BD31
111328
111329
111330         )
111331
111332 15195 \ 1
111333         #BIRQ_BD30
111334  
111335 b\9cq
111336 .
111337 b\99
111338 .
111339 _BD30
111340
111341
111342         )
111343
111344 15196 \ 1
111345         #BIRQ_BD29
111346  
111347 b\9cq
111348 .
111349 b\99
111350 .
111351 _BD29
111352
111353
111354         )
111355
111356 15197 \ 1
111357         #BIRQ_BD28
111358  
111359 b\9cq
111360 .
111361 b\99
111362 .
111363 _BD28
111364
111365
111366         )
111367
111368 15198 \ 1
111369         #BIRQ_BD27
111370  
111371 b\9cq
111372 .
111373 b\99
111374 .
111375 _BD27
111376
111377
111378         )
111379
111380 15199 \ 1
111381         #BIRQ_BD26
111382  
111383 b\9cq
111384 .
111385 b\99
111386 .
111387 _BD26
111388
111389
111390         )
111391
111392 15200 \ 1
111393         #BIRQ_BD25
111394  
111395 b\9cq
111396 .
111397 b\99
111398 .
111399 _BD25
111400
111401
111402         )
111403
111404 15201 \ 1
111405         #BIRQ_BD24
111406  
111407 b\9cq
111408 .
111409 b\99
111410 .
111411 _BD24
111412
111413
111414         )
111415
111416 15202 \ 1
111417         #BIRQ_BD23
111418  
111419 b\9cq
111420 .
111421 b\99
111422 .
111423 _BD23
111424
111425
111426         )
111427
111428 15203 \ 1
111429         #BIRQ_BD22
111430  
111431 b\9cq
111432 .
111433 b\99
111434 .
111435 _BD22
111436
111437
111438         )
111439
111440 15204 \ 1
111441         #BIRQ_BD21
111442  
111443 b\9cq
111444 .
111445 b\99
111446 .
111447 _BD21
111448
111449
111450         )
111451
111452 15205 \ 1
111453         #BIRQ_BD20
111454  
111455 b\9cq
111456 .
111457 b\99
111458 .
111459 _BD20
111460
111461
111462         )
111463
111464 15206 \ 1
111465         #BIRQ_BD19
111466  
111467 b\9cq
111468 .
111469 b\99
111470 .
111471 _BD19
111472
111473
111474         )
111475
111476 15207 \ 1
111477         #BIRQ_BD18
111478  
111479 b\9cq
111480 .
111481 b\99
111482 .
111483 _BD18
111484
111485
111486         )
111487
111488 15208 \ 1
111489         #BIRQ_BD17
111490  
111491 b\9cq
111492 .
111493 b\99
111494 .
111495 _BD17
111496
111497
111498         )
111499
111500 15209 \ 1
111501         #BIRQ_BD16
111502  
111503 b\9cq
111504 .
111505 b\99
111506 .
111507 _BD16
111508
111509
111510         )
111511
111512 15210 \ 1
111513         #BIRQ_BD15
111514  
111515 b\9cq
111516 .
111517 b\99
111518 .
111519 _BD15
111520
111521
111522         )
111523
111524 15211 \ 1
111525         #BIRQ_BD14
111526  
111527 b\9cq
111528 .
111529 b\99
111530 .
111531 _BD14
111532
111533
111534         )
111535
111536 15212 \ 1
111537         #BIRQ_BD13
111538  
111539 b\9cq
111540 .
111541 b\99
111542 .
111543 _BD13
111544
111545
111546         )
111547
111548 15213 \ 1
111549         #BIRQ_BD12
111550  
111551 b\9cq
111552 .
111553 b\99
111554 .
111555 _BD12
111556
111557
111558         )
111559
111560 15214 \ 1
111561         #BIRQ_BD11
111562  
111563 b\9cq
111564 .
111565 b\99
111566 .
111567 _BD11
111568
111569
111570         )
111571
111572 15215 \ 1
111573         #BIRQ_BD10
111574  
111575 b\9cq
111576 .
111577 b\99
111578 .
111579 _BD10
111580
111581
111582         )
111583
111584 15216 \ 1
111585         #BIRQ_BD9
111586  
111587 b\9cq
111588 .
111589 b\99
111590 .
111591 _BD9
111592
111593
111594         )
111595
111596 15217 \ 1
111597         #BIRQ_BD8
111598  
111599 b\9cq
111600 .
111601 b\99
111602 .
111603 _BD8
111604
111605
111606         )
111607
111608 15218 \ 1
111609         #BIRQ_BD7
111610  
111611 b\9cq
111612 .
111613 b\99
111614 .
111615 _BD7
111616
111617
111618         )
111619
111620 15219 \ 1
111621         #BIRQ_BD6
111622  
111623 b\9cq
111624 .
111625 b\99
111626 .
111627 _BD6
111628
111629
111630         )
111631
111632 15220 \ 1
111633         #BIRQ_BD5
111634  
111635 b\9cq
111636 .
111637 b\99
111638 .
111639 _BD5
111640
111641
111642         )
111643
111644 15221 \ 1
111645         #BIRQ_BD4
111646  
111647 b\9cq
111648 .
111649 b\99
111650 .
111651 _BD4
111652
111653
111654         )
111655
111656 15222 \ 1
111657         #BIRQ_BD3
111658  
111659 b\9cq
111660 .
111661 b\99
111662 .
111663 _BD3
111664
111665
111666         )
111667
111668 15223 \ 1
111669         #BIRQ_BD2
111670  
111671 b\9cq
111672 .
111673 b\99
111674 .
111675 _BD2
111676
111677
111678         )
111679
111680 15224 \ 1
111681         #BIRQ_BD1
111682  
111683 b\9cq
111684 .
111685 b\99
111686 .
111687 _BD1
111688
111689
111690         )
111691
111692 15225 \ 1
111693         #BIRQ_BD0
111694  
111695 b\9cq
111696 .
111697 b\99
111698 .
111699 _BD0
111700
111701
111702         )
111703
111704 15226 
111705 __IO_EXTERN
111706  
111707 BCR0STR
111708  
111709         gbü0
111710 ;
111711
111712 15227 \ 1
111713         #BCR0
111714  
111715 bü0
111716 .
111717 lwÜd
111718
111719
111720         )
111721
111722 15228 \ 1
111723         #BCR0_SRX1
111724  
111725 bü0
111726 .
111727 b\99
111728 .
111729 _SRX1
111730
111731
111732         )
111733
111734 15229 \ 1
111735         #BCR0_SW1
111736  
111737 bü0
111738 .
111739 b\99
111740 .
111741 _SW1
111742
111743
111744         )
111745
111746 15230 \ 1
111747         #BCR0_SRX0
111748  
111749 bü0
111750 .
111751 b\99
111752 .
111753 _SRX0
111754
111755
111756         )
111757
111758 15231 \ 1
111759         #BCR0_SW0
111760  
111761 bü0
111762 .
111763 b\99
111764 .
111765 _SW0
111766
111767
111768         )
111769
111770 15232 \ 1
111771         #BCR0_URX1
111772  
111773 bü0
111774 .
111775 b\99
111776 .
111777 _URX1
111778
111779
111780         )
111781
111782 15233 \ 1
111783         #BCR0_UW1
111784  
111785 bü0
111786 .
111787 b\99
111788 .
111789 _UW1
111790
111791
111792         )
111793
111794 15234 \ 1
111795         #BCR0_URX0
111796  
111797 bü0
111798 .
111799 b\99
111800 .
111801 _URX0
111802
111803
111804         )
111805
111806 15235 \ 1
111807         #BCR0_UW0
111808  
111809 bü0
111810 .
111811 b\99
111812 .
111813 _UW0
111814
111815
111816         )
111817
111818 15236 \ 1
111819         #BCR0_MPE
111820  
111821 bü0
111822 .
111823 b\99
111824 .
111825 _MPE
111826
111827
111828         )
111829
111830 15237 \ 1
111831         #BCR0_COMB
111832  
111833 bü0
111834 .
111835 b\99
111836 .
111837 _COMB
111838
111839
111840         )
111841
111842 15238 \ 1
111843         #BCR0_CTC1
111844  
111845 bü0
111846 .
111847 b\99
111848 .
111849 _CTC1
111850
111851
111852         )
111853
111854 15239 \ 1
111855         #BCR0_CTC0
111856  
111857 bü0
111858 .
111859 b\99
111860 .
111861 _CTC0
111862
111863
111864         )
111865
111866 15240 \ 1
111867         #BCR0_OBS1
111868  
111869 bü0
111870 .
111871 b\99
111872 .
111873 _OBS1
111874
111875
111876         )
111877
111878 15241 \ 1
111879         #BCR0_OBS0
111880  
111881 bü0
111882 .
111883 b\99
111884 .
111885 _OBS0
111886
111887
111888         )
111889
111890 15242 \ 1
111891         #BCR0_OBT1
111892  
111893 bü0
111894 .
111895 b\99
111896 .
111897 _OBT1
111898
111899
111900         )
111901
111902 15243 \ 1
111903         #BCR0_OBT0
111904  
111905 bü0
111906 .
111907 b\99
111908 .
111909 _OBT0
111910
111911
111912         )
111913
111914 15244 \ 1
111915         #BCR0_EP3
111916  
111917 bü0
111918 .
111919 b\99
111920 .
111921 _EP3
111922
111923
111924         )
111925
111926 15245 \ 1
111927         #BCR0_EP2
111928  
111929 bü0
111930 .
111931 b\99
111932 .
111933 _EP2
111934
111935
111936         )
111937
111938 15246 \ 1
111939         #BCR0_EP1
111940  
111941 bü0
111942 .
111943 b\99
111944 .
111945 _EP1
111946
111947
111948         )
111949
111950 15247 \ 1
111951         #BCR0_EP0
111952  
111953 bü0
111954 .
111955 b\99
111956 .
111957 _EP0
111958
111959
111960         )
111961
111962 15248 \ 1
111963         #BCR0_EM1
111964  
111965 bü0
111966 .
111967 b\99
111968 .
111969 _EM1
111970
111971
111972         )
111973
111974 15249 \ 1
111975         #BCR0_EM0
111976  
111977 bü0
111978 .
111979 b\99
111980 .
111981 _EM0
111982
111983
111984         )
111985
111986 15250 \ 1
111987         #BCR0_ER1
111988  
111989 bü0
111990 .
111991 b\99
111992 .
111993 _ER1
111994
111995
111996         )
111997
111998 15251 \ 1
111999         #BCR0_ER0
112000  
112001 bü0
112002 .
112003 b\99
112004 .
112005 _ER0
112006
112007
112008         )
112009
112010 15252 \ 1
112011         #BCR0_CTC
112012  
112013 bü0
112014 .
112015 b\99c
112016 .
112017 _CTC
112018
112019
112020         )
112021
112022 15253 \ 1
112023         #BCR0_OBS
112024  
112025 bü0
112026 .
112027 b\99c
112028 .
112029 _OBS
112030
112031
112032         )
112033
112034 15254 \ 1
112035         #BCR0_OBT
112036  
112037 bü0
112038 .
112039 b\99c
112040 .
112041 _OBT
112042
112043
112044         )
112045
112046 15255 \ 1
112047         #BCR0_EP
112048  
112049 bü0
112050 .
112051 b\99c
112052 .
112053 _EP
112054
112055
112056         )
112057
112058 15256 \ 1
112059         #BCR0_EM
112060  
112061 bü0
112062 .
112063 b\99c
112064 .
112065 _EM
112066
112067
112068         )
112069
112070 15257 \ 1
112071         #BCR0_ER
112072  
112073 bü0
112074 .
112075 b\99c
112076 .
112077 _ER
112078
112079
112080         )
112081
112082 15258 
112083 __IO_EXTERN
112084  
112085 BCR1STR
112086  
112087         gbü1
112088 ;
112089
112090 15259 \ 1
112091         #BCR1
112092  
112093 bü1
112094 .
112095 lwÜd
112096
112097
112098         )
112099
112100 15260 \ 1
112101         #BCR1_SRX1
112102  
112103 bü1
112104 .
112105 b\99
112106 .
112107 _SRX1
112108
112109
112110         )
112111
112112 15261 \ 1
112113         #BCR1_SW1
112114  
112115 bü1
112116 .
112117 b\99
112118 .
112119 _SW1
112120
112121
112122         )
112123
112124 15262 \ 1
112125         #BCR1_SRX0
112126  
112127 bü1
112128 .
112129 b\99
112130 .
112131 _SRX0
112132
112133
112134         )
112135
112136 15263 \ 1
112137         #BCR1_SW0
112138  
112139 bü1
112140 .
112141 b\99
112142 .
112143 _SW0
112144
112145
112146         )
112147
112148 15264 \ 1
112149         #BCR1_URX1
112150  
112151 bü1
112152 .
112153 b\99
112154 .
112155 _URX1
112156
112157
112158         )
112159
112160 15265 \ 1
112161         #BCR1_UW1
112162  
112163 bü1
112164 .
112165 b\99
112166 .
112167 _UW1
112168
112169
112170         )
112171
112172 15266 \ 1
112173         #BCR1_URX0
112174  
112175 bü1
112176 .
112177 b\99
112178 .
112179 _URX0
112180
112181
112182         )
112183
112184 15267 \ 1
112185         #BCR1_UW0
112186  
112187 bü1
112188 .
112189 b\99
112190 .
112191 _UW0
112192
112193
112194         )
112195
112196 15268 \ 1
112197         #BCR1_MPE
112198  
112199 bü1
112200 .
112201 b\99
112202 .
112203 _MPE
112204
112205
112206         )
112207
112208 15269 \ 1
112209         #BCR1_COMB
112210  
112211 bü1
112212 .
112213 b\99
112214 .
112215 _COMB
112216
112217
112218         )
112219
112220 15270 \ 1
112221         #BCR1_CTC1
112222  
112223 bü1
112224 .
112225 b\99
112226 .
112227 _CTC1
112228
112229
112230         )
112231
112232 15271 \ 1
112233         #BCR1_CTC0
112234  
112235 bü1
112236 .
112237 b\99
112238 .
112239 _CTC0
112240
112241
112242         )
112243
112244 15272 \ 1
112245         #BCR1_OBS1
112246  
112247 bü1
112248 .
112249 b\99
112250 .
112251 _OBS1
112252
112253
112254         )
112255
112256 15273 \ 1
112257         #BCR1_OBS0
112258  
112259 bü1
112260 .
112261 b\99
112262 .
112263 _OBS0
112264
112265
112266         )
112267
112268 15274 \ 1
112269         #BCR1_OBT1
112270  
112271 bü1
112272 .
112273 b\99
112274 .
112275 _OBT1
112276
112277
112278         )
112279
112280 15275 \ 1
112281         #BCR1_OBT0
112282  
112283 bü1
112284 .
112285 b\99
112286 .
112287 _OBT0
112288
112289
112290         )
112291
112292 15276 \ 1
112293         #BCR1_EP3
112294  
112295 bü1
112296 .
112297 b\99
112298 .
112299 _EP3
112300
112301
112302         )
112303
112304 15277 \ 1
112305         #BCR1_EP2
112306  
112307 bü1
112308 .
112309 b\99
112310 .
112311 _EP2
112312
112313
112314         )
112315
112316 15278 \ 1
112317         #BCR1_EP1
112318  
112319 bü1
112320 .
112321 b\99
112322 .
112323 _EP1
112324
112325
112326         )
112327
112328 15279 \ 1
112329         #BCR1_EP0
112330  
112331 bü1
112332 .
112333 b\99
112334 .
112335 _EP0
112336
112337
112338         )
112339
112340 15280 \ 1
112341         #BCR1_EM1
112342  
112343 bü1
112344 .
112345 b\99
112346 .
112347 _EM1
112348
112349
112350         )
112351
112352 15281 \ 1
112353         #BCR1_EM0
112354  
112355 bü1
112356 .
112357 b\99
112358 .
112359 _EM0
112360
112361
112362         )
112363
112364 15282 \ 1
112365         #BCR1_ER1
112366  
112367 bü1
112368 .
112369 b\99
112370 .
112371 _ER1
112372
112373
112374         )
112375
112376 15283 \ 1
112377         #BCR1_ER0
112378  
112379 bü1
112380 .
112381 b\99
112382 .
112383 _ER0
112384
112385
112386         )
112387
112388 15284 \ 1
112389         #BCR1_CTC
112390  
112391 bü1
112392 .
112393 b\99c
112394 .
112395 _CTC
112396
112397
112398         )
112399
112400 15285 \ 1
112401         #BCR1_OBS
112402  
112403 bü1
112404 .
112405 b\99c
112406 .
112407 _OBS
112408
112409
112410         )
112411
112412 15286 \ 1
112413         #BCR1_OBT
112414  
112415 bü1
112416 .
112417 b\99c
112418 .
112419 _OBT
112420
112421
112422         )
112423
112424 15287 \ 1
112425         #BCR1_EP
112426  
112427 bü1
112428 .
112429 b\99c
112430 .
112431 _EP
112432
112433
112434         )
112435
112436 15288 \ 1
112437         #BCR1_EM
112438  
112439 bü1
112440 .
112441 b\99c
112442 .
112443 _EM
112444
112445
112446         )
112447
112448 15289 \ 1
112449         #BCR1_ER
112450  
112451 bü1
112452 .
112453 b\99c
112454 .
112455 _ER
112456
112457
112458         )
112459
112460 15290 
112461 __IO_EXTERN
112462  
112463 IO_LWORD
112464  
112465         gbad0
112466 ;
112467
112468 15291 \ 1
112469         #BAD0
112470  
112471 bad0
112472
112473
112474         )
112475
112476 15292 
112477 __IO_EXTERN
112478  
112479 IO_LWORD
112480  
112481         gbad1
112482 ;
112483
112484 15293 \ 1
112485         #BAD1
112486  
112487 bad1
112488
112489
112490         )
112491
112492 15294 
112493 __IO_EXTERN
112494  
112495 IO_LWORD
112496  
112497         gbad2
112498 ;
112499
112500 15295 \ 1
112501         #BAD2
112502  
112503 bad2
112504
112505
112506         )
112507
112508 15296 
112509 __IO_EXTERN
112510  
112511 IO_LWORD
112512  
112513         gbad3
112514 ;
112515
112516 15297 \ 1
112517         #BAD3
112518  
112519 bad3
112520
112521
112522         )
112523
112524 15298 
112525 __IO_EXTERN
112526  
112527 IO_LWORD
112528  
112529         gbad4
112530 ;
112531
112532 15299 \ 1
112533         #BAD4
112534  
112535 bad4
112536
112537
112538         )
112539
112540 15300 
112541 __IO_EXTERN
112542  
112543 IO_LWORD
112544  
112545         gbad5
112546 ;
112547
112548 15301 \ 1
112549         #BAD5
112550  
112551 bad5
112552
112553
112554         )
112555
112556 15302 
112557 __IO_EXTERN
112558  
112559 IO_LWORD
112560  
112561         gbad6
112562 ;
112563
112564 15303 \ 1
112565         #BAD6
112566  
112567 bad6
112568
112569
112570         )
112571
112572 15304 
112573 __IO_EXTERN
112574  
112575 IO_LWORD
112576  
112577         gbad7
112578 ;
112579
112580 15305 \ 1
112581         #BAD7
112582  
112583 bad7
112584
112585
112586         )
112587
112588 15306 
112589 __IO_EXTERN
112590  
112591 IO_LWORD
112592  
112593         gfsv1
112594 ;
112595
112596 15307 \ 1
112597         #FSV1
112598  
112599 fsv1
112600
112601
112602         )
112603
112604 15308 
112605 __IO_EXTERN
112606  
112607 IO_LWORD
112608  
112609         gbsv1
112610 ;
112611
112612 15309 \ 1
112613         #BSV1
112614  
112615 bsv1
112616
112617
112618         )
112619
112620 15310 
112621 __IO_EXTERN
112622  
112623 IO_LWORD
112624  
112625         gfsv2
112626 ;
112627
112628 15311 \ 1
112629         #FSV2
112630  
112631 fsv2
112632
112633
112634         )
112635
112636 15312 
112637 __IO_EXTERN
112638  
112639 IO_LWORD
112640  
112641         gbsv2
112642 ;
112643
112644 15313 \ 1
112645         #BSV2
112646  
112647 bsv2
112648
112649
112650         )
112651
112652 15318 \ 1
112653         #RB_SYNC
112654  if(
112655 RBSYNC
112656 )
112657
112658         )
112659
112660 15319 \ 1
112661         #CB_SYNC4
112662  if(
112663 CBSYNC4
112664 )
112665
112666         )
112667
112668         @uart.c
112669
112670\ 2
112671         ~"mb91465k.h
112672 "
112673
112674 9 cڡ \ 5
112675         gASCII
112676 [] = "0123456789ABCDEF";
112677
112678 11 \1e
112679         $In\99U¬t4
112680 (\1e)
112681
112682 15 
112683 BGR04
112684  = 832;
112685
112686 25 
112687 SCR04
112688  = 0x17;
112689
112690 26 
112691 SMR04
112692  = 0x0d;
112693
112694 27 
112695 SSR04
112696  = 0x00;
112697
112698 28 
112699 PFR19
112700  = (PFR19 & 0xFC) | 0x03;
112701
112702 29 
112703 EPFR19
112704  = 0x00;
112705
112706 30 
112707         }
112708 }
112709
112710 32 \1e
112711         $Putch4
112712 (\ 5
112713 ch
112714 )
112715
112716 34 \1f
112717 SSR04_TDRE
112718  == 0);
112719
112720 35 
112721 TDR04
112722  = 
112723 ch
112724 ;
112725
112726 36 
112727         }
112728 }
112729
112730 38 \ 5
112731         $G\91ch4
112732 (\1e)
112733
112734 40 vÞ©\9e\90\1d
112735 ch
112736 ;
112737
112738 45 \1f
112739 SSR04_RDRF
112740  == 0)
112741
112742 46 
112743 HWWD
112744  = 0x00;
112745
112746 48 
112747 ch
112748  = 
112749 RDR04
112750 ;
112751
112752 50 ià((
112753 SSR04
112754  & 0xE0) != 0)
112755
112756 52 
112757 SCR04_CRE
112758  = 1;
112759
112760 55 \15 (
112761 ch
112762 );
112763
112764 57 
112765         }
112766 }
112767
112768 60 \1e
112769         $Puts4
112770 (cڡ \ 5*
112771 Name2
112772 )
112773
112774 62 vÞ©\9e\90\12
112775 i
112776 ,
112777 Ën
112778 ;
112779
112780 64 
112781 Ën
112782  = 
112783         `¡¾\92
112784 (
112785 Name2
112786 );
112787
112788 66 \ f
112789 i
112790 =0; i<
112791         `¡¾\92
112792 (
112793 Name2
112794 ); i++)
112795
112796 68 ià(
112797 Name2
112798 [
112799 i
112800 ] == 10)
112801
112802 69 
112803         `Putch4
112804 (13);
112805
112806 70 
112807         `Putch4
112808 (
112809 Name2
112810 [
112811 i
112812 ]);
112813
112814 72 
112815         }
112816 }
112817
112818 75 \ 5
112819         $Echo4
112820 (\1e)
112821
112822 77 \ 5
112823 ch
112824 ;
112825
112826 79 
112827         `Puts4
112828 ("UART 4\84eceive: ");
112829
112830 80 
112831 ch
112832  = 
112833 RDR04
112834 ;
112835
112836 81 
112837         `Putch4
112838 (
112839 ch
112840 );
112841
112842 82 ià(
112843 ch
112844 ==13)
112845
112846 83 
112847         `Putch4
112848 (10);
112849
112850 85 \15 (
112851 ch
112852 );
112853
112854 86 
112855         }
112856 }
112857
112858 88 \1e
112859         $Puthex4
112860 (\1d\13
112861 n
112862\1d\ 5
112863 dig\99s
112864 )
112865
112866 90 \1d\ 5
112867 dig\99
112868 =0,
112869 div
112870 =0,
112871 i
112872 ;
112873
112874 92 
112875 div
112876 =(4*(
112877 dig\99s
112878 -1));
112879
112880 93 \ f
112881 i
112882 =0;i<
112883 dig\99s
112884 ;i++)
112885
112886 95 
112887 dig\99
112888  = ((
112889 n
112890  >> 
112891 div
112892 )&0xF);
112893
112894 96 
112895         `Putch4
112896 (
112897 dig\99
112898  + ((digit < 0xA) ? '0' : 'A' - 0xA));
112899
112900 97 
112901 div
112902 -=4;
112903
112904 99 
112905         }
112906 }
112907
112908 101 \1e
112909         $Putdec4
112910 (\1d\13
112911 x
112912\12
112913 dig\99s
112914 )
112915
112916 103 \12
112917 i
112918 ;
112919
112920 104 \ 5
112921 buf
112922 [10],
112923 sign
112924 =1;
112925
112926 106 ià(
112927 dig\99s
112928  < 0) {
112929
112930 107 
112931 dig\99s
112932  *= (-1);
112933
112934 108 
112935 sign
112936  =1;
112937
112938 110 
112939 buf
112940 [
112941 dig\99s
112942 ]='\0';
112943
112944 112 \ f
112945 i
112946 =
112947 dig\99s
112948 ; i>0; i--) {
112949
112950 113 
112951 buf
112952 [
112953 i
112954 -1] = 
112955 ASCII
112956 [
112957 x
112958  % 10];
112959
112960 114 
112961 x
112962  = x/10;
112963
112964 117 iàÐ
112965 sign
112966  )
112967
112968 119 \ f
112969 i
112970 =0; 
112971 buf
112972 [i]=='0'; i++) {
112973
112974 120 iàÐ
112975 i
112976 <
112977 dig\99s
112978 -1)
112979
112980 121 
112981 buf
112982 [
112983 i
112984 ] = ' ';
112985
112986 125 
112987         `Puts4
112988 (
112989 buf
112990 );
112991
112992 126 
112993         }
112994 }
112995
112996         @vectors.c
112997
112998 18 \ 2
112999         ~"mb91465k.h
113000 "
113001
113002 19 \ 2
113003         ~"RLT.h
113004 "
113005
113006 32 \1e
113007         $In\99IrqLev\96s
113008 (\1e)
113009
113010 38 
113011 ICR01
113012  = 31;
113013
113014 40 
113015 ICR02
113016  = 31;
113017
113018 42 
113019 ICR03
113020  = 31;
113021
113022 44 
113023 ICR04
113024  = 31;
113025
113026 46 
113027 ICR05
113028  = 31;
113029
113030 48 
113031 ICR06
113032  = 31;
113033
113034 50 
113035 ICR07
113036  = 31;
113037
113038 52 
113039 ICR08
113040  = 30;
113041
113042 54 
113043 ICR09
113044  = 31;
113045
113046 56 
113047 ICR10
113048  = 31;
113049
113050 58 
113051 ICR11
113052  = 31;
113053
113054 60 
113055 ICR12
113056  = 31;
113057
113058 62 
113059 ICR13
113060  = 31;
113061
113062 64 
113063 ICR14
113064  = 31;
113065
113066 66 
113067 ICR15
113068  = 31;
113069
113070 68 
113071 ICR16
113072  = 31;
113073
113074 70 
113075 ICR17
113076  = 31;
113077
113078 72 
113079 ICR18
113080  = 31;
113081
113082 74 
113083 ICR19
113084  = 31;
113085
113086 76 
113087 ICR20
113088  = 31;
113089
113090 78 
113091 ICR21
113092  = 31;
113093
113094 80 
113095 ICR22
113096  = 31;
113097
113098 82 
113099 ICR23
113100  = 31;
113101
113102 84 
113103 ICR24
113104  = 31;
113105
113106 86 
113107 ICR25
113108  = 31;
113109
113110 88 
113111 ICR26
113112  = 31;
113113
113114 90 
113115 ICR27
113116  = 31;
113117
113118 92 
113119 ICR28
113120  = 31;
113121
113122 94 
113123 ICR29
113124  = 31;
113125
113126 96 
113127 ICR30
113128  = 31;
113129
113130 98 
113131 ICR31
113132  = 31;
113133
113134 100 
113135 ICR32
113136  = 31;
113137
113138 102 
113139 ICR33
113140  = 31;
113141
113142 104 
113143 ICR34
113144  = 31;
113145
113146 106 
113147 ICR35
113148  = 31;
113149
113150 108 
113151 ICR36
113152  = 31;
113153
113154 110 
113155 ICR37
113156  = 31;
113157
113158 112 
113159 ICR38
113160  = 31;
113161
113162 114 
113163 ICR39
113164  = 31;
113165
113166 116 
113167 ICR40
113168  = 31;
113169
113170 118 
113171 ICR41
113172  = 31;
113173
113174 120 
113175 ICR42
113176  = 31;
113177
113178 122 
113179 ICR43
113180  = 31;
113181
113182 124 
113183 ICR44
113184  = 31;
113185
113186 126 
113187 ICR45
113188  = 31;
113189
113190 128 
113191 ICR46
113192  = 31;
113193
113194 130 
113195 ICR47
113196  = 31;
113197
113198 132 
113199 ICR48
113200  = 31;
113201
113202 134 
113203 ICR49
113204  = 31;
113205
113206 136 
113207 ICR50
113208  = 31;
113209
113210 138 
113211 ICR51
113212  = 31;
113213
113214 140 
113215 ICR52
113216  = 31;
113217
113218 142 
113219 ICR53
113220  = 31;
113221
113222 144 
113223 ICR54
113224  = 31;
113225
113226 146 
113227 ICR55
113228  = 31;
113229
113230 148 
113231 ICR56
113232  = 31;
113233
113234 150 
113235 ICR57
113236  = 31;
113237
113238 152 
113239 ICR58
113240  = 31;
113241
113242 154 
113243 ICR59
113244  = 31;
113245
113246 156 
113247 ICR60
113248  = 31;
113249
113250 158 
113251 ICR61
113252  = 31;
113253
113254 160 
113255 ICR62
113256  = 31;
113257
113258 162 
113259 ICR63
113260  = 31;
113261
113262 164 
113263         }
113264 }
113265
113266 174 
113267 __\9a\8b¼u±
113268  \1e
113269 DeçuÉIRQHªdËr
113270  (\1e);
113271
113272 175 
113273 __\9a\8b¼u±
113274  \1e
113275 RLT_ChªÃl0_ISR
113276  (\1e);
113277
113278 185 #´agm¨
113279 \9atveù
113280  0xBFF8 0
113281
113282 186 #´agm¨
113283 \9atveù
113284  0x06000000 1
113285
113286 188 #´agm¨
113287 \9atveù
113288  
113289 DeçuÉIRQHªdËr
113290  15
113291
113292 189 #´agm¨
113293 \9atveù
113294  
113295 DeçuÉIRQHªdËr
113296  16
113297
113298 190 #´agm¨
113299 \9atveù
113300  
113301 DeçuÉIRQHªdËr
113302  17
113303
113304 191 #´agm¨
113305 \9atveù
113306  
113307 DeçuÉIRQHªdËr
113308  18
113309
113310 192 #´agm¨
113311 \9atveù
113312  
113313 DeçuÉIRQHªdËr
113314  19
113315
113316 193 #´agm¨
113317 \9atveù
113318  
113319 DeçuÉIRQHªdËr
113320  20
113321
113322 194 #´agm¨
113323 \9atveù
113324  
113325 DeçuÉIRQHªdËr
113326  21
113327
113328 195 #´agm¨
113329 \9atveù
113330  
113331 DeçuÉIRQHªdËr
113332  22
113333
113334 196 #´agm¨
113335 \9atveù
113336  
113337 DeçuÉIRQHªdËr
113338  23
113339
113340 197 #´agm¨
113341 \9atveù
113342  
113343 DeçuÉIRQHªdËr
113344  24
113345
113346 198 #´agm¨
113347 \9atveù
113348  
113349 DeçuÉIRQHªdËr
113350  25
113351
113352 199 #´agm¨
113353 \9atveù
113354  
113355 DeçuÉIRQHªdËr
113356  26
113357
113358 200 #´agm¨
113359 \9atveù
113360  
113361 DeçuÉIRQHªdËr
113362  27
113363
113364 201 #´agm¨
113365 \9atveù
113366  
113367 DeçuÉIRQHªdËr
113368  28
113369
113370 202 #´agm¨
113371 \9atveù
113372  
113373 DeçuÉIRQHªdËr
113374  29
113375
113376 203 #´agm¨
113377 \9atveù
113378  
113379 DeçuÉIRQHªdËr
113380  30
113381
113382 204 #´agm¨
113383 \9atveù
113384  
113385 DeçuÉIRQHªdËr
113386  31
113387
113388 205 #´agm¨
113389 \9atveù
113390  
113391 RLT_ChªÃl0_ISR
113392  32
113393
113394 206 #´agm¨
113395 \9atveù
113396  
113397 DeçuÉIRQHªdËr
113398  33
113399
113400 207 #´agm¨
113401 \9atveù
113402  
113403 DeçuÉIRQHªdËr
113404  34
113405
113406 208 #´agm¨
113407 \9atveù
113408  
113409 DeçuÉIRQHªdËr
113410  35
113411
113412 209 #´agm¨
113413 \9atveù
113414  
113415 DeçuÉIRQHªdËr
113416  36
113417
113418 210 #´agm¨
113419 \9atveù
113420  
113421 DeçuÉIRQHªdËr
113422  37
113423
113424 211 #´agm¨
113425 \9atveù
113426  
113427 DeçuÉIRQHªdËr
113428  38
113429
113430 212 #´agm¨
113431 \9atveù
113432  
113433 DeçuÉIRQHªdËr
113434  39
113435
113436 213 #´agm¨
113437 \9atveù
113438  
113439 DeçuÉIRQHªdËr
113440  40
113441
113442 214 #´agm¨
113443 \9atveù
113444  
113445 DeçuÉIRQHªdËr
113446  41
113447
113448 215 #´agm¨
113449 \9atveù
113450  
113451 DeçuÉIRQHªdËr
113452  42
113453
113454 216 #´agm¨
113455 \9atveù
113456  
113457 DeçuÉIRQHªdËr
113458  43
113459
113460 217 #´agm¨
113461 \9atveù
113462  
113463 DeçuÉIRQHªdËr
113464  44
113465
113466 218 #´agm¨
113467 \9atveù
113468  
113469 DeçuÉIRQHªdËr
113470  45
113471
113472 219 #´agm¨
113473 \9atveù
113474  
113475 DeçuÉIRQHªdËr
113476  46
113477
113478 220 #´agm¨
113479 \9atveù
113480  
113481 DeçuÉIRQHªdËr
113482  47
113483
113484 221 #´agm¨
113485 \9atveù
113486  
113487 DeçuÉIRQHªdËr
113488  48
113489
113490 222 #´agm¨
113491 \9atveù
113492  
113493 DeçuÉIRQHªdËr
113494  49
113495
113496 223 #´agm¨
113497 \9atveù
113498  
113499 DeçuÉIRQHªdËr
113500  50
113501
113502 224 #´agm¨
113503 \9atveù
113504  
113505 DeçuÉIRQHªdËr
113506  51
113507
113508 225 #´agm¨
113509 \9atveù
113510  
113511 DeçuÉIRQHªdËr
113512  52
113513
113514 226 #´agm¨
113515 \9atveù
113516  
113517 DeçuÉIRQHªdËr
113518  53
113519
113520 227 #´agm¨
113521 \9atveù
113522  
113523 DeçuÉIRQHªdËr
113524  54
113525
113526 228 #´agm¨
113527 \9atveù
113528  
113529 DeçuÉIRQHªdËr
113530  55
113531
113532 229 #´agm¨
113533 \9atveù
113534  
113535 DeçuÉIRQHªdËr
113536  56
113537
113538 230 #´agm¨
113539 \9atveù
113540  
113541 DeçuÉIRQHªdËr
113542  57
113543
113544 231 #´agm¨
113545 \9atveù
113546  
113547 DeçuÉIRQHªdËr
113548  58
113549
113550 232 #´agm¨
113551 \9atveù
113552  
113553 DeçuÉIRQHªdËr
113554  59
113555
113556 233 #´agm¨
113557 \9atveù
113558  
113559 DeçuÉIRQHªdËr
113560  60
113561
113562 234 #´agm¨
113563 \9atveù
113564  
113565 DeçuÉIRQHªdËr
113566  61
113567
113568 235 #´agm¨
113569 \9atveù
113570  
113571 DeçuÉIRQHªdËr
113572  62
113573
113574 236 #´agm¨
113575 \9atveù
113576  
113577 DeçuÉIRQHªdËr
113578  63
113579
113580 237 #´agm¨
113581 \9atveù
113582  
113583 DeçuÉIRQHªdËr
113584  64
113585
113586 238 #´agm¨
113587 \9atveù
113588  
113589 DeçuÉIRQHªdËr
113590  65
113591
113592 239 #´agm¨
113593 \9atveù
113594  
113595 DeçuÉIRQHªdËr
113596  66
113597
113598 240 #´agm¨
113599 \9atveù
113600  
113601 DeçuÉIRQHªdËr
113602  67
113603
113604 241 #´agm¨
113605 \9atveù
113606  
113607 DeçuÉIRQHªdËr
113608  68
113609
113610 242 #´agm¨
113611 \9atveù
113612  
113613 DeçuÉIRQHªdËr
113614  69
113615
113616 243 #´agm¨
113617 \9atveù
113618  
113619 DeçuÉIRQHªdËr
113620  70
113621
113622 244 #´agm¨
113623 \9atveù
113624  
113625 DeçuÉIRQHªdËr
113626  71
113627
113628 245 #´agm¨
113629 \9atveù
113630  
113631 DeçuÉIRQHªdËr
113632  72
113633
113634 246 #´agm¨
113635 \9atveù
113636  
113637 DeçuÉIRQHªdËr
113638  73
113639
113640 247 #´agm¨
113641 \9atveù
113642  
113643 DeçuÉIRQHªdËr
113644  74
113645
113646 248 #´agm¨
113647 \9atveù
113648  
113649 DeçuÉIRQHªdËr
113650  75
113651
113652 249 #´agm¨
113653 \9atveù
113654  
113655 DeçuÉIRQHªdËr
113656  76
113657
113658 250 #´agm¨
113659 \9atveù
113660  
113661 DeçuÉIRQHªdËr
113662  77
113663
113664 251 #´agm¨
113665 \9atveù
113666  
113667 DeçuÉIRQHªdËr
113668  78
113669
113670 252 #´agm¨
113671 \9atveù
113672  
113673 DeçuÉIRQHªdËr
113674  79
113675
113676 253 #´agm¨
113677 \9atveù
113678  
113679 DeçuÉIRQHªdËr
113680  80
113681
113682 254 #´agm¨
113683 \9atveù
113684  
113685 DeçuÉIRQHªdËr
113686  81
113687
113688 255 #´agm¨
113689 \9atveù
113690  
113691 DeçuÉIRQHªdËr
113692  82
113693
113694 256 #´agm¨
113695 \9atveù
113696  
113697 DeçuÉIRQHªdËr
113698  83
113699
113700 257 #´agm¨
113701 \9atveù
113702  
113703 DeçuÉIRQHªdËr
113704  84
113705
113706 258 #´agm¨
113707 \9atveù
113708  
113709 DeçuÉIRQHªdËr
113710  85
113711
113712 259 #´agm¨
113713 \9atveù
113714  
113715 DeçuÉIRQHªdËr
113716  86
113717
113718 260 #´agm¨
113719 \9atveù
113720  
113721 DeçuÉIRQHªdËr
113722  87
113723
113724 261 #´agm¨
113725 \9atveù
113726  
113727 DeçuÉIRQHªdËr
113728  88
113729
113730 262 #´agm¨
113731 \9atveù
113732  
113733 DeçuÉIRQHªdËr
113734  89
113735
113736 263 #´agm¨
113737 \9atveù
113738  
113739 DeçuÉIRQHªdËr
113740  90
113741
113742 264 #´agm¨
113743 \9atveù
113744  
113745 DeçuÉIRQHªdËr
113746  91
113747
113748 265 #´agm¨
113749 \9atveù
113750  
113751 DeçuÉIRQHªdËr
113752  92
113753
113754 266 #´agm¨
113755 \9atveù
113756  
113757 DeçuÉIRQHªdËr
113758  93
113759
113760 267 #´agm¨
113761 \9atveù
113762  
113763 DeçuÉIRQHªdËr
113764  94
113765
113766 268 #´agm¨
113767 \9atveù
113768  
113769 DeçuÉIRQHªdËr
113770  95
113771
113772 269 #´agm¨
113773 \9atveù
113774  
113775 DeçuÉIRQHªdËr
113776  96
113777
113778 270 #´agm¨
113779 \9atveù
113780  
113781 DeçuÉIRQHªdËr
113782  97
113783
113784 271 #´agm¨
113785 \9atveù
113786  
113787 DeçuÉIRQHªdËr
113788  98
113789
113790 272 #´agm¨
113791 \9atveù
113792  
113793 DeçuÉIRQHªdËr
113794  99
113795
113796 273 #´agm¨
113797 \9atveù
113798  
113799 DeçuÉIRQHªdËr
113800  100
113801
113802 274 #´agm¨
113803 \9atveù
113804  
113805 DeçuÉIRQHªdËr
113806  101
113807
113808 275 #´agm¨
113809 \9atveù
113810  
113811 DeçuÉIRQHªdËr
113812  102
113813
113814 276 #´agm¨
113815 \9atveù
113816  
113817 DeçuÉIRQHªdËr
113818  103
113819
113820 277 #´agm¨
113821 \9atveù
113822  
113823 DeçuÉIRQHªdËr
113824  104
113825
113826 278 #´agm¨
113827 \9atveù
113828  
113829 DeçuÉIRQHªdËr
113830  105
113831
113832 279 #´agm¨
113833 \9atveù
113834  
113835 DeçuÉIRQHªdËr
113836  106
113837
113838 280 #´agm¨
113839 \9atveù
113840  
113841 DeçuÉIRQHªdËr
113842  107
113843
113844 281 #´agm¨
113845 \9atveù
113846  
113847 DeçuÉIRQHªdËr
113848  108
113849
113850 282 #´agm¨
113851 \9atveù
113852  
113853 DeçuÉIRQHªdËr
113854  109
113855
113856 283 #´agm¨
113857 \9atveù
113858  
113859 DeçuÉIRQHªdËr
113860  110
113861
113862 284 #´agm¨
113863 \9atveù
113864  
113865 DeçuÉIRQHªdËr
113866  111
113867
113868 285 #´agm¨
113869 \9atveù
113870  
113871 DeçuÉIRQHªdËr
113872  112
113873
113874 286 #´agm¨
113875 \9atveù
113876  
113877 DeçuÉIRQHªdËr
113878  113
113879
113880 287 #´agm¨
113881 \9atveù
113882  
113883 DeçuÉIRQHªdËr
113884  114
113885
113886 288 #´agm¨
113887 \9atveù
113888  
113889 DeçuÉIRQHªdËr
113890  115
113891
113892 289 #´agm¨
113893 \9atveù
113894  
113895 DeçuÉIRQHªdËr
113896  116
113897
113898 290 #´agm¨
113899 \9atveù
113900  
113901 DeçuÉIRQHªdËr
113902  117
113903
113904 291 #´agm¨
113905 \9atveù
113906  
113907 DeçuÉIRQHªdËr
113908  118
113909
113910 292 #´agm¨
113911 \9atveù
113912  
113913 DeçuÉIRQHªdËr
113914  119
113915
113916 293 #´agm¨
113917 \9atveù
113918  
113919 DeçuÉIRQHªdËr
113920  120
113921
113922 294 #´agm¨
113923 \9atveù
113924  
113925 DeçuÉIRQHªdËr
113926  121
113927
113928 295 #´agm¨
113929 \9atveù
113930  
113931 DeçuÉIRQHªdËr
113932  122
113933
113934 296 #´agm¨
113935 \9atveù
113936  
113937 DeçuÉIRQHªdËr
113938  123
113939
113940 297 #´agm¨
113941 \9atveù
113942  
113943 DeçuÉIRQHªdËr
113944  124
113945
113946 298 #´agm¨
113947 \9atveù
113948  
113949 DeçuÉIRQHªdËr
113950  125
113951
113952 299 #´agm¨
113953 \9atveù
113954  
113955 DeçuÉIRQHªdËr
113956  126
113957
113958 300 #´agm¨
113959 \9atveù
113960  
113961 DeçuÉIRQHªdËr
113962  127
113963
113964 301 #´agm¨
113965 \9atveù
113966  
113967 DeçuÉIRQHªdËr
113968  128
113969
113970 302 #´agm¨
113971 \9atveù
113972  
113973 DeçuÉIRQHªdËr
113974  129
113975
113976 303 #´agm¨
113977 \9atveù
113978  
113979 DeçuÉIRQHªdËr
113980  130
113981
113982 304 #´agm¨
113983 \9atveù
113984  
113985 DeçuÉIRQHªdËr
113986  131
113987
113988 305 #´agm¨
113989 \9atveù
113990  
113991 DeçuÉIRQHªdËr
113992  132
113993
113994 306 #´agm¨
113995 \9atveù
113996  
113997 DeçuÉIRQHªdËr
113998  133
113999
114000 307 #´agm¨
114001 \9atveù
114002  
114003 DeçuÉIRQHªdËr
114004  134
114005
114006 308 #´agm¨
114007 \9atveù
114008  
114009 DeçuÉIRQHªdËr
114010  135
114011
114012 309 #´agm¨
114013 \9atveù
114014  
114015 DeçuÉIRQHªdËr
114016  136
114017
114018 310 #´agm¨
114019 \9atveù
114020  
114021 DeçuÉIRQHªdËr
114022  137
114023
114024 311 #´agm¨
114025 \9atveù
114026  
114027 DeçuÉIRQHªdËr
114028  138
114029
114030 312 #´agm¨
114031 \9atveù
114032  
114033 DeçuÉIRQHªdËr
114034  139
114035
114036 313 #´agm¨
114037 \9atveù
114038  
114039 DeçuÉIRQHªdËr
114040  140
114041
114042 314 #´agm¨
114043 \9atveù
114044  
114045 DeçuÉIRQHªdËr
114046  141
114047
114048 315 #´agm¨
114049 \9atveù
114050  
114051 DeçuÉIRQHªdËr
114052  142
114053
114054 316 #´agm¨
114055 \9atveù
114056  
114057 DeçuÉIRQHªdËr
114058  143
114059
114060 317 #´agm¨
114061 \9atveù
114062  0xFFFFFFFF 144
114063
114064 327 
114065 __\9a\8b¼u±
114066
114067
114068 328 \1e
114069         $DeçuÉIRQHªdËr
114070  (\1e)
114071
114072 335 
114073         `__DI
114074 ();
114075
114076 337 
114077 HWWD_CL
114078  = 0;
114079
114080 339 
114081         }
114082 }
114083
114084         @vectors.h
114085
114086 13 \1e
114087 In\99IrqLev\96s
114088 (\1e);
114089
114090         @
114091 1
114092 .
114093 1
114094 /usr/include
114095 9
114096 73
114097 Flash.c
114098 Flash.h
114099 MAIN.c
114100 RLT.c
114101 RLT.h
114102 mb91465k.h
114103 uart.c
114104 vectors.c
114105 vectors.h