quartus: nur noch ein tcl skript...
authorBernhard Urban <lewurm@gmail.com>
Sun, 16 May 2010 00:25:37 +0000 (02:25 +0200)
committerBernhard Urban <lewurm@gmail.com>
Sun, 16 May 2010 00:25:37 +0000 (02:25 +0200)
.gitignore
quartus/linux_tilab.sh
quartus/linux_web.sh
quartus/project_gen.tcl [new file with mode: 0644]
quartus/project_tilab.tcl [deleted file]
quartus/project_web.tcl [deleted file]

index 9dc857a91105fd08bfcfb6c3e5b1e88f770a0087..b150b26e1f7d02ef7570c2cbb3e9d8ca09f56810 100644 (file)
@@ -22,3 +22,5 @@ src/transcript
 #quartus
 quartus/calc/
 src/quartus*.tmp
+quartus/project_web.tcl
+quartus/project_tilab.tcl
index ebed0dba7394a6aaba9427c6688afae43376d24c..dcfd9b471814de5873552c65e0b7266f9ad04a45 100755 (executable)
@@ -1,6 +1,8 @@
 #!/bin/sh
 unset LS_COLORS
+sed -e 's/%DEVICE%/EP1S25F672C6/g' project_gen.tcl > project_tilab.tcl
 mkdir -p calc
 cd calc
 quartus_sh -t ../project_tilab.tcl
 cd ..
+#EP1S25F672C6
index 47b6381a7e16675c48c4734cb27e065c2fdf8ede..a6d0b4a8c61487f6e052931c704a3ec7d5fe9bb4 100755 (executable)
@@ -1,6 +1,8 @@
 #!/bin/sh
 unset LS_COLORS
+sed -e 's/%DEVICE%/EP1S10F672C6/g' project_gen.tcl > project_web.tcl
 mkdir -p calc
 cd calc
 quartus_sh -t ../project_web.tcl
 cd ..
+#EP1S10F672C6
diff --git a/quartus/project_gen.tcl b/quartus/project_gen.tcl
new file mode 100644 (file)
index 0000000..40dc720
--- /dev/null
@@ -0,0 +1,61 @@
+package require ::quartus::project
+
+set need_to_close_project 0
+set make_assignments 1
+
+# Check that the right project is open
+if {[is_project_open]} {
+       if {[string compare $quartus(project) "calc"]} {
+               puts "Project calc is not open"
+               set make_assignments 0
+       }
+} else {
+       # Only open if not already open
+       if {[project_exists calc]} {
+               project_open -revision calc calc
+       } else {
+               project_new -revision calc calc
+       }
+       set need_to_close_project 1
+}
+
+# Make assignments
+if {$make_assignments} {
+       set_global_assignment -name FAMILY Stratix
+       set_global_assignment -name DEVICE %DEVICE%
+       set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
+       set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
+       set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
+       set_global_assignment -name MISC_FILE "calc.dpf"
+       set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
+       set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
+       set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
+
+       #set_global_assignment -name TOP_LEVEL_ENTITY calc
+       set_global_assignment -name TOP_LEVEL_ENTITY scanner
+       set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd
+       #set_global_assignment -name VHDL_FILE ../../src/calc.vhd
+       set_global_assignment -name VHDL_FILE ../../src/alu.vhd
+       set_global_assignment -name VHDL_FILE ../../src/parser.vhd
+       set_global_assignment -name VHDL_FILE ../../src/scanner.vhd
+
+       set_location_assignment PIN_N3 -to sys_clk
+       set_location_assignment PIN_AF17 -to sys_res_n
+
+       set_global_assignment -name FMAX_REQUIREMENT "33.33 MHz" -section_id sys_clk
+       set_instance_assignment -name CLOCK_SETTINGS sys_clk -to sys_clk
+
+       set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+       set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
+       set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+       set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+       set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
+
+       # Commit assignments
+       export_assignments
+
+       # Close project
+       if {$need_to_close_project} {
+               project_close
+       }
+}
diff --git a/quartus/project_tilab.tcl b/quartus/project_tilab.tcl
deleted file mode 100755 (executable)
index 256fe65..0000000
+++ /dev/null
@@ -1,60 +0,0 @@
-package require ::quartus::project
-
-set need_to_close_project 0
-set make_assignments 1
-
-# Check that the right project is open
-if {[is_project_open]} {
-       if {[string compare $quartus(project) "calc"]} {
-               puts "Project calc is not open"
-               set make_assignments 0
-       }
-} else {
-       # Only open if not already open
-       if {[project_exists calc]} {
-               project_open -revision calc calc
-       } else {
-               project_new -revision calc calc
-       }
-       set need_to_close_project 1
-}
-
-# Make assignments
-if {$make_assignments} {
-       set_global_assignment -name FAMILY Stratix
-       set_global_assignment -name DEVICE EP1S25F672C6
-       set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
-       set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
-       set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
-       set_global_assignment -name MISC_FILE "calc.dpf"
-       set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
-       set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
-       set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
-
-       #set_global_assignment -name TOP_LEVEL_ENTITY calc
-       set_global_assignment -name TOP_LEVEL_ENTITY parser
-       set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd
-       #set_global_assignment -name VHDL_FILE ../../src/calc.vhd
-       set_global_assignment -name VHDL_FILE ../../src/alu.vhd
-       set_global_assignment -name VHDL_FILE ../../src/parser.vhd
-
-       set_location_assignment PIN_N3 -to sys_clk
-       set_location_assignment PIN_AF17 -to sys_res_n
-
-       set_global_assignment -name FMAX_REQUIREMENT "33.33 MHz" -section_id sys_clk
-       set_instance_assignment -name CLOCK_SETTINGS sys_clk -to sys_clk
-
-       set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
-       set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
-       set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-       set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-       set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
-
-       # Commit assignments
-       export_assignments
-
-       # Close project
-       if {$need_to_close_project} {
-               project_close
-       }
-}
diff --git a/quartus/project_web.tcl b/quartus/project_web.tcl
deleted file mode 100755 (executable)
index 5d297b1..0000000
+++ /dev/null
@@ -1,60 +0,0 @@
-package require ::quartus::project
-
-set need_to_close_project 0
-set make_assignments 1
-
-# Check that the right project is open
-if {[is_project_open]} {
-       if {[string compare $quartus(project) "calc"]} {
-               puts "Project calc is not open"
-               set make_assignments 0
-       }
-} else {
-       # Only open if not already open
-       if {[project_exists calc]} {
-               project_open -revision calc calc
-       } else {
-               project_new -revision calc calc
-       }
-       set need_to_close_project 1
-}
-
-# Make assignments
-if {$make_assignments} {
-       set_global_assignment -name FAMILY Stratix
-       set_global_assignment -name DEVICE EP1S10F672C6
-       set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
-       set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
-       set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
-       set_global_assignment -name MISC_FILE "calc.dpf"
-       set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
-       set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
-       set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
-
-       #set_global_assignment -name TOP_LEVEL_ENTITY calc
-       set_global_assignment -name TOP_LEVEL_ENTITY parser
-       set_global_assignment -name VHDL_FILE ../../src/gen_pkg.vhd
-       #set_global_assignment -name VHDL_FILE ../../src/calc.vhd
-       set_global_assignment -name VHDL_FILE ../../src/alu.vhd
-       set_global_assignment -name VHDL_FILE ../../src/parser.vhd
-
-       set_location_assignment PIN_N3 -to sys_clk
-       set_location_assignment PIN_AF17 -to sys_res_n
-
-       set_global_assignment -name FMAX_REQUIREMENT "33.33 MHz" -section_id sys_clk
-       set_instance_assignment -name CLOCK_SETTINGS sys_clk -to sys_clk
-
-       set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
-       set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
-       set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-       set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
-       set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
-
-       # Commit assignments
-       export_assignments
-
-       # Close project
-       if {$need_to_close_project} {
-               project_close
-       }
-}