rx_new : in std_logic;
-- History
- d_zeile : out hzeile;
- d_spalte : out hspalte;
- d_get : out std_logic;
- d_done : in std_logic;
- d_char : in hbyte
+ pc_zeile : out hzeile;
+ pc_spalte : out hspalte;
+ pc_get : out std_logic;
+ pc_done : in std_logic;
+ pc_char : in hbyte
);
end entity pc_communication;
begin
- d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
- d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
- d_get <= get;
+ pc_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
+ pc_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
+ pc_get <= get;
tx_new <= new_i;
tx_done_i_next <= tx_done;
tx_data <= tx_data_i;
end if;
end process sync;
- output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i, d_char)
+ output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i, pc_char)
begin
get_next <= '0';
new_i_next <= '0';
when FETCH =>
get_next <= '1';
when WAIT_HIST =>
- tx_data_i_next <= d_char;
+ tx_data_i_next <= pc_char;
when FORWARD =>
new_i_next <= '1';
when WAIT_UART =>
end case;
end process output_pc;
- next_state_pc : process (btn_a, d_done, rx_new, rx_data, spalte, state, tx_data_i ,tx_done_i, zeile)
+ next_state_pc : process (btn_a, pc_done, rx_new, rx_data, spalte, state,
+ tx_data_i ,tx_done_i, zeile)
begin
state_next <= state;
case state is
when FETCH =>
state_next <= WAIT_HIST;
when WAIT_HIST =>
- if (d_done = '1') then
+ if (pc_done = '1') then
state_next <= FORWARD;
end if;
when FORWARD =>