1 -- Copyright (C) 1991-2007 Altera Corporation
2 -- Your use of Altera Corporation's design tools, logic functions
3 -- and other software and tools, and its AMPP partner logic
4 -- functions, and any output files from any of the foregoing
5 -- (including device programming or simulation files), and any
6 -- associated documentation or information are expressly subject
7 -- to the terms and conditions of the Altera Program License
8 -- Subscription Agreement, Altera MegaCore Function License
9 -- Agreement, or other applicable license agreement, including,
10 -- without limitation, that your use is for the sole purpose of
11 -- programming logic devices manufactured by Altera and sold by
12 -- Altera or its authorized distributors. Please refer to the
13 -- applicable agreement for further details.
16 -- PROGRAM "Quartus II"
17 -- VERSION "Version 7.0 Build 33 02/05/2007 SJ Full Version"
19 -- DATE "03/30/2009 19:53:36"
22 -- Device: Altera EP2C35F484C6 Package FBGA484
26 -- This VHDL file should be used for ModelSim (VHDL) only
29 LIBRARY IEEE, cycloneii;
30 USE IEEE.std_logic_1164.all;
31 USE cycloneii.cycloneii_components.all;
35 LEDS : OUT std_logic_vector(7 DOWNTO 0);
41 ARCHITECTURE structure OF demo_top IS
42 SIGNAL gnd : std_logic := '0';
43 SIGNAL vcc : std_logic := '1';
44 SIGNAL devoe : std_logic := '1';
45 SIGNAL devclrn : std_logic := '1';
46 SIGNAL devpor : std_logic := '1';
47 SIGNAL ww_devoe : std_logic;
48 SIGNAL ww_devclrn : std_logic;
49 SIGNAL ww_devpor : std_logic;
50 SIGNAL ww_LEDS : std_logic_vector(7 DOWNTO 0);
51 SIGNAL ww_CLK : std_logic;
52 SIGNAL ww_RESET : std_logic;
53 SIGNAL \inst1|altpll_component|pll_INCLK_bus\ : std_logic_vector(1 DOWNTO 0);
54 SIGNAL \inst1|altpll_component|pll_CLK_bus\ : std_logic_vector(2 DOWNTO 0);
55 SIGNAL \inst1|altpll_component|_clk0~clkctrl_I_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
56 SIGNAL \inst1|altpll_component|pll~CLK1\ : std_logic;
57 SIGNAL \inst1|altpll_component|pll~CLK2\ : std_logic;
58 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20\ : std_logic;
59 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22\ : std_logic;
60 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24\ : std_logic;
61 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22\ : std_logic;
62 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28\ : std_logic;
63 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[54]~25\ : std_logic;
64 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ : std_logic;
65 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ : std_logic;
66 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\ : std_logic;
67 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\ : std_logic;
68 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[59]~638\ : std_logic;
69 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[62]~641\ : std_logic;
70 SIGNAL \inst|ledstate_next~434\ : std_logic;
71 SIGNAL \inst|ledstate_next~435\ : std_logic;
72 SIGNAL \CLK~combout\ : std_logic;
73 SIGNAL \inst1|altpll_component|_clk0\ : std_logic;
74 SIGNAL \inst1|altpll_component|_clk0~clkctrl\ : std_logic;
75 SIGNAL \inst|Add0~101\ : std_logic;
76 SIGNAL \inst|Add0~103\ : std_logic;
77 SIGNAL \inst|Add0~105\ : std_logic;
78 SIGNAL \inst|Add0~107\ : std_logic;
79 SIGNAL \inst|Add0~108\ : std_logic;
80 SIGNAL \inst|Add0~104\ : std_logic;
81 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\ : std_logic;
82 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\ : std_logic;
83 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\ : std_logic;
84 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~25\ : std_logic;
85 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\ : std_logic;
86 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31\ : std_logic;
87 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23\ : std_logic;
88 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18\ : std_logic;
89 SIGNAL \inst|Add0~96\ : std_logic;
90 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[54]~17\ : std_logic;
91 SIGNAL \inst|Add0~106\ : std_logic;
92 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\ : std_logic;
93 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\ : std_logic;
94 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16\ : std_logic;
95 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\ : std_logic;
96 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\ : std_logic;
97 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\ : std_logic;
98 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\ : std_logic;
99 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\ : std_logic;
100 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~29\ : std_logic;
101 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31\ : std_logic;
102 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ : std_logic;
103 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[57]~636\ : std_logic;
104 SIGNAL \RESET~combout\ : std_logic;
105 SIGNAL \inst|Add0~97\ : std_logic;
106 SIGNAL \inst|Add0~98\ : std_logic;
107 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\ : std_logic;
108 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20\ : std_logic;
109 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[58]~639\ : std_logic;
110 SIGNAL \inst|Add0~99\ : std_logic;
111 SIGNAL \inst|Add0~100\ : std_logic;
112 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\ : std_logic;
113 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18\ : std_logic;
114 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\ : std_logic;
115 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24\ : std_logic;
116 SIGNAL \inst|Add0~102\ : std_logic;
117 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\ : std_logic;
118 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[60]~642\ : std_logic;
119 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26\ : std_logic;
120 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[61]~640\ : std_logic;
121 SIGNAL \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34\ : std_logic;
122 SIGNAL \inst|Mod0|auto_generated|divider|divider|StageOut[56]~637\ : std_logic;
123 SIGNAL \inst|Equal1~58\ : std_logic;
124 SIGNAL \inst|Equal1~59\ : std_logic;
125 SIGNAL \inst|knightlight~1269\ : std_logic;
126 SIGNAL \inst|knightlight~1270\ : std_logic;
127 SIGNAL \inst|knightlight~1271\ : std_logic;
128 SIGNAL \inst|knightlight~1272\ : std_logic;
129 SIGNAL \inst|knightlight~1273\ : std_logic;
130 SIGNAL \inst|knightlight~1274\ : std_logic;
131 SIGNAL \inst|knightlight~1277\ : std_logic;
132 SIGNAL \inst|knightlight~1275\ : std_logic;
133 SIGNAL \inst|knightlight~1276\ : std_logic;
134 SIGNAL \inst|ledstate_next~431\ : std_logic;
135 SIGNAL \inst|ledstate_next~432\ : std_logic;
136 SIGNAL \inst|ledstate_next~433\ : std_logic;
137 SIGNAL \inst|ledstate_next~436\ : std_logic;
138 SIGNAL \inst|ledstate\ : std_logic;
139 SIGNAL \inst|knightlight~1267\ : std_logic;
140 SIGNAL \inst|knightlight~1268\ : std_logic;
141 SIGNAL \inst|knightlight~1265\ : std_logic;
142 SIGNAL \inst|knightlight~1266\ : std_logic;
143 SIGNAL \inst|knightlight~1264\ : std_logic;
144 SIGNAL \inst|knightlight\ : std_logic_vector(7 DOWNTO 0);
145 SIGNAL \inst|counter\ : std_logic_vector(6 DOWNTO 0);
146 SIGNAL \inst|ALT_INV_knightlight\ : std_logic_vector(7 DOWNTO 0);
147 SIGNAL \ALT_INV_RESET~combout\ : std_logic;
155 ww_devclrn <= devclrn;
158 \inst1|altpll_component|pll_INCLK_bus\ <= (gnd & \CLK~combout\);
160 \inst1|altpll_component|_clk0\ <= \inst1|altpll_component|pll_CLK_bus\(0);
161 \inst1|altpll_component|pll~CLK1\ <= \inst1|altpll_component|pll_CLK_bus\(1);
162 \inst1|altpll_component|pll~CLK2\ <= \inst1|altpll_component|pll_CLK_bus\(2);
164 \inst1|altpll_component|_clk0~clkctrl_I_INCLK_bus\ <= (gnd & gnd & gnd & \inst1|altpll_component|_clk0\);
165 \inst|ALT_INV_knightlight\(7) <= NOT \inst|knightlight\(7);
166 \inst|ALT_INV_knightlight\(6) <= NOT \inst|knightlight\(6);
167 \inst|ALT_INV_knightlight\(5) <= NOT \inst|knightlight\(5);
168 \inst|ALT_INV_knightlight\(4) <= NOT \inst|knightlight\(4);
169 \inst|ALT_INV_knightlight\(3) <= NOT \inst|knightlight\(3);
170 \inst|ALT_INV_knightlight\(2) <= NOT \inst|knightlight\(2);
171 \inst|ALT_INV_knightlight\(1) <= NOT \inst|knightlight\(1);
172 \inst|ALT_INV_knightlight\(0) <= NOT \inst|knightlight\(0);
173 \ALT_INV_RESET~combout\ <= NOT \RESET~combout\;
175 \inst|counter[3]~I\ : cycloneii_lcell_ff
177 clk => \inst1|altpll_component|_clk0~clkctrl\,
178 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[59]~638\,
179 sclr => \ALT_INV_RESET~combout\,
180 devclrn => ww_devclrn,
182 regout => \inst|counter\(3));
184 \inst|counter[6]~I\ : cycloneii_lcell_ff
186 clk => \inst1|altpll_component|_clk0~clkctrl\,
187 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[62]~641\,
188 sclr => \ALT_INV_RESET~combout\,
189 devclrn => ww_devclrn,
191 regout => \inst|counter\(6));
193 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20_I\ : cycloneii_lcell_comb
195 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20\ = \inst|Add0~104\ & (GND # !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\) # !\inst|Add0~104\ &
196 -- (\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\ $ GND)
197 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\ = CARRY(\inst|Add0~104\ # !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\)
199 -- pragma translate_off
201 lut_mask => "0011110011001111",
202 sum_lutc_input => "cin")
203 -- pragma translate_on
205 datab => \inst|Add0~104\,
207 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\,
208 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20\,
209 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\);
211 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22_I\ : cycloneii_lcell_comb
213 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22\ = \inst|Add0~106\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\ # !\inst|Add0~106\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\ #
215 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\ = CARRY(!\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\ # !\inst|Add0~106\)
217 -- pragma translate_off
219 lut_mask => "0101101001011111",
220 sum_lutc_input => "cin")
221 -- pragma translate_on
223 dataa => \inst|Add0~106\,
225 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~21\,
226 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22\,
227 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\);
229 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24_I\ : cycloneii_lcell_comb
231 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24\ = \inst|Add0~108\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\ $ GND) # !\inst|Add0~108\ &
232 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\ & VCC
233 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~25\ = CARRY(\inst|Add0~108\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\)
235 -- pragma translate_off
237 lut_mask => "1100001100001100",
238 sum_lutc_input => "cin")
239 -- pragma translate_on
241 datab => \inst|Add0~108\,
243 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~23\,
244 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24\,
245 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~25\);
247 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22_I\ : cycloneii_lcell_comb
249 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\ #
250 -- \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\) # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\ & !\inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\ &
251 -- !\inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\
252 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\ = CARRY(!\inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\ & !\inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\ &
253 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\)
255 -- pragma translate_off
257 lut_mask => "1110000100000001",
258 sum_lutc_input => "cin")
259 -- pragma translate_on
261 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\,
262 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\,
264 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\,
265 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22\,
266 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\);
268 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28_I\ : cycloneii_lcell_comb
270 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ #
271 -- \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\) # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\ & ((\inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ #
272 -- \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\))
273 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~29\ = CARRY(!\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ #
274 -- \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\))
276 -- pragma translate_off
278 lut_mask => "1110000100001110",
279 sum_lutc_input => "cin")
280 -- pragma translate_on
282 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\,
283 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\,
285 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\,
286 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28\,
287 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~29\);
289 \inst|Mod0|auto_generated|divider|divider|StageOut[54]~25_I\ : cycloneii_lcell_comb
291 -- \inst|Mod0|auto_generated|divider|divider|StageOut[54]~25\ = !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24\
293 -- pragma translate_off
295 lut_mask => "0000111100000000",
296 sum_lutc_input => "datac")
297 -- pragma translate_on
299 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
300 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~24\,
301 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[54]~25\);
303 \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26_I\ : cycloneii_lcell_comb
305 -- \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ = \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
307 -- pragma translate_off
309 lut_mask => "0000000010101010",
310 sum_lutc_input => "datac")
311 -- pragma translate_on
313 dataa => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[5]~22\,
314 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
315 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\);
317 \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27_I\ : cycloneii_lcell_comb
319 -- \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ = \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
321 -- pragma translate_off
323 lut_mask => "0000000011110000",
324 sum_lutc_input => "datac")
325 -- pragma translate_on
327 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[4]~20\,
328 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
329 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\);
331 \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21_I\ : cycloneii_lcell_comb
333 -- \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\ = \inst|Add0~100\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
335 -- pragma translate_off
337 lut_mask => "1111000000000000",
338 sum_lutc_input => "datac")
339 -- pragma translate_on
341 datac => \inst|Add0~100\,
342 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
343 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\);
345 \inst|Mod0|auto_generated|divider|divider|StageOut[49]~22_I\ : cycloneii_lcell_comb
347 -- \inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\ = \inst|Add0~98\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
349 -- pragma translate_off
351 lut_mask => "1100110000000000",
352 sum_lutc_input => "datac")
353 -- pragma translate_on
355 datab => \inst|Add0~98\,
356 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
357 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\);
359 \inst|Mod0|auto_generated|divider|divider|StageOut[59]~638_I\ : cycloneii_lcell_comb
361 -- \inst|Mod0|auto_generated|divider|divider|StageOut[59]~638\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\ # \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\)
362 -- # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22\)
364 -- pragma translate_off
366 lut_mask => "1110111011110000",
367 sum_lutc_input => "datac")
368 -- pragma translate_on
370 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\,
371 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~21\,
372 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~22\,
373 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
374 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[59]~638\);
376 \inst|Mod0|auto_generated|divider|divider|StageOut[62]~641_I\ : cycloneii_lcell_comb
378 -- \inst|Mod0|auto_generated|divider|divider|StageOut[62]~641\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\ # \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\)
379 -- # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28\)
381 -- pragma translate_off
383 lut_mask => "1111101011001100",
384 sum_lutc_input => "datac")
385 -- pragma translate_on
387 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~26\,
388 datab => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~28\,
389 datac => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\,
390 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
391 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[62]~641\);
393 \inst|ledstate_next~434_I\ : cycloneii_lcell_comb
395 -- \inst|ledstate_next~434\ = \inst|knightlight\(3) $ \inst|Equal1~59\
397 -- pragma translate_off
399 lut_mask => "0000111111110000",
400 sum_lutc_input => "datac")
401 -- pragma translate_on
403 datac => \inst|knightlight\(3),
404 datad => \inst|Equal1~59\,
405 combout => \inst|ledstate_next~434\);
407 \inst|ledstate_next~435_I\ : cycloneii_lcell_comb
409 -- \inst|ledstate_next~435\ = \inst|knightlight\(4) & (\inst|ledstate\ # \inst|ledstate_next~434\) # !\inst|knightlight\(4) & (\inst|ledstate_next~434\ & \inst|ledstate\ # !\inst|ledstate_next~434\ & (\inst|knightlight\(7)))
411 -- pragma translate_off
413 lut_mask => "1110101111101000",
414 sum_lutc_input => "datac")
415 -- pragma translate_on
417 dataa => \inst|ledstate\,
418 datab => \inst|knightlight\(4),
419 datac => \inst|ledstate_next~434\,
420 datad => \inst|knightlight\(7),
421 combout => \inst|ledstate_next~435\);
423 \CLK~I\ : cycloneii_io
424 -- pragma translate_off
426 input_async_reset => "none",
427 input_power_up => "low",
428 input_register_mode => "none",
429 input_sync_reset => "none",
430 oe_async_reset => "none",
431 oe_power_up => "low",
432 oe_register_mode => "none",
433 oe_sync_reset => "none",
434 operation_mode => "input",
435 output_async_reset => "none",
436 output_power_up => "low",
437 output_register_mode => "none",
438 output_sync_reset => "none")
439 -- pragma translate_on
441 devclrn => ww_devclrn,
446 combout => \CLK~combout\);
448 \inst1|altpll_component|pll\ : cycloneii_pll
449 -- pragma translate_off
452 bandwidth_type => "auto",
462 charge_pump_current => 80,
463 clk0_counter => "c0",
465 clk0_duty_cycle => 50,
466 clk0_multiply_by => 4,
467 clk0_phase_shift => "0",
468 clk1_duty_cycle => 50,
469 clk1_phase_shift => "0",
470 clk2_duty_cycle => 50,
471 clk2_phase_shift => "0",
472 compensate_clock => "clk0",
473 gate_lock_counter => 0,
474 gate_lock_signal => "no",
475 inclk0_input_frequency => 40000,
476 inclk1_input_frequency => 40000,
477 invalid_lock_multiplier => 5,
479 loop_filter_r => " 2.500000",
484 operation_mode => "normal",
487 pll_compensation_delay => 5370,
488 self_reset_on_gated_loss_lock => "off",
489 simulation_type => "timing",
490 valid_lock_multiplier => 1,
494 -- pragma translate_on
496 inclk => \inst1|altpll_component|pll_INCLK_bus\,
497 clk => \inst1|altpll_component|pll_CLK_bus\);
499 \inst1|altpll_component|_clk0~clkctrl_I\ : cycloneii_clkctrl
500 -- pragma translate_off
502 clock_type => "global clock",
503 ena_register_mode => "falling edge")
504 -- pragma translate_on
506 inclk => \inst1|altpll_component|_clk0~clkctrl_I_INCLK_bus\,
507 devclrn => ww_devclrn,
509 outclk => \inst1|altpll_component|_clk0~clkctrl\);
511 \inst|Add0~100_I\ : cycloneii_lcell_comb
513 -- \inst|Add0~100\ = \inst|counter\(3) & (\inst|Add0~99\ $ GND) # !\inst|counter\(3) & !\inst|Add0~99\ & VCC
514 -- \inst|Add0~101\ = CARRY(\inst|counter\(3) & !\inst|Add0~99\)
516 -- pragma translate_off
518 lut_mask => "1010010100001010",
519 sum_lutc_input => "cin")
520 -- pragma translate_on
522 dataa => \inst|counter\(3),
524 cin => \inst|Add0~99\,
525 combout => \inst|Add0~100\,
526 cout => \inst|Add0~101\);
528 \inst|Add0~102_I\ : cycloneii_lcell_comb
530 -- \inst|Add0~102\ = \inst|counter\(4) & !\inst|Add0~101\ # !\inst|counter\(4) & (\inst|Add0~101\ # GND)
531 -- \inst|Add0~103\ = CARRY(!\inst|Add0~101\ # !\inst|counter\(4))
533 -- pragma translate_off
535 lut_mask => "0011110000111111",
536 sum_lutc_input => "cin")
537 -- pragma translate_on
539 datab => \inst|counter\(4),
541 cin => \inst|Add0~101\,
542 combout => \inst|Add0~102\,
543 cout => \inst|Add0~103\);
545 \inst|Add0~104_I\ : cycloneii_lcell_comb
547 -- \inst|Add0~104\ = \inst|counter\(5) & (\inst|Add0~103\ $ GND) # !\inst|counter\(5) & !\inst|Add0~103\ & VCC
548 -- \inst|Add0~105\ = CARRY(\inst|counter\(5) & !\inst|Add0~103\)
550 -- pragma translate_off
552 lut_mask => "1010010100001010",
553 sum_lutc_input => "cin")
554 -- pragma translate_on
556 dataa => \inst|counter\(5),
558 cin => \inst|Add0~103\,
559 combout => \inst|Add0~104\,
560 cout => \inst|Add0~105\);
562 \inst|Add0~106_I\ : cycloneii_lcell_comb
564 -- \inst|Add0~106\ = \inst|counter\(6) & !\inst|Add0~105\ # !\inst|counter\(6) & (\inst|Add0~105\ # GND)
565 -- \inst|Add0~107\ = CARRY(!\inst|Add0~105\ # !\inst|counter\(6))
567 -- pragma translate_off
569 lut_mask => "0101101001011111",
570 sum_lutc_input => "cin")
571 -- pragma translate_on
573 dataa => \inst|counter\(6),
575 cin => \inst|Add0~105\,
576 combout => \inst|Add0~106\,
577 cout => \inst|Add0~107\);
579 \inst|Add0~108_I\ : cycloneii_lcell_comb
581 -- \inst|Add0~108\ = !\inst|Add0~107\
583 -- pragma translate_off
585 lut_mask => "0000111100001111",
586 sum_lutc_input => "cin")
587 -- pragma translate_on
589 cin => \inst|Add0~107\,
590 combout => \inst|Add0~108\);
592 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18_I\ : cycloneii_lcell_comb
594 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18\ = \inst|Add0~102\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\ & VCC # !\inst|Add0~102\ &
595 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\
596 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\ = CARRY(!\inst|Add0~102\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\)
598 -- pragma translate_off
600 lut_mask => "1010010100000101",
601 sum_lutc_input => "cin")
602 -- pragma translate_on
604 dataa => \inst|Add0~102\,
606 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\,
607 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18\,
608 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~19\);
610 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26_I\ : cycloneii_lcell_comb
612 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\ = !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~25\
614 -- pragma translate_off
616 lut_mask => "0000111100001111",
617 sum_lutc_input => "cin")
618 -- pragma translate_on
620 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[6]~25\,
621 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\);
623 \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31_I\ : cycloneii_lcell_comb
625 -- \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31\ = \inst|Add0~96\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
627 -- pragma translate_off
629 lut_mask => "0000000010101010",
630 sum_lutc_input => "datac")
631 -- pragma translate_on
633 dataa => \inst|Add0~96\,
634 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
635 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31\);
637 \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23_I\ : cycloneii_lcell_comb
639 -- \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23\ = \inst|Add0~96\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
641 -- pragma translate_off
643 lut_mask => "1010101000000000",
644 sum_lutc_input => "datac")
645 -- pragma translate_on
647 dataa => \inst|Add0~96\,
648 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
649 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23\);
651 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18_I\ : cycloneii_lcell_comb
653 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18\ = \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31\ # \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23\
655 -- pragma translate_off
657 lut_mask => "1111111111110000",
658 sum_lutc_input => "datac")
659 -- pragma translate_on
661 datac => \inst|Mod0|auto_generated|divider|divider|StageOut[48]~31\,
662 datad => \inst|Mod0|auto_generated|divider|divider|StageOut[48]~23\,
663 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18\);
665 \inst|Add0~96_I\ : cycloneii_lcell_comb
667 -- \inst|Add0~96\ = \inst|counter\(0) & (\inst|counter\(1) $ VCC) # !\inst|counter\(0) & \inst|counter\(1) & VCC
668 -- \inst|Add0~97\ = CARRY(\inst|counter\(0) & \inst|counter\(1))
670 -- pragma translate_off
672 lut_mask => "0110011010001000",
673 sum_lutc_input => "datac")
674 -- pragma translate_on
676 dataa => \inst|counter\(0),
677 datab => \inst|counter\(1),
679 combout => \inst|Add0~96\,
680 cout => \inst|Add0~97\);
682 \inst|Mod0|auto_generated|divider|divider|StageOut[54]~17_I\ : cycloneii_lcell_comb
684 -- \inst|Mod0|auto_generated|divider|divider|StageOut[54]~17\ = \inst|Add0~108\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
686 -- pragma translate_off
688 lut_mask => "1100110000000000",
689 sum_lutc_input => "datac")
690 -- pragma translate_on
692 datab => \inst|Add0~108\,
693 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
694 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[54]~17\);
696 \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18_I\ : cycloneii_lcell_comb
698 -- \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\ = \inst|Add0~106\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
700 -- pragma translate_off
702 lut_mask => "1100110000000000",
703 sum_lutc_input => "datac")
704 -- pragma translate_on
706 datab => \inst|Add0~106\,
707 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
708 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[53]~18\);
710 \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19_I\ : cycloneii_lcell_comb
712 -- \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\ = \inst|Add0~104\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
714 -- pragma translate_off
716 lut_mask => "1100110000000000",
717 sum_lutc_input => "datac")
718 -- pragma translate_on
720 datab => \inst|Add0~104\,
721 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
722 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\);
724 \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16_I\ : cycloneii_lcell_comb
726 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16\ = \inst|Add0~100\ $ VCC
727 -- \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\ = CARRY(\inst|Add0~100\)
729 -- pragma translate_off
731 lut_mask => "0011001111001100",
732 sum_lutc_input => "datac")
733 -- pragma translate_on
735 datab => \inst|Add0~100\,
737 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16\,
738 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~17\);
740 \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29_I\ : cycloneii_lcell_comb
742 -- \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\ = \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
744 -- pragma translate_off
746 lut_mask => "0000000011110000",
747 sum_lutc_input => "datac")
748 -- pragma translate_on
750 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[2]~16\,
751 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
752 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[50]~29\);
754 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20_I\ : cycloneii_lcell_comb
756 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20\ = (\inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\ # \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\)
757 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\ = CARRY(\inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\ # \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\)
759 -- pragma translate_off
761 lut_mask => "0001000111101110",
762 sum_lutc_input => "datac")
763 -- pragma translate_on
765 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[49]~22\,
766 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\,
768 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20\,
769 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~21\);
771 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24_I\ : cycloneii_lcell_comb
773 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\ & ((\inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\ #
774 -- \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\)) # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\ #
775 -- \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\ # GND)
776 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\ = CARRY(\inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\ # \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\ #
777 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\)
779 -- pragma translate_off
781 lut_mask => "0001111011101111",
782 sum_lutc_input => "cin")
783 -- pragma translate_on
785 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\,
786 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\,
788 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[3]~23\,
789 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24\,
790 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\);
792 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26_I\ : cycloneii_lcell_comb
794 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26\ = \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ & (!\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\) #
795 -- !\inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\ #
796 -- !\inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\ # GND))
797 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\ = CARRY(!\inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ & !\inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\ #
798 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\)
800 -- pragma translate_off
802 lut_mask => "0001111000011111",
803 sum_lutc_input => "cin")
804 -- pragma translate_on
806 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\,
807 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\,
809 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~25\,
810 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26\,
811 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~27\);
813 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31_I\ : cycloneii_lcell_comb
815 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31\ = CARRY(!\inst|Mod0|auto_generated|divider|divider|StageOut[54]~25\ & !\inst|Mod0|auto_generated|divider|divider|StageOut[54]~17\ &
816 -- !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~29\)
818 -- pragma translate_off
820 lut_mask => "0000000000000001",
821 sum_lutc_input => "cin")
822 -- pragma translate_on
824 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[54]~25\,
825 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[54]~17\,
827 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[6]~29\,
828 cout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31\);
830 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32_I\ : cycloneii_lcell_comb
832 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31\
834 -- pragma translate_off
836 lut_mask => "1111000011110000",
837 sum_lutc_input => "cin")
838 -- pragma translate_on
840 cin => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[7]~31\,
841 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\);
843 \inst|Mod0|auto_generated|divider|divider|StageOut[57]~636_I\ : cycloneii_lcell_comb
845 -- \inst|Mod0|auto_generated|divider|divider|StageOut[57]~636\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Add0~96\) # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ &
846 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18\
848 -- pragma translate_off
850 lut_mask => "1111000011001100",
851 sum_lutc_input => "datac")
852 -- pragma translate_on
854 datab => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[1]~18\,
855 datac => \inst|Add0~96\,
856 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
857 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[57]~636\);
859 \RESET~I\ : cycloneii_io
860 -- pragma translate_off
862 input_async_reset => "none",
863 input_power_up => "low",
864 input_register_mode => "none",
865 input_sync_reset => "none",
866 oe_async_reset => "none",
867 oe_power_up => "low",
868 oe_register_mode => "none",
869 oe_sync_reset => "none",
870 operation_mode => "input",
871 output_async_reset => "none",
872 output_power_up => "low",
873 output_register_mode => "none",
874 output_sync_reset => "none")
875 -- pragma translate_on
877 devclrn => ww_devclrn,
882 combout => \RESET~combout\);
884 \inst|counter[1]~I\ : cycloneii_lcell_ff
886 clk => \inst1|altpll_component|_clk0~clkctrl\,
887 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[57]~636\,
888 sclr => \ALT_INV_RESET~combout\,
889 devclrn => ww_devclrn,
891 regout => \inst|counter\(1));
893 \inst|Add0~98_I\ : cycloneii_lcell_comb
895 -- \inst|Add0~98\ = \inst|counter\(2) & !\inst|Add0~97\ # !\inst|counter\(2) & (\inst|Add0~97\ # GND)
896 -- \inst|Add0~99\ = CARRY(!\inst|Add0~97\ # !\inst|counter\(2))
898 -- pragma translate_off
900 lut_mask => "0011110000111111",
901 sum_lutc_input => "cin")
902 -- pragma translate_on
904 datab => \inst|counter\(2),
906 cin => \inst|Add0~97\,
907 combout => \inst|Add0~98\,
908 cout => \inst|Add0~99\);
910 \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30_I\ : cycloneii_lcell_comb
912 -- \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\ = \inst|Add0~98\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
914 -- pragma translate_off
916 lut_mask => "0000000011001100",
917 sum_lutc_input => "datac")
918 -- pragma translate_on
920 datab => \inst|Add0~98\,
921 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
922 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[49]~30\);
924 \inst|Mod0|auto_generated|divider|divider|StageOut[58]~639_I\ : cycloneii_lcell_comb
926 -- \inst|Mod0|auto_generated|divider|divider|StageOut[58]~639\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & \inst|Add0~98\ # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ &
927 -- (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20\)
929 -- pragma translate_off
931 lut_mask => "1010101011110000",
932 sum_lutc_input => "datac")
933 -- pragma translate_on
935 dataa => \inst|Add0~98\,
936 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[2]~20\,
937 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
938 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[58]~639\);
940 \inst|counter[2]~I\ : cycloneii_lcell_ff
942 clk => \inst1|altpll_component|_clk0~clkctrl\,
943 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[58]~639\,
944 sclr => \ALT_INV_RESET~combout\,
945 devclrn => ww_devclrn,
947 regout => \inst|counter\(2));
949 \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28_I\ : cycloneii_lcell_comb
951 -- \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\ = \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18\ & !\inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
953 -- pragma translate_off
955 lut_mask => "0000000011110000",
956 sum_lutc_input => "datac")
957 -- pragma translate_on
959 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[3]~18\,
960 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
961 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\);
963 \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20_I\ : cycloneii_lcell_comb
965 -- \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\ = \inst|Add0~102\ & \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\
967 -- pragma translate_off
969 lut_mask => "1111000000000000",
970 sum_lutc_input => "datac")
971 -- pragma translate_on
973 datac => \inst|Add0~102\,
974 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_6_result_int[7]~26\,
975 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\);
977 \inst|Mod0|auto_generated|divider|divider|StageOut[60]~642_I\ : cycloneii_lcell_comb
979 -- \inst|Mod0|auto_generated|divider|divider|StageOut[60]~642\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\ # \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\)
980 -- # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24\)
982 -- pragma translate_off
984 lut_mask => "1111101011001100",
985 sum_lutc_input => "datac")
986 -- pragma translate_on
988 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~28\,
989 datab => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[4]~24\,
990 datac => \inst|Mod0|auto_generated|divider|divider|StageOut[51]~20\,
991 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
992 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[60]~642\);
994 \inst|counter[4]~I\ : cycloneii_lcell_ff
996 clk => \inst1|altpll_component|_clk0~clkctrl\,
997 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[60]~642\,
998 sclr => \ALT_INV_RESET~combout\,
999 devclrn => ww_devclrn,
1000 devpor => ww_devpor,
1001 regout => \inst|counter\(4));
1003 \inst|Mod0|auto_generated|divider|divider|StageOut[61]~640_I\ : cycloneii_lcell_comb
1005 -- \inst|Mod0|auto_generated|divider|divider|StageOut[61]~640\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\ # \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\)
1006 -- # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26\)
1008 -- pragma translate_off
1010 lut_mask => "1110111011110000",
1011 sum_lutc_input => "datac")
1012 -- pragma translate_on
1014 dataa => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~27\,
1015 datab => \inst|Mod0|auto_generated|divider|divider|StageOut[52]~19\,
1016 datac => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[5]~26\,
1017 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
1018 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[61]~640\);
1020 \inst|counter[5]~I\ : cycloneii_lcell_ff
1022 clk => \inst1|altpll_component|_clk0~clkctrl\,
1023 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[61]~640\,
1024 sclr => \ALT_INV_RESET~combout\,
1025 devclrn => ww_devclrn,
1026 devpor => ww_devpor,
1027 regout => \inst|counter\(5));
1029 \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34_I\ : cycloneii_lcell_comb
1031 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34\ = !\inst|counter\(0)
1033 -- pragma translate_off
1035 lut_mask => "0000000011111111",
1036 sum_lutc_input => "datac")
1037 -- pragma translate_on
1039 datad => \inst|counter\(0),
1040 combout => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34\);
1042 \inst|Mod0|auto_generated|divider|divider|StageOut[56]~637_I\ : cycloneii_lcell_comb
1044 -- \inst|Mod0|auto_generated|divider|divider|StageOut[56]~637\ = \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ & (!\inst|counter\(0)) # !\inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\ &
1045 -- \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34\
1047 -- pragma translate_off
1049 lut_mask => "0000111111001100",
1050 sum_lutc_input => "datac")
1051 -- pragma translate_on
1053 datab => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[0]~34\,
1054 datac => \inst|counter\(0),
1055 datad => \inst|Mod0|auto_generated|divider|divider|add_sub_7_result_int[8]~32\,
1056 combout => \inst|Mod0|auto_generated|divider|divider|StageOut[56]~637\);
1058 \inst|counter[0]~I\ : cycloneii_lcell_ff
1060 clk => \inst1|altpll_component|_clk0~clkctrl\,
1061 datain => \inst|Mod0|auto_generated|divider|divider|StageOut[56]~637\,
1062 sclr => \ALT_INV_RESET~combout\,
1063 devclrn => ww_devclrn,
1064 devpor => ww_devpor,
1065 regout => \inst|counter\(0));
1067 \inst|Equal1~58_I\ : cycloneii_lcell_comb
1069 -- \inst|Equal1~58\ = !\inst|counter\(3) & \inst|counter\(0) & \inst|counter\(1) & !\inst|counter\(2)
1071 -- pragma translate_off
1073 lut_mask => "0000000001000000",
1074 sum_lutc_input => "datac")
1075 -- pragma translate_on
1077 dataa => \inst|counter\(3),
1078 datab => \inst|counter\(0),
1079 datac => \inst|counter\(1),
1080 datad => \inst|counter\(2),
1081 combout => \inst|Equal1~58\);
1083 \inst|Equal1~59_I\ : cycloneii_lcell_comb
1085 -- \inst|Equal1~59\ = \inst|counter\(6) & !\inst|counter\(4) & \inst|counter\(5) & \inst|Equal1~58\
1087 -- pragma translate_off
1089 lut_mask => "0010000000000000",
1090 sum_lutc_input => "datac")
1091 -- pragma translate_on
1093 dataa => \inst|counter\(6),
1094 datab => \inst|counter\(4),
1095 datac => \inst|counter\(5),
1096 datad => \inst|Equal1~58\,
1097 combout => \inst|Equal1~59\);
1099 \inst|knightlight~1269_I\ : cycloneii_lcell_comb
1101 -- \inst|knightlight~1269\ = \inst|ledstate\ & (\inst|knightlight\(5)) # !\inst|ledstate\ & \inst|knightlight\(3)
1103 -- pragma translate_off
1105 lut_mask => "1111101001010000",
1106 sum_lutc_input => "datac")
1107 -- pragma translate_on
1109 dataa => \inst|ledstate\,
1110 datac => \inst|knightlight\(3),
1111 datad => \inst|knightlight\(5),
1112 combout => \inst|knightlight~1269\);
1114 \inst|knightlight~1270_I\ : cycloneii_lcell_comb
1116 -- \inst|knightlight~1270\ = \inst|Equal1~59\ & \inst|knightlight~1269\ # !\inst|Equal1~59\ & (\inst|knightlight\(4))
1118 -- pragma translate_off
1120 lut_mask => "1100110011110000",
1121 sum_lutc_input => "datac")
1122 -- pragma translate_on
1124 datab => \inst|knightlight~1269\,
1125 datac => \inst|knightlight\(4),
1126 datad => \inst|Equal1~59\,
1127 combout => \inst|knightlight~1270\);
1129 \inst|knightlight[4]~I\ : cycloneii_lcell_ff
1131 clk => \inst1|altpll_component|_clk0~clkctrl\,
1132 datain => \inst|knightlight~1270\,
1133 sclr => \ALT_INV_RESET~combout\,
1134 devclrn => ww_devclrn,
1135 devpor => ww_devpor,
1136 regout => \inst|knightlight\(4));
1138 \inst|knightlight~1271_I\ : cycloneii_lcell_comb
1140 -- \inst|knightlight~1271\ = \inst|ledstate\ & (\inst|knightlight\(4)) # !\inst|ledstate\ & \inst|knightlight\(2)
1142 -- pragma translate_off
1144 lut_mask => "1111110000001100",
1145 sum_lutc_input => "datac")
1146 -- pragma translate_on
1148 datab => \inst|knightlight\(2),
1149 datac => \inst|ledstate\,
1150 datad => \inst|knightlight\(4),
1151 combout => \inst|knightlight~1271\);
1153 \inst|knightlight~1272_I\ : cycloneii_lcell_comb
1155 -- \inst|knightlight~1272\ = \inst|Equal1~59\ & (\inst|knightlight~1271\) # !\inst|Equal1~59\ & \inst|knightlight\(3)
1157 -- pragma translate_off
1159 lut_mask => "1111110000110000",
1160 sum_lutc_input => "datac")
1161 -- pragma translate_on
1163 datab => \inst|Equal1~59\,
1164 datac => \inst|knightlight\(3),
1165 datad => \inst|knightlight~1271\,
1166 combout => \inst|knightlight~1272\);
1168 \inst|knightlight[3]~I\ : cycloneii_lcell_ff
1170 clk => \inst1|altpll_component|_clk0~clkctrl\,
1171 datain => \inst|knightlight~1272\,
1172 sclr => \ALT_INV_RESET~combout\,
1173 devclrn => ww_devclrn,
1174 devpor => ww_devpor,
1175 regout => \inst|knightlight\(3));
1177 \inst|knightlight~1273_I\ : cycloneii_lcell_comb
1179 -- \inst|knightlight~1273\ = \inst|ledstate\ & (\inst|knightlight\(3)) # !\inst|ledstate\ & \inst|knightlight\(1)
1181 -- pragma translate_off
1183 lut_mask => "1100101011001010",
1184 sum_lutc_input => "datac")
1185 -- pragma translate_on
1187 dataa => \inst|knightlight\(1),
1188 datab => \inst|knightlight\(3),
1189 datac => \inst|ledstate\,
1190 combout => \inst|knightlight~1273\);
1192 \inst|knightlight~1274_I\ : cycloneii_lcell_comb
1194 -- \inst|knightlight~1274\ = \inst|Equal1~59\ & (\inst|knightlight~1273\) # !\inst|Equal1~59\ & \inst|knightlight\(2)
1196 -- pragma translate_off
1198 lut_mask => "1111101001010000",
1199 sum_lutc_input => "datac")
1200 -- pragma translate_on
1202 dataa => \inst|Equal1~59\,
1203 datac => \inst|knightlight\(2),
1204 datad => \inst|knightlight~1273\,
1205 combout => \inst|knightlight~1274\);
1207 \inst|knightlight[2]~I\ : cycloneii_lcell_ff
1209 clk => \inst1|altpll_component|_clk0~clkctrl\,
1210 datain => \inst|knightlight~1274\,
1212 sload => \ALT_INV_RESET~combout\,
1213 devclrn => ww_devclrn,
1214 devpor => ww_devpor,
1215 regout => \inst|knightlight\(2));
1217 \inst|knightlight~1277_I\ : cycloneii_lcell_comb
1219 -- \inst|knightlight~1277\ = \inst|Equal1~59\ & \inst|ledstate\ & (\inst|knightlight\(1)) # !\inst|Equal1~59\ & (\inst|knightlight\(0))
1221 -- pragma translate_off
1223 lut_mask => "1101100001010000",
1224 sum_lutc_input => "datac")
1225 -- pragma translate_on
1227 dataa => \inst|Equal1~59\,
1228 datab => \inst|ledstate\,
1229 datac => \inst|knightlight\(0),
1230 datad => \inst|knightlight\(1),
1231 combout => \inst|knightlight~1277\);
1233 \inst|knightlight[0]~I\ : cycloneii_lcell_ff
1235 clk => \inst1|altpll_component|_clk0~clkctrl\,
1236 datain => \inst|knightlight~1277\,
1238 sload => \ALT_INV_RESET~combout\,
1239 devclrn => ww_devclrn,
1240 devpor => ww_devpor,
1241 regout => \inst|knightlight\(0));
1243 \inst|knightlight~1275_I\ : cycloneii_lcell_comb
1245 -- \inst|knightlight~1275\ = \inst|ledstate\ & \inst|knightlight\(2) # !\inst|ledstate\ & (\inst|knightlight\(0))
1247 -- pragma translate_off
1249 lut_mask => "1100111111000000",
1250 sum_lutc_input => "datac")
1251 -- pragma translate_on
1253 datab => \inst|knightlight\(2),
1254 datac => \inst|ledstate\,
1255 datad => \inst|knightlight\(0),
1256 combout => \inst|knightlight~1275\);
1258 \inst|knightlight~1276_I\ : cycloneii_lcell_comb
1260 -- \inst|knightlight~1276\ = \inst|Equal1~59\ & (\inst|knightlight~1275\) # !\inst|Equal1~59\ & \inst|knightlight\(1)
1262 -- pragma translate_off
1264 lut_mask => "1111101001010000",
1265 sum_lutc_input => "datac")
1266 -- pragma translate_on
1268 dataa => \inst|Equal1~59\,
1269 datac => \inst|knightlight\(1),
1270 datad => \inst|knightlight~1275\,
1271 combout => \inst|knightlight~1276\);
1273 \inst|knightlight[1]~I\ : cycloneii_lcell_ff
1275 clk => \inst1|altpll_component|_clk0~clkctrl\,
1276 datain => \inst|knightlight~1276\,
1278 sload => \ALT_INV_RESET~combout\,
1279 devclrn => ww_devclrn,
1280 devpor => ww_devpor,
1281 regout => \inst|knightlight\(1));
1283 \inst|ledstate_next~431_I\ : cycloneii_lcell_comb
1285 -- \inst|ledstate_next~431\ = \inst|knightlight\(2) & (\inst|knightlight\(6) # \inst|knightlight\(5)) # !\inst|knightlight\(2) & \inst|knightlight\(6) & \inst|knightlight\(5)
1287 -- pragma translate_off
1289 lut_mask => "1111110011000000",
1290 sum_lutc_input => "datac")
1291 -- pragma translate_on
1293 datab => \inst|knightlight\(2),
1294 datac => \inst|knightlight\(6),
1295 datad => \inst|knightlight\(5),
1296 combout => \inst|ledstate_next~431\);
1298 \inst|ledstate_next~432_I\ : cycloneii_lcell_comb
1300 -- \inst|ledstate_next~432\ = \inst|ledstate\ & \inst|knightlight\(2) & \inst|knightlight\(1) & !\inst|ledstate_next~431\ # !\inst|ledstate\ & !\inst|knightlight\(2) & !\inst|knightlight\(1) & \inst|ledstate_next~431\
1302 -- pragma translate_off
1304 lut_mask => "0000000110000000",
1305 sum_lutc_input => "datac")
1306 -- pragma translate_on
1308 dataa => \inst|ledstate\,
1309 datab => \inst|knightlight\(2),
1310 datac => \inst|knightlight\(1),
1311 datad => \inst|ledstate_next~431\,
1312 combout => \inst|ledstate_next~432\);
1314 \inst|ledstate_next~433_I\ : cycloneii_lcell_comb
1316 -- \inst|ledstate_next~433\ = \inst|knightlight\(0) # \inst|knightlight\(3)
1318 -- pragma translate_off
1320 lut_mask => "1111111111001100",
1321 sum_lutc_input => "datac")
1322 -- pragma translate_on
1324 datab => \inst|knightlight\(0),
1325 datad => \inst|knightlight\(3),
1326 combout => \inst|ledstate_next~433\);
1328 \inst|ledstate_next~436_I\ : cycloneii_lcell_comb
1330 -- \inst|ledstate_next~436\ = \inst|ledstate_next~435\ & (\inst|ledstate\ # \inst|ledstate_next~432\ & !\inst|ledstate_next~433\) # !\inst|ledstate_next~435\ & \inst|ledstate\ & (!\inst|ledstate_next~433\ # !\inst|ledstate_next~432\)
1332 -- pragma translate_off
1334 lut_mask => "1011000011111000",
1335 sum_lutc_input => "datac")
1336 -- pragma translate_on
1338 dataa => \inst|ledstate_next~435\,
1339 datab => \inst|ledstate_next~432\,
1340 datac => \inst|ledstate\,
1341 datad => \inst|ledstate_next~433\,
1342 combout => \inst|ledstate_next~436\);
1344 \inst|ledstate~I\ : cycloneii_lcell_ff
1346 clk => \inst1|altpll_component|_clk0~clkctrl\,
1347 datain => \inst|ledstate_next~436\,
1348 sclr => \ALT_INV_RESET~combout\,
1349 devclrn => ww_devclrn,
1350 devpor => ww_devpor,
1351 regout => \inst|ledstate\);
1353 \inst|knightlight~1267_I\ : cycloneii_lcell_comb
1355 -- \inst|knightlight~1267\ = \inst|ledstate\ & \inst|knightlight\(6) # !\inst|ledstate\ & (\inst|knightlight\(4))
1357 -- pragma translate_off
1359 lut_mask => "1100111111000000",
1360 sum_lutc_input => "datac")
1361 -- pragma translate_on
1363 datab => \inst|knightlight\(6),
1364 datac => \inst|ledstate\,
1365 datad => \inst|knightlight\(4),
1366 combout => \inst|knightlight~1267\);
1368 \inst|knightlight~1268_I\ : cycloneii_lcell_comb
1370 -- \inst|knightlight~1268\ = \inst|Equal1~59\ & (\inst|knightlight~1267\) # !\inst|Equal1~59\ & \inst|knightlight\(5)
1372 -- pragma translate_off
1374 lut_mask => "1111110000110000",
1375 sum_lutc_input => "datac")
1376 -- pragma translate_on
1378 datab => \inst|Equal1~59\,
1379 datac => \inst|knightlight\(5),
1380 datad => \inst|knightlight~1267\,
1381 combout => \inst|knightlight~1268\);
1383 \inst|knightlight[5]~I\ : cycloneii_lcell_ff
1385 clk => \inst1|altpll_component|_clk0~clkctrl\,
1386 datain => \inst|knightlight~1268\,
1387 sclr => \ALT_INV_RESET~combout\,
1388 devclrn => ww_devclrn,
1389 devpor => ww_devpor,
1390 regout => \inst|knightlight\(5));
1392 \inst|knightlight~1265_I\ : cycloneii_lcell_comb
1394 -- \inst|knightlight~1265\ = \inst|ledstate\ & (\inst|knightlight\(7)) # !\inst|ledstate\ & \inst|knightlight\(5)
1396 -- pragma translate_off
1398 lut_mask => "1111110000001100",
1399 sum_lutc_input => "datac")
1400 -- pragma translate_on
1402 datab => \inst|knightlight\(5),
1403 datac => \inst|ledstate\,
1404 datad => \inst|knightlight\(7),
1405 combout => \inst|knightlight~1265\);
1407 \inst|knightlight~1266_I\ : cycloneii_lcell_comb
1409 -- \inst|knightlight~1266\ = \inst|Equal1~59\ & (\inst|knightlight~1265\) # !\inst|Equal1~59\ & \inst|knightlight\(6)
1411 -- pragma translate_off
1413 lut_mask => "1111110000110000",
1414 sum_lutc_input => "datac")
1415 -- pragma translate_on
1417 datab => \inst|Equal1~59\,
1418 datac => \inst|knightlight\(6),
1419 datad => \inst|knightlight~1265\,
1420 combout => \inst|knightlight~1266\);
1422 \inst|knightlight[6]~I\ : cycloneii_lcell_ff
1424 clk => \inst1|altpll_component|_clk0~clkctrl\,
1425 datain => \inst|knightlight~1266\,
1426 sclr => \ALT_INV_RESET~combout\,
1427 devclrn => ww_devclrn,
1428 devpor => ww_devpor,
1429 regout => \inst|knightlight\(6));
1431 \inst|knightlight~1264_I\ : cycloneii_lcell_comb
1433 -- \inst|knightlight~1264\ = \inst|Equal1~59\ & !\inst|ledstate\ & \inst|knightlight\(6) # !\inst|Equal1~59\ & (\inst|knightlight\(7))
1435 -- pragma translate_off
1437 lut_mask => "0100010011110000",
1438 sum_lutc_input => "datac")
1439 -- pragma translate_on
1441 dataa => \inst|ledstate\,
1442 datab => \inst|knightlight\(6),
1443 datac => \inst|knightlight\(7),
1444 datad => \inst|Equal1~59\,
1445 combout => \inst|knightlight~1264\);
1447 \inst|knightlight[7]~I\ : cycloneii_lcell_ff
1449 clk => \inst1|altpll_component|_clk0~clkctrl\,
1450 datain => \inst|knightlight~1264\,
1451 sclr => \ALT_INV_RESET~combout\,
1452 devclrn => ww_devclrn,
1453 devpor => ww_devpor,
1454 regout => \inst|knightlight\(7));
1456 \LEDS[7]~I\ : cycloneii_io
1457 -- pragma translate_off
1459 input_async_reset => "none",
1460 input_power_up => "low",
1461 input_register_mode => "none",
1462 input_sync_reset => "none",
1463 oe_async_reset => "none",
1464 oe_power_up => "low",
1465 oe_register_mode => "none",
1466 oe_sync_reset => "none",
1467 operation_mode => "output",
1468 output_async_reset => "none",
1469 output_power_up => "low",
1470 output_register_mode => "none",
1471 output_sync_reset => "none")
1472 -- pragma translate_on
1474 datain => \inst|ALT_INV_knightlight\(7),
1475 devclrn => ww_devclrn,
1476 devpor => ww_devpor,
1479 padio => ww_LEDS(7));
1481 \LEDS[6]~I\ : cycloneii_io
1482 -- pragma translate_off
1484 input_async_reset => "none",
1485 input_power_up => "low",
1486 input_register_mode => "none",
1487 input_sync_reset => "none",
1488 oe_async_reset => "none",
1489 oe_power_up => "low",
1490 oe_register_mode => "none",
1491 oe_sync_reset => "none",
1492 operation_mode => "output",
1493 output_async_reset => "none",
1494 output_power_up => "low",
1495 output_register_mode => "none",
1496 output_sync_reset => "none")
1497 -- pragma translate_on
1499 datain => \inst|ALT_INV_knightlight\(6),
1500 devclrn => ww_devclrn,
1501 devpor => ww_devpor,
1504 padio => ww_LEDS(6));
1506 \LEDS[5]~I\ : cycloneii_io
1507 -- pragma translate_off
1509 input_async_reset => "none",
1510 input_power_up => "low",
1511 input_register_mode => "none",
1512 input_sync_reset => "none",
1513 oe_async_reset => "none",
1514 oe_power_up => "low",
1515 oe_register_mode => "none",
1516 oe_sync_reset => "none",
1517 operation_mode => "output",
1518 output_async_reset => "none",
1519 output_power_up => "low",
1520 output_register_mode => "none",
1521 output_sync_reset => "none")
1522 -- pragma translate_on
1524 datain => \inst|ALT_INV_knightlight\(5),
1525 devclrn => ww_devclrn,
1526 devpor => ww_devpor,
1529 padio => ww_LEDS(5));
1531 \LEDS[4]~I\ : cycloneii_io
1532 -- pragma translate_off
1534 input_async_reset => "none",
1535 input_power_up => "low",
1536 input_register_mode => "none",
1537 input_sync_reset => "none",
1538 oe_async_reset => "none",
1539 oe_power_up => "low",
1540 oe_register_mode => "none",
1541 oe_sync_reset => "none",
1542 operation_mode => "output",
1543 output_async_reset => "none",
1544 output_power_up => "low",
1545 output_register_mode => "none",
1546 output_sync_reset => "none")
1547 -- pragma translate_on
1549 datain => \inst|ALT_INV_knightlight\(4),
1550 devclrn => ww_devclrn,
1551 devpor => ww_devpor,
1554 padio => ww_LEDS(4));
1556 \LEDS[3]~I\ : cycloneii_io
1557 -- pragma translate_off
1559 input_async_reset => "none",
1560 input_power_up => "low",
1561 input_register_mode => "none",
1562 input_sync_reset => "none",
1563 oe_async_reset => "none",
1564 oe_power_up => "low",
1565 oe_register_mode => "none",
1566 oe_sync_reset => "none",
1567 operation_mode => "output",
1568 output_async_reset => "none",
1569 output_power_up => "low",
1570 output_register_mode => "none",
1571 output_sync_reset => "none")
1572 -- pragma translate_on
1574 datain => \inst|ALT_INV_knightlight\(3),
1575 devclrn => ww_devclrn,
1576 devpor => ww_devpor,
1579 padio => ww_LEDS(3));
1581 \LEDS[2]~I\ : cycloneii_io
1582 -- pragma translate_off
1584 input_async_reset => "none",
1585 input_power_up => "low",
1586 input_register_mode => "none",
1587 input_sync_reset => "none",
1588 oe_async_reset => "none",
1589 oe_power_up => "low",
1590 oe_register_mode => "none",
1591 oe_sync_reset => "none",
1592 operation_mode => "output",
1593 output_async_reset => "none",
1594 output_power_up => "low",
1595 output_register_mode => "none",
1596 output_sync_reset => "none")
1597 -- pragma translate_on
1599 datain => \inst|ALT_INV_knightlight\(2),
1600 devclrn => ww_devclrn,
1601 devpor => ww_devpor,
1604 padio => ww_LEDS(2));
1606 \LEDS[1]~I\ : cycloneii_io
1607 -- pragma translate_off
1609 input_async_reset => "none",
1610 input_power_up => "low",
1611 input_register_mode => "none",
1612 input_sync_reset => "none",
1613 oe_async_reset => "none",
1614 oe_power_up => "low",
1615 oe_register_mode => "none",
1616 oe_sync_reset => "none",
1617 operation_mode => "output",
1618 output_async_reset => "none",
1619 output_power_up => "low",
1620 output_register_mode => "none",
1621 output_sync_reset => "none")
1622 -- pragma translate_on
1624 datain => \inst|ALT_INV_knightlight\(1),
1625 devclrn => ww_devclrn,
1626 devpor => ww_devpor,
1629 padio => ww_LEDS(1));
1631 \LEDS[0]~I\ : cycloneii_io
1632 -- pragma translate_off
1634 input_async_reset => "none",
1635 input_power_up => "low",
1636 input_register_mode => "none",
1637 input_sync_reset => "none",
1638 oe_async_reset => "none",
1639 oe_power_up => "low",
1640 oe_register_mode => "none",
1641 oe_sync_reset => "none",
1642 operation_mode => "output",
1643 output_async_reset => "none",
1644 output_power_up => "low",
1645 output_register_mode => "none",
1646 output_sync_reset => "none")
1647 -- pragma translate_on
1649 datain => \inst|ALT_INV_knightlight\(0),
1650 devclrn => ww_devclrn,
1651 devpor => ww_devpor,
1654 padio => ww_LEDS(0));