one place for all my love
[hwmod.git] / demo / quartus / demo.qsf
1 # Copyright (C) 1991-2007 Altera Corporation
2 # Your use of Altera Corporation's design tools, logic functions 
3 # and other software and tools, and its AMPP partner logic 
4 # functions, and any output files from any of the foregoing 
5 # (including device programming or simulation files), and any 
6 # associated documentation or information are expressly subject 
7 # to the terms and conditions of the Altera Program License 
8 # Subscription Agreement, Altera MegaCore Function License 
9 # Agreement, or other applicable license agreement, including, 
10 # without limitation, that your use is for the sole purpose of 
11 # programming logic devices manufactured by Altera and sold by 
12 # Altera or its authorized distributors.  Please refer to the 
13 # applicable agreement for further details.
14
15
16 # The default values for assignments are stored in the file
17 #               demo_assignment_defaults.qdf
18 # If this file doesn't exist, and for assignments not listed, see file
19 #               assignment_defaults.qdf
20
21 # Altera recommends that you do not modify this file. This
22 # file is updated automatically by the Quartus II software
23 # and any changes you make may be lost or overwritten.
24
25
26 set_global_assignment -name DEVICE EP2C35F484C6
27 set_global_assignment -name FAMILY "Cyclone II"
28 set_global_assignment -name TOP_LEVEL_ENTITY demo_top
29 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.0
30 set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:09:40  MARCH 24, 2009"
31 set_global_assignment -name LAST_QUARTUS_VERSION 7.0
32 set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim (VHDL)"
33 set_global_assignment -name EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION ON -section_id eda_simulation
34 set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
35 set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
36 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
37 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
38 set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id eda_simulation
39 set_global_assignment -name EDA_SIMULATION_RUN_SCRIPT ../sim/demo_tb_rtl.do -section_id eda_simulation
40 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
41 set_global_assignment -name VHDL_FILE ../src/demo_pkg.vhd
42 set_global_assignment -name VHDL_FILE ../src/demo.vhd
43 set_global_assignment -name VHDL_FILE ../src/pll.vhd
44 set_global_assignment -name BDF_FILE ../src/demo_top.bdf
45 set_location_assignment PIN_M1 -to CLK
46 set_location_assignment PIN_B3 -to RESET
47 set_location_assignment PIN_W5 -to LEDS[0]
48 set_location_assignment PIN_W4 -to LEDS[1]
49 set_location_assignment PIN_W3 -to LEDS[2]
50 set_location_assignment PIN_W2 -to LEDS[3]
51 set_location_assignment PIN_W1 -to LEDS[4]
52 set_location_assignment PIN_V2 -to LEDS[5]
53 set_location_assignment PIN_V1 -to LEDS[6]
54 set_location_assignment PIN_U1 -to LEDS[7]