2 -- Written by Synplicity
3 -- Product Version "C-2009.06"
4 -- Program "Synplify Pro", Mapper "map450rc, Build 029R"
5 -- Wed Oct 21 17:26:36 2009
9 -- Written by Synplify Pro version Build 029R
10 -- Wed Oct 21 17:26:36 2009
14 library ieee, stratix;
15 use ieee.std_logic_1164.all;
16 use ieee.numeric_std.all;
18 use synplify.components.all;
19 use stratix.stratix_components.all;
23 line_counter_sig_0 : in std_logic;
24 line_counter_sig_2 : in std_logic;
25 line_counter_sig_1 : in std_logic;
26 line_counter_sig_3 : in std_logic;
27 line_counter_sig_6 : in std_logic;
28 line_counter_sig_5 : in std_logic;
29 line_counter_sig_4 : in std_logic;
30 line_counter_sig_7 : in std_logic;
31 line_counter_sig_8 : in std_logic;
32 column_counter_sig_0 : in std_logic;
33 column_counter_sig_1 : in std_logic;
34 column_counter_sig_2 : in std_logic;
35 column_counter_sig_8 : in std_logic;
36 column_counter_sig_3 : in std_logic;
37 column_counter_sig_5 : in std_logic;
38 column_counter_sig_4 : in std_logic;
39 column_counter_sig_9 : in std_logic;
40 column_counter_sig_7 : in std_logic;
41 column_counter_sig_6 : in std_logic;
42 toggle_counter_sig_0 : out std_logic;
43 toggle_counter_sig_1 : out std_logic;
44 toggle_counter_sig_2 : out std_logic;
45 toggle_counter_sig_3 : out std_logic;
46 toggle_counter_sig_4 : out std_logic;
47 toggle_counter_sig_5 : out std_logic;
48 toggle_counter_sig_6 : out std_logic;
49 toggle_counter_sig_7 : out std_logic;
50 toggle_counter_sig_8 : out std_logic;
51 toggle_counter_sig_9 : out std_logic;
52 toggle_counter_sig_10 : out std_logic;
53 toggle_counter_sig_11 : out std_logic;
54 toggle_counter_sig_12 : out std_logic;
55 toggle_counter_sig_13 : out std_logic;
56 toggle_counter_sig_14 : out std_logic;
57 toggle_counter_sig_15 : out std_logic;
58 toggle_counter_sig_16 : out std_logic;
59 toggle_counter_sig_17 : out std_logic;
60 toggle_counter_sig_18 : out std_logic;
61 toggle_counter_sig_19 : out std_logic;
62 toggle_counter_sig_20 : out std_logic;
63 toggle_counter_sig_21 : out std_logic;
64 toggle_counter_sig_22 : out std_logic;
65 toggle_counter_sig_23 : out std_logic;
66 toggle_counter_sig_24 : out std_logic;
67 h_enable_sig : in std_logic;
70 v_enable_sig : in std_logic;
72 toggle_sig : out std_logic;
73 un6_dly_counter_0_x : in std_logic;
74 clk_pin_c : in std_logic);
77 architecture beh of vga_control is
78 signal devclrn : std_logic := '1';
79 signal devpor : std_logic := '1';
80 signal devoe : std_logic := '0';
81 signal TOGGLE_COUNTER_SIG_COUT : std_logic_vector(18 downto 1);
82 signal UN2_TOGGLE_COUNTER_NEXT_COUT : std_logic_vector(0 to 0);
83 signal GND : std_logic ;
84 signal TOGGLE_SIG_0_0_0_G1 : std_logic ;
85 signal TOGGLE_SIG_83 : std_logic ;
86 signal B_NEXT_0_SQMUXA_7_4 : std_logic ;
87 signal B_NEXT_0_SQMUXA_7_5 : std_logic ;
88 signal TOGGLE_SIG_0_0_0_G1_2 : std_logic ;
89 signal UN1_TOGGLE_COUNTER_SIGLTO18 : std_logic ;
90 signal UN1_TOGGLE_COUNTER_SIGLTO15 : std_logic ;
91 signal UN5_V_ENABLELTO5 : std_logic ;
92 signal B_NEXT_0_SQMUXA_7_3 : std_logic ;
93 signal UN13_V_ENABLELTO6 : std_logic ;
94 signal B_NEXT_0_SQMUXA_7_4_A : std_logic ;
95 signal UN17_V_ENABLELTO3 : std_logic ;
96 signal B_NEXT_0_SQMUXA_7_2 : std_logic ;
97 signal UN9_V_ENABLELTO6 : std_logic ;
98 signal UN1_TOGGLE_COUNTER_SIGLTO12 : std_logic ;
99 signal UN5_V_ENABLELT2 : std_logic ;
100 signal UN1_TOGGLE_COUNTER_SIGLTO9 : std_logic ;
101 signal UN13_V_ENABLELTO4_0 : std_logic ;
102 signal UN9_V_ENABLELTO4 : std_logic ;
103 signal UN1_TOGGLE_COUNTER_SIGLT6 : std_logic ;
104 signal TOGGLE_COUNTER_SIG_58 : std_logic ;
105 signal TOGGLE_COUNTER_SIG_59 : std_logic ;
106 signal TOGGLE_COUNTER_SIG_60 : std_logic ;
107 signal TOGGLE_COUNTER_SIG_61 : std_logic ;
108 signal TOGGLE_COUNTER_SIG_62 : std_logic ;
109 signal TOGGLE_COUNTER_SIG_63 : std_logic ;
110 signal TOGGLE_COUNTER_SIG_64 : std_logic ;
111 signal TOGGLE_COUNTER_SIG_65 : std_logic ;
112 signal TOGGLE_COUNTER_SIG_66 : std_logic ;
113 signal TOGGLE_COUNTER_SIG_67 : std_logic ;
114 signal TOGGLE_COUNTER_SIG_68 : std_logic ;
115 signal TOGGLE_COUNTER_SIG_69 : std_logic ;
116 signal TOGGLE_COUNTER_SIG_70 : std_logic ;
117 signal TOGGLE_COUNTER_SIG_71 : std_logic ;
118 signal TOGGLE_COUNTER_SIG_72 : std_logic ;
119 signal TOGGLE_COUNTER_SIG_73 : std_logic ;
120 signal TOGGLE_COUNTER_SIG_74 : std_logic ;
121 signal TOGGLE_COUNTER_SIG_75 : std_logic ;
122 signal TOGGLE_COUNTER_SIG_76 : std_logic ;
123 signal TOGGLE_COUNTER_SIG_77 : std_logic ;
124 signal TOGGLE_COUNTER_SIG_78 : std_logic ;
125 signal TOGGLE_COUNTER_SIG_79 : std_logic ;
126 signal TOGGLE_COUNTER_SIG_80 : std_logic ;
127 signal TOGGLE_COUNTER_SIG_81 : std_logic ;
128 signal TOGGLE_COUNTER_SIG_82 : std_logic ;
129 signal VCC : std_logic ;
130 signal TOGGLE_SIG_0_0_0_G1_I : std_logic ;
132 \TOGGLE_COUNTER_SIG_24_\: stratix_lcell generic map (
133 operation_mode => "normal",
134 output_mode => "reg_only",
136 sum_lutc_input => "datac",
139 regout => TOGGLE_COUNTER_SIG_82,
142 aclr => un6_dly_counter_0_x,
154 \TOGGLE_COUNTER_SIG_23_\: stratix_lcell generic map (
155 operation_mode => "normal",
156 output_mode => "reg_only",
158 sum_lutc_input => "datac",
161 regout => TOGGLE_COUNTER_SIG_81,
164 aclr => un6_dly_counter_0_x,
176 \TOGGLE_COUNTER_SIG_22_\: stratix_lcell generic map (
177 operation_mode => "normal",
178 output_mode => "reg_only",
180 sum_lutc_input => "datac",
183 regout => TOGGLE_COUNTER_SIG_80,
186 aclr => un6_dly_counter_0_x,
198 \TOGGLE_COUNTER_SIG_21_\: stratix_lcell generic map (
199 operation_mode => "normal",
200 output_mode => "reg_only",
202 sum_lutc_input => "datac",
205 regout => TOGGLE_COUNTER_SIG_79,
208 aclr => un6_dly_counter_0_x,
220 \TOGGLE_COUNTER_SIG_20_\: stratix_lcell generic map (
221 operation_mode => "normal",
222 output_mode => "reg_only",
224 sum_lutc_input => "cin",
228 regout => TOGGLE_COUNTER_SIG_78,
230 dataa => TOGGLE_COUNTER_SIG_78,
231 aclr => un6_dly_counter_0_x,
232 sclr => TOGGLE_SIG_0_0_0_G1_I,
233 cin => TOGGLE_COUNTER_SIG_COUT(18),
243 \TOGGLE_COUNTER_SIG_19_\: stratix_lcell generic map (
244 operation_mode => "normal",
245 output_mode => "reg_only",
247 sum_lutc_input => "cin",
251 regout => TOGGLE_COUNTER_SIG_77,
253 dataa => TOGGLE_COUNTER_SIG_76,
254 datab => TOGGLE_COUNTER_SIG_77,
255 aclr => un6_dly_counter_0_x,
256 sclr => TOGGLE_SIG_0_0_0_G1_I,
257 cin => TOGGLE_COUNTER_SIG_COUT(17),
266 \TOGGLE_COUNTER_SIG_18_\: stratix_lcell generic map (
267 operation_mode => "arithmetic",
268 output_mode => "reg_and_comb",
270 sum_lutc_input => "cin",
274 regout => TOGGLE_COUNTER_SIG_76,
275 cout => TOGGLE_COUNTER_SIG_COUT(18),
277 dataa => TOGGLE_COUNTER_SIG_76,
278 datab => TOGGLE_COUNTER_SIG_77,
279 aclr => un6_dly_counter_0_x,
280 sclr => TOGGLE_SIG_0_0_0_G1_I,
281 cin => TOGGLE_COUNTER_SIG_COUT(16),
290 \TOGGLE_COUNTER_SIG_17_\: stratix_lcell generic map (
291 operation_mode => "arithmetic",
292 output_mode => "reg_and_comb",
294 sum_lutc_input => "cin",
298 regout => TOGGLE_COUNTER_SIG_75,
299 cout => TOGGLE_COUNTER_SIG_COUT(17),
301 dataa => TOGGLE_COUNTER_SIG_74,
302 datab => TOGGLE_COUNTER_SIG_75,
303 aclr => un6_dly_counter_0_x,
304 sclr => TOGGLE_SIG_0_0_0_G1_I,
305 cin => TOGGLE_COUNTER_SIG_COUT(15),
314 \TOGGLE_COUNTER_SIG_16_\: stratix_lcell generic map (
315 operation_mode => "arithmetic",
316 output_mode => "reg_and_comb",
318 sum_lutc_input => "cin",
322 regout => TOGGLE_COUNTER_SIG_74,
323 cout => TOGGLE_COUNTER_SIG_COUT(16),
325 dataa => TOGGLE_COUNTER_SIG_74,
326 datab => TOGGLE_COUNTER_SIG_75,
327 aclr => un6_dly_counter_0_x,
328 sclr => TOGGLE_SIG_0_0_0_G1_I,
329 cin => TOGGLE_COUNTER_SIG_COUT(14),
338 \TOGGLE_COUNTER_SIG_15_\: stratix_lcell generic map (
339 operation_mode => "arithmetic",
340 output_mode => "reg_and_comb",
342 sum_lutc_input => "cin",
346 regout => TOGGLE_COUNTER_SIG_73,
347 cout => TOGGLE_COUNTER_SIG_COUT(15),
349 dataa => TOGGLE_COUNTER_SIG_72,
350 datab => TOGGLE_COUNTER_SIG_73,
351 aclr => un6_dly_counter_0_x,
352 sclr => TOGGLE_SIG_0_0_0_G1_I,
353 cin => TOGGLE_COUNTER_SIG_COUT(13),
362 \TOGGLE_COUNTER_SIG_14_\: stratix_lcell generic map (
363 operation_mode => "arithmetic",
364 output_mode => "reg_and_comb",
366 sum_lutc_input => "cin",
370 regout => TOGGLE_COUNTER_SIG_72,
371 cout => TOGGLE_COUNTER_SIG_COUT(14),
373 dataa => TOGGLE_COUNTER_SIG_72,
374 datab => TOGGLE_COUNTER_SIG_73,
375 aclr => un6_dly_counter_0_x,
376 sclr => TOGGLE_SIG_0_0_0_G1_I,
377 cin => TOGGLE_COUNTER_SIG_COUT(12),
386 \TOGGLE_COUNTER_SIG_13_\: stratix_lcell generic map (
387 operation_mode => "arithmetic",
388 output_mode => "reg_and_comb",
390 sum_lutc_input => "cin",
394 regout => TOGGLE_COUNTER_SIG_71,
395 cout => TOGGLE_COUNTER_SIG_COUT(13),
397 dataa => TOGGLE_COUNTER_SIG_70,
398 datab => TOGGLE_COUNTER_SIG_71,
399 aclr => un6_dly_counter_0_x,
400 sclr => TOGGLE_SIG_0_0_0_G1_I,
401 cin => TOGGLE_COUNTER_SIG_COUT(11),
410 \TOGGLE_COUNTER_SIG_12_\: stratix_lcell generic map (
411 operation_mode => "arithmetic",
412 output_mode => "reg_and_comb",
414 sum_lutc_input => "cin",
418 regout => TOGGLE_COUNTER_SIG_70,
419 cout => TOGGLE_COUNTER_SIG_COUT(12),
421 dataa => TOGGLE_COUNTER_SIG_70,
422 datab => TOGGLE_COUNTER_SIG_71,
423 aclr => un6_dly_counter_0_x,
424 sclr => TOGGLE_SIG_0_0_0_G1_I,
425 cin => TOGGLE_COUNTER_SIG_COUT(10),
434 \TOGGLE_COUNTER_SIG_11_\: stratix_lcell generic map (
435 operation_mode => "arithmetic",
436 output_mode => "reg_and_comb",
438 sum_lutc_input => "cin",
442 regout => TOGGLE_COUNTER_SIG_69,
443 cout => TOGGLE_COUNTER_SIG_COUT(11),
445 dataa => TOGGLE_COUNTER_SIG_68,
446 datab => TOGGLE_COUNTER_SIG_69,
447 aclr => un6_dly_counter_0_x,
448 sclr => TOGGLE_SIG_0_0_0_G1_I,
449 cin => TOGGLE_COUNTER_SIG_COUT(9),
458 \TOGGLE_COUNTER_SIG_10_\: stratix_lcell generic map (
459 operation_mode => "arithmetic",
460 output_mode => "reg_and_comb",
462 sum_lutc_input => "cin",
466 regout => TOGGLE_COUNTER_SIG_68,
467 cout => TOGGLE_COUNTER_SIG_COUT(10),
469 dataa => TOGGLE_COUNTER_SIG_68,
470 datab => TOGGLE_COUNTER_SIG_69,
471 aclr => un6_dly_counter_0_x,
472 sclr => TOGGLE_SIG_0_0_0_G1_I,
473 cin => TOGGLE_COUNTER_SIG_COUT(8),
482 \TOGGLE_COUNTER_SIG_9_\: stratix_lcell generic map (
483 operation_mode => "arithmetic",
484 output_mode => "reg_and_comb",
486 sum_lutc_input => "cin",
490 regout => TOGGLE_COUNTER_SIG_67,
491 cout => TOGGLE_COUNTER_SIG_COUT(9),
493 dataa => TOGGLE_COUNTER_SIG_66,
494 datab => TOGGLE_COUNTER_SIG_67,
495 aclr => un6_dly_counter_0_x,
496 sclr => TOGGLE_SIG_0_0_0_G1_I,
497 cin => TOGGLE_COUNTER_SIG_COUT(7),
506 \TOGGLE_COUNTER_SIG_8_\: stratix_lcell generic map (
507 operation_mode => "arithmetic",
508 output_mode => "reg_and_comb",
510 sum_lutc_input => "cin",
514 regout => TOGGLE_COUNTER_SIG_66,
515 cout => TOGGLE_COUNTER_SIG_COUT(8),
517 dataa => TOGGLE_COUNTER_SIG_66,
518 datab => TOGGLE_COUNTER_SIG_67,
519 aclr => un6_dly_counter_0_x,
520 sclr => TOGGLE_SIG_0_0_0_G1_I,
521 cin => TOGGLE_COUNTER_SIG_COUT(6),
530 \TOGGLE_COUNTER_SIG_7_\: stratix_lcell generic map (
531 operation_mode => "arithmetic",
532 output_mode => "reg_and_comb",
534 sum_lutc_input => "cin",
538 regout => TOGGLE_COUNTER_SIG_65,
539 cout => TOGGLE_COUNTER_SIG_COUT(7),
541 dataa => TOGGLE_COUNTER_SIG_64,
542 datab => TOGGLE_COUNTER_SIG_65,
543 aclr => un6_dly_counter_0_x,
544 sclr => TOGGLE_SIG_0_0_0_G1_I,
545 cin => TOGGLE_COUNTER_SIG_COUT(5),
554 \TOGGLE_COUNTER_SIG_6_\: stratix_lcell generic map (
555 operation_mode => "arithmetic",
556 output_mode => "reg_and_comb",
558 sum_lutc_input => "cin",
562 regout => TOGGLE_COUNTER_SIG_64,
563 cout => TOGGLE_COUNTER_SIG_COUT(6),
565 dataa => TOGGLE_COUNTER_SIG_64,
566 datab => TOGGLE_COUNTER_SIG_65,
567 aclr => un6_dly_counter_0_x,
568 sclr => TOGGLE_SIG_0_0_0_G1_I,
569 cin => TOGGLE_COUNTER_SIG_COUT(4),
578 \TOGGLE_COUNTER_SIG_5_\: stratix_lcell generic map (
579 operation_mode => "arithmetic",
580 output_mode => "reg_and_comb",
582 sum_lutc_input => "cin",
586 regout => TOGGLE_COUNTER_SIG_63,
587 cout => TOGGLE_COUNTER_SIG_COUT(5),
589 dataa => TOGGLE_COUNTER_SIG_62,
590 datab => TOGGLE_COUNTER_SIG_63,
591 aclr => un6_dly_counter_0_x,
592 sclr => TOGGLE_SIG_0_0_0_G1_I,
593 cin => TOGGLE_COUNTER_SIG_COUT(3),
602 \TOGGLE_COUNTER_SIG_4_\: stratix_lcell generic map (
603 operation_mode => "arithmetic",
604 output_mode => "reg_and_comb",
606 sum_lutc_input => "cin",
610 regout => TOGGLE_COUNTER_SIG_62,
611 cout => TOGGLE_COUNTER_SIG_COUT(4),
613 dataa => TOGGLE_COUNTER_SIG_62,
614 datab => TOGGLE_COUNTER_SIG_63,
615 aclr => un6_dly_counter_0_x,
616 sclr => TOGGLE_SIG_0_0_0_G1_I,
617 cin => TOGGLE_COUNTER_SIG_COUT(2),
626 \TOGGLE_COUNTER_SIG_3_\: stratix_lcell generic map (
627 operation_mode => "arithmetic",
628 output_mode => "reg_and_comb",
630 sum_lutc_input => "cin",
634 regout => TOGGLE_COUNTER_SIG_61,
635 cout => TOGGLE_COUNTER_SIG_COUT(3),
637 dataa => TOGGLE_COUNTER_SIG_60,
638 datab => TOGGLE_COUNTER_SIG_61,
639 aclr => un6_dly_counter_0_x,
640 sclr => TOGGLE_SIG_0_0_0_G1_I,
641 cin => TOGGLE_COUNTER_SIG_COUT(1),
650 \TOGGLE_COUNTER_SIG_2_\: stratix_lcell generic map (
651 operation_mode => "arithmetic",
652 output_mode => "reg_and_comb",
654 sum_lutc_input => "cin",
658 regout => TOGGLE_COUNTER_SIG_60,
659 cout => TOGGLE_COUNTER_SIG_COUT(2),
661 dataa => TOGGLE_COUNTER_SIG_60,
662 datab => TOGGLE_COUNTER_SIG_61,
663 aclr => un6_dly_counter_0_x,
664 sclr => TOGGLE_SIG_0_0_0_G1_I,
665 cin => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
674 \TOGGLE_COUNTER_SIG_1_\: stratix_lcell generic map (
675 operation_mode => "arithmetic",
676 output_mode => "reg_and_comb",
678 sum_lutc_input => "datac",
681 regout => TOGGLE_COUNTER_SIG_59,
682 cout => TOGGLE_COUNTER_SIG_COUT(1),
684 dataa => TOGGLE_COUNTER_SIG_58,
685 datab => TOGGLE_COUNTER_SIG_59,
686 aclr => un6_dly_counter_0_x,
687 sclr => TOGGLE_SIG_0_0_0_G1_I,
697 \TOGGLE_COUNTER_SIG_0_\: stratix_lcell generic map (
698 operation_mode => "normal",
699 output_mode => "reg_only",
701 sum_lutc_input => "datac",
704 regout => TOGGLE_COUNTER_SIG_58,
706 dataa => TOGGLE_COUNTER_SIG_58,
707 aclr => un6_dly_counter_0_x,
708 sclr => TOGGLE_SIG_0_0_0_G1_I,
719 TOGGLE_SIG_Z146: stratix_lcell generic map (
720 operation_mode => "normal",
721 output_mode => "reg_only",
723 sum_lutc_input => "datac",
726 regout => TOGGLE_SIG_83,
728 dataa => TOGGLE_SIG_83,
729 datab => TOGGLE_SIG_0_0_0_G1,
730 aclr => un6_dly_counter_0_x,
741 R_Z147: stratix_lcell generic map (
742 operation_mode => "normal",
743 output_mode => "reg_only",
745 sum_lutc_input => "datac",
750 dataa => TOGGLE_SIG_83,
751 datab => v_enable_sig,
752 datac => B_NEXT_0_SQMUXA_7_4,
753 datad => B_NEXT_0_SQMUXA_7_5,
754 aclr => un6_dly_counter_0_x,
763 B_Z148: stratix_lcell generic map (
764 operation_mode => "normal",
765 output_mode => "reg_only",
767 sum_lutc_input => "datac",
772 dataa => TOGGLE_SIG_83,
773 datab => v_enable_sig,
774 datac => B_NEXT_0_SQMUXA_7_4,
775 datad => B_NEXT_0_SQMUXA_7_5,
776 aclr => un6_dly_counter_0_x,
785 G_Z149: stratix_lcell generic map (
786 operation_mode => "normal",
787 output_mode => "reg_only",
789 sum_lutc_input => "datac",
795 aclr => un6_dly_counter_0_x,
807 TOGGLE_SIG_0_0_0_G1_Z150: stratix_lcell generic map (
808 operation_mode => "normal",
809 output_mode => "comb_only",
811 sum_lutc_input => "datac",
814 combout => TOGGLE_SIG_0_0_0_G1,
815 dataa => TOGGLE_COUNTER_SIG_77,
816 datab => TOGGLE_COUNTER_SIG_78,
817 datac => TOGGLE_SIG_0_0_0_G1_2,
818 datad => UN1_TOGGLE_COUNTER_SIGLTO18,
829 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO18: stratix_lcell generic map (
830 operation_mode => "normal",
831 output_mode => "comb_only",
833 sum_lutc_input => "datac",
836 combout => UN1_TOGGLE_COUNTER_SIGLTO18,
837 dataa => TOGGLE_COUNTER_SIG_75,
838 datab => TOGGLE_COUNTER_SIG_76,
839 datac => TOGGLE_COUNTER_SIG_74,
840 datad => UN1_TOGGLE_COUNTER_SIGLTO15,
851 B_NEXT_0_SQMUXA_7_5_Z152: stratix_lcell generic map (
852 operation_mode => "normal",
853 output_mode => "comb_only",
855 sum_lutc_input => "datac",
858 combout => B_NEXT_0_SQMUXA_7_5,
859 dataa => column_counter_sig_6,
860 datab => column_counter_sig_7,
861 datac => UN5_V_ENABLELTO5,
862 datad => B_NEXT_0_SQMUXA_7_3,
873 B_NEXT_0_SQMUXA_7_4_Z153: stratix_lcell generic map (
874 operation_mode => "normal",
875 output_mode => "comb_only",
877 sum_lutc_input => "datac",
880 combout => B_NEXT_0_SQMUXA_7_4,
881 dataa => line_counter_sig_8,
882 datab => line_counter_sig_7,
883 datac => UN13_V_ENABLELTO6,
884 datad => B_NEXT_0_SQMUXA_7_4_A,
895 B_NEXT_0_SQMUXA_7_4_A_Z154: stratix_lcell generic map (
896 operation_mode => "normal",
897 output_mode => "comb_only",
899 sum_lutc_input => "datac",
902 combout => B_NEXT_0_SQMUXA_7_4_A,
903 dataa => line_counter_sig_4,
904 datab => line_counter_sig_5,
905 datac => line_counter_sig_6,
906 datad => UN17_V_ENABLELTO3,
917 B_NEXT_0_SQMUXA_7_3_Z155: stratix_lcell generic map (
918 operation_mode => "normal",
919 output_mode => "comb_only",
921 sum_lutc_input => "datac",
924 combout => B_NEXT_0_SQMUXA_7_3,
925 dataa => column_counter_sig_7,
926 datab => column_counter_sig_9,
927 datac => B_NEXT_0_SQMUXA_7_2,
928 datad => UN9_V_ENABLELTO6,
939 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO15: stratix_lcell generic map (
940 operation_mode => "normal",
941 output_mode => "comb_only",
943 sum_lutc_input => "datac",
946 combout => UN1_TOGGLE_COUNTER_SIGLTO15,
947 dataa => TOGGLE_COUNTER_SIG_71,
948 datab => TOGGLE_COUNTER_SIG_72,
949 datac => TOGGLE_COUNTER_SIG_73,
950 datad => UN1_TOGGLE_COUNTER_SIGLTO12,
961 DRAW_SQUARE_NEXT_UN5_V_ENABLELTO5: stratix_lcell generic map (
962 operation_mode => "normal",
963 output_mode => "comb_only",
965 sum_lutc_input => "datac",
968 combout => UN5_V_ENABLELTO5,
969 dataa => column_counter_sig_4,
970 datab => column_counter_sig_5,
971 datac => column_counter_sig_3,
972 datad => UN5_V_ENABLELT2,
983 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO12: stratix_lcell generic map (
984 operation_mode => "normal",
985 output_mode => "comb_only",
987 sum_lutc_input => "datac",
990 combout => UN1_TOGGLE_COUNTER_SIGLTO12,
991 dataa => TOGGLE_COUNTER_SIG_68,
992 datab => TOGGLE_COUNTER_SIG_69,
993 datac => TOGGLE_COUNTER_SIG_70,
994 datad => UN1_TOGGLE_COUNTER_SIGLTO9,
1005 DRAW_SQUARE_NEXT_UN13_V_ENABLELTO6: stratix_lcell generic map (
1006 operation_mode => "normal",
1007 output_mode => "comb_only",
1008 synch_mode => "off",
1009 sum_lutc_input => "datac",
1012 combout => UN13_V_ENABLELTO6,
1013 dataa => line_counter_sig_5,
1014 datab => line_counter_sig_6,
1015 datac => line_counter_sig_3,
1016 datad => UN13_V_ENABLELTO4_0,
1027 DRAW_SQUARE_NEXT_UN9_V_ENABLELTO6: stratix_lcell generic map (
1028 operation_mode => "normal",
1029 output_mode => "comb_only",
1030 synch_mode => "off",
1031 sum_lutc_input => "datac",
1034 combout => UN9_V_ENABLELTO6,
1035 dataa => column_counter_sig_5,
1036 datab => column_counter_sig_6,
1037 datac => UN9_V_ENABLELTO4,
1049 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLTO9: stratix_lcell generic map (
1050 operation_mode => "normal",
1051 output_mode => "comb_only",
1052 synch_mode => "off",
1053 sum_lutc_input => "datac",
1056 combout => UN1_TOGGLE_COUNTER_SIGLTO9,
1057 dataa => TOGGLE_COUNTER_SIG_66,
1058 datab => TOGGLE_COUNTER_SIG_67,
1059 datac => TOGGLE_COUNTER_SIG_65,
1060 datad => UN1_TOGGLE_COUNTER_SIGLT6,
1071 DRAW_SQUARE_NEXT_UN17_V_ENABLELTO3: stratix_lcell generic map (
1072 operation_mode => "normal",
1073 output_mode => "comb_only",
1074 synch_mode => "off",
1075 sum_lutc_input => "datac",
1078 combout => UN17_V_ENABLELTO3,
1079 dataa => line_counter_sig_1,
1080 datab => line_counter_sig_2,
1081 datac => line_counter_sig_0,
1082 datad => line_counter_sig_3,
1093 TOGGLE_SIG_0_0_0_G1_2_Z163: stratix_lcell generic map (
1094 operation_mode => "normal",
1095 output_mode => "comb_only",
1096 synch_mode => "off",
1097 sum_lutc_input => "datac",
1100 combout => TOGGLE_SIG_0_0_0_G1_2,
1101 dataa => TOGGLE_COUNTER_SIG_81,
1102 datab => TOGGLE_COUNTER_SIG_82,
1103 datac => TOGGLE_COUNTER_SIG_79,
1104 datad => TOGGLE_COUNTER_SIG_80,
1115 B_NEXT_0_SQMUXA_7_2_Z164: stratix_lcell generic map (
1116 operation_mode => "normal",
1117 output_mode => "comb_only",
1118 synch_mode => "off",
1119 sum_lutc_input => "datac",
1122 combout => B_NEXT_0_SQMUXA_7_2,
1123 dataa => column_counter_sig_8,
1124 datab => h_enable_sig,
1125 datac => column_counter_sig_9,
1126 datad => line_counter_sig_8,
1137 DRAW_SQUARE_NEXT_UN9_V_ENABLELTO4: stratix_lcell generic map (
1138 operation_mode => "normal",
1139 output_mode => "comb_only",
1140 synch_mode => "off",
1141 sum_lutc_input => "datac",
1144 combout => UN9_V_ENABLELTO4,
1145 dataa => column_counter_sig_3,
1146 datab => column_counter_sig_4,
1147 datac => column_counter_sig_2,
1159 DRAW_SQUARE_NEXT_UN5_V_ENABLELT2: stratix_lcell generic map (
1160 operation_mode => "normal",
1161 output_mode => "comb_only",
1162 synch_mode => "off",
1163 sum_lutc_input => "datac",
1166 combout => UN5_V_ENABLELT2,
1167 dataa => column_counter_sig_1,
1168 datab => column_counter_sig_2,
1169 datac => column_counter_sig_0,
1181 DRAW_SQUARE_NEXT_UN13_V_ENABLELTO4_0: stratix_lcell generic map (
1182 operation_mode => "normal",
1183 output_mode => "comb_only",
1184 synch_mode => "off",
1185 sum_lutc_input => "datac",
1188 combout => UN13_V_ENABLELTO4_0,
1189 dataa => line_counter_sig_4,
1190 datab => line_counter_sig_2,
1203 BLINKER_NEXT_UN1_TOGGLE_COUNTER_SIGLT6: stratix_lcell generic map (
1204 operation_mode => "normal",
1205 output_mode => "comb_only",
1206 synch_mode => "off",
1207 sum_lutc_input => "datac",
1210 combout => UN1_TOGGLE_COUNTER_SIGLT6,
1211 dataa => TOGGLE_COUNTER_SIG_64,
1212 datab => TOGGLE_COUNTER_SIG_63,
1225 \UN2_TOGGLE_COUNTER_NEXT_0_\: stratix_lcell generic map (
1226 operation_mode => "arithmetic",
1227 output_mode => "comb_only",
1228 synch_mode => "off",
1229 sum_lutc_input => "datac",
1232 cout => UN2_TOGGLE_COUNTER_NEXT_COUT(0),
1233 dataa => TOGGLE_COUNTER_SIG_58,
1234 datab => TOGGLE_COUNTER_SIG_59,
1249 TOGGLE_SIG_0_0_0_G1_I <= not TOGGLE_SIG_0_0_0_G1;
1250 toggle_counter_sig_0 <= TOGGLE_COUNTER_SIG_58;
1251 toggle_counter_sig_1 <= TOGGLE_COUNTER_SIG_59;
1252 toggle_counter_sig_2 <= TOGGLE_COUNTER_SIG_60;
1253 toggle_counter_sig_3 <= TOGGLE_COUNTER_SIG_61;
1254 toggle_counter_sig_4 <= TOGGLE_COUNTER_SIG_62;
1255 toggle_counter_sig_5 <= TOGGLE_COUNTER_SIG_63;
1256 toggle_counter_sig_6 <= TOGGLE_COUNTER_SIG_64;
1257 toggle_counter_sig_7 <= TOGGLE_COUNTER_SIG_65;
1258 toggle_counter_sig_8 <= TOGGLE_COUNTER_SIG_66;
1259 toggle_counter_sig_9 <= TOGGLE_COUNTER_SIG_67;
1260 toggle_counter_sig_10 <= TOGGLE_COUNTER_SIG_68;
1261 toggle_counter_sig_11 <= TOGGLE_COUNTER_SIG_69;
1262 toggle_counter_sig_12 <= TOGGLE_COUNTER_SIG_70;
1263 toggle_counter_sig_13 <= TOGGLE_COUNTER_SIG_71;
1264 toggle_counter_sig_14 <= TOGGLE_COUNTER_SIG_72;
1265 toggle_counter_sig_15 <= TOGGLE_COUNTER_SIG_73;
1266 toggle_counter_sig_16 <= TOGGLE_COUNTER_SIG_74;
1267 toggle_counter_sig_17 <= TOGGLE_COUNTER_SIG_75;
1268 toggle_counter_sig_18 <= TOGGLE_COUNTER_SIG_76;
1269 toggle_counter_sig_19 <= TOGGLE_COUNTER_SIG_77;
1270 toggle_counter_sig_20 <= TOGGLE_COUNTER_SIG_78;
1271 toggle_counter_sig_21 <= TOGGLE_COUNTER_SIG_79;
1272 toggle_counter_sig_22 <= TOGGLE_COUNTER_SIG_80;
1273 toggle_counter_sig_23 <= TOGGLE_COUNTER_SIG_81;
1274 toggle_counter_sig_24 <= TOGGLE_COUNTER_SIG_82;
1275 toggle_sig <= TOGGLE_SIG_83;
1279 library ieee, stratix;
1280 use ieee.std_logic_1164.all;
1281 use ieee.numeric_std.all;
1283 use synplify.components.all;
1284 use stratix.stratix_components.all;
1286 entity vga_driver is
1288 line_counter_sig_0 : out std_logic;
1289 line_counter_sig_1 : out std_logic;
1290 line_counter_sig_2 : out std_logic;
1291 line_counter_sig_3 : out std_logic;
1292 line_counter_sig_4 : out std_logic;
1293 line_counter_sig_5 : out std_logic;
1294 line_counter_sig_6 : out std_logic;
1295 line_counter_sig_7 : out std_logic;
1296 line_counter_sig_8 : out std_logic;
1297 dly_counter_1 : in std_logic;
1298 dly_counter_0 : in std_logic;
1299 vsync_state_2 : out std_logic;
1300 vsync_state_5 : out std_logic;
1301 vsync_state_3 : out std_logic;
1302 vsync_state_6 : out std_logic;
1303 vsync_state_4 : out std_logic;
1304 vsync_state_1 : out std_logic;
1305 vsync_state_0 : out std_logic;
1306 hsync_state_2 : out std_logic;
1307 hsync_state_4 : out std_logic;
1308 hsync_state_0 : out std_logic;
1309 hsync_state_5 : out std_logic;
1310 hsync_state_1 : out std_logic;
1311 hsync_state_3 : out std_logic;
1312 hsync_state_6 : out std_logic;
1313 column_counter_sig_0 : out std_logic;
1314 column_counter_sig_1 : out std_logic;
1315 column_counter_sig_2 : out std_logic;
1316 column_counter_sig_3 : out std_logic;
1317 column_counter_sig_4 : out std_logic;
1318 column_counter_sig_5 : out std_logic;
1319 column_counter_sig_6 : out std_logic;
1320 column_counter_sig_7 : out std_logic;
1321 column_counter_sig_8 : out std_logic;
1322 column_counter_sig_9 : out std_logic;
1323 vsync_counter_9 : out std_logic;
1324 vsync_counter_8 : out std_logic;
1325 vsync_counter_7 : out std_logic;
1326 vsync_counter_6 : out std_logic;
1327 vsync_counter_5 : out std_logic;
1328 vsync_counter_4 : out std_logic;
1329 vsync_counter_3 : out std_logic;
1330 vsync_counter_2 : out std_logic;
1331 vsync_counter_1 : out std_logic;
1332 vsync_counter_0 : out std_logic;
1333 hsync_counter_9 : out std_logic;
1334 hsync_counter_8 : out std_logic;
1335 hsync_counter_7 : out std_logic;
1336 hsync_counter_6 : out std_logic;
1337 hsync_counter_5 : out std_logic;
1338 hsync_counter_4 : out std_logic;
1339 hsync_counter_3 : out std_logic;
1340 hsync_counter_2 : out std_logic;
1341 hsync_counter_1 : out std_logic;
1342 hsync_counter_0 : out std_logic;
1343 d_set_vsync_counter : out std_logic;
1344 v_sync : out std_logic;
1345 h_sync : out std_logic;
1346 h_enable_sig : out std_logic;
1347 v_enable_sig : out std_logic;
1348 reset_pin_c : in std_logic;
1349 un6_dly_counter_0_x : out std_logic;
1350 d_set_hsync_counter : out std_logic;
1351 clk_pin_c : in std_logic);
1354 architecture beh of vga_driver is
1355 signal devclrn : std_logic := '1';
1356 signal devpor : std_logic := '1';
1357 signal devoe : std_logic := '0';
1358 signal HSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
1359 signal VSYNC_COUNTER_COUT : std_logic_vector(8 downto 0);
1360 signal UN2_COLUMN_COUNTER_NEXT_COMBOUT : std_logic_vector(9 downto 1);
1361 signal UN1_LINE_COUNTER_SIG_COMBOUT : std_logic_vector(9 downto 1);
1362 signal UN1_LINE_COUNTER_SIG_COUT : std_logic_vector(7 downto 1);
1363 signal UN1_LINE_COUNTER_SIG_A_COUT : std_logic_vector(1 to 1);
1364 signal UN2_COLUMN_COUNTER_NEXT_COUT : std_logic_vector(7 downto 0);
1365 signal HSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
1366 signal G_2_I : std_logic ;
1367 signal UN9_HSYNC_COUNTERLT9 : std_logic ;
1368 signal VSYNC_COUNTER_NEXT_1_SQMUXA : std_logic ;
1369 signal G_16_I : std_logic ;
1370 signal UN9_VSYNC_COUNTERLT9 : std_logic ;
1371 signal UN10_COLUMN_COUNTER_SIGLTO9 : std_logic ;
1372 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
1373 signal \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\ : std_logic ;
1374 signal UN6_DLY_COUNTER_0_X_56 : std_logic ;
1375 signal VSYNC_STATE_NEXT_2_SQMUXA : std_logic ;
1376 signal UN12_VSYNC_COUNTER_7 : std_logic ;
1377 signal UN13_VSYNC_COUNTER_4 : std_logic ;
1378 signal UN10_LINE_COUNTER_SIGLTO8 : std_logic ;
1379 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1 : std_logic ;
1380 signal V_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
1381 signal H_ENABLE_SIG_1_0_0_0_G0_I_O4 : std_logic ;
1382 signal H_SYNC_1_0_0_0_G1 : std_logic ;
1383 signal V_SYNC_1_0_0_0_G1 : std_logic ;
1384 signal UN14_VSYNC_COUNTER_8 : std_logic ;
1385 signal \HSYNC_STATE_3_0_0_0__G0_0\ : std_logic ;
1386 signal UN10_HSYNC_COUNTER_3 : std_logic ;
1387 signal UN10_HSYNC_COUNTER_1 : std_logic ;
1388 signal UN10_HSYNC_COUNTER_4 : std_logic ;
1389 signal UN12_HSYNC_COUNTER : std_logic ;
1390 signal UN11_HSYNC_COUNTER_2 : std_logic ;
1391 signal UN11_HSYNC_COUNTER_3 : std_logic ;
1392 signal UN13_HSYNC_COUNTER : std_logic ;
1393 signal VSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
1394 signal VSYNC_STATE_NEXT_1_SQMUXA_3 : std_logic ;
1395 signal UN1_VSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
1396 signal HSYNC_STATE_NEXT_1_SQMUXA_1 : std_logic ;
1397 signal HSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
1398 signal UN1_HSYNC_STATE_NEXT_1_SQMUXA_0 : std_logic ;
1399 signal UN12_VSYNC_COUNTER_6 : std_logic ;
1400 signal UN15_VSYNC_COUNTER_4 : std_logic ;
1401 signal VSYNC_STATE_NEXT_1_SQMUXA_2 : std_logic ;
1402 signal UN10_LINE_COUNTER_SIGLTO5 : std_logic ;
1403 signal UN10_COLUMN_COUNTER_SIGLT6 : std_logic ;
1404 signal UN13_HSYNC_COUNTER_2 : std_logic ;
1405 signal UN13_HSYNC_COUNTER_7 : std_logic ;
1406 signal UN9_HSYNC_COUNTERLT9_3 : std_logic ;
1407 signal UN9_VSYNC_COUNTERLT9_5 : std_logic ;
1408 signal UN9_VSYNC_COUNTERLT9_6 : std_logic ;
1409 signal UN12_HSYNC_COUNTER_3 : std_logic ;
1410 signal UN12_HSYNC_COUNTER_4 : std_logic ;
1411 signal UN10_LINE_COUNTER_SIGLT4_2 : std_logic ;
1412 signal UN15_VSYNC_COUNTER_3 : std_logic ;
1413 signal UN13_VSYNC_COUNTER_3 : std_logic ;
1414 signal UN10_COLUMN_COUNTER_SIGLT6_4 : std_logic ;
1415 signal D_SET_HSYNC_COUNTER_57 : std_logic ;
1416 signal V_SYNC_54 : std_logic ;
1417 signal UN1_VSYNC_STATE_2_0 : std_logic ;
1418 signal H_SYNC_55 : std_logic ;
1419 signal UN1_HSYNC_STATE_3_0 : std_logic ;
1420 signal D_SET_VSYNC_COUNTER_53 : std_logic ;
1421 signal VCC : std_logic ;
1422 signal LINE_COUNTER_SIG_0_0 : std_logic ;
1423 signal LINE_COUNTER_SIG_1_0 : std_logic ;
1424 signal LINE_COUNTER_SIG_2_0 : std_logic ;
1425 signal LINE_COUNTER_SIG_3_0 : std_logic ;
1426 signal LINE_COUNTER_SIG_4_0 : std_logic ;
1427 signal LINE_COUNTER_SIG_5_0 : std_logic ;
1428 signal LINE_COUNTER_SIG_6_0 : std_logic ;
1429 signal LINE_COUNTER_SIG_7_0 : std_logic ;
1430 signal LINE_COUNTER_SIG_8_0 : std_logic ;
1431 signal VSYNC_STATE_9 : std_logic ;
1432 signal VSYNC_STATE_10 : std_logic ;
1433 signal VSYNC_STATE_11 : std_logic ;
1434 signal VSYNC_STATE_12 : std_logic ;
1435 signal VSYNC_STATE_13 : std_logic ;
1436 signal VSYNC_STATE_14 : std_logic ;
1437 signal VSYNC_STATE_15 : std_logic ;
1438 signal HSYNC_STATE_16 : std_logic ;
1439 signal HSYNC_STATE_17 : std_logic ;
1440 signal HSYNC_STATE_18 : std_logic ;
1441 signal HSYNC_STATE_19 : std_logic ;
1442 signal HSYNC_STATE_20 : std_logic ;
1443 signal HSYNC_STATE_21 : std_logic ;
1444 signal HSYNC_STATE_22 : std_logic ;
1445 signal COLUMN_COUNTER_SIG_23 : std_logic ;
1446 signal COLUMN_COUNTER_SIG_24 : std_logic ;
1447 signal COLUMN_COUNTER_SIG_25 : std_logic ;
1448 signal COLUMN_COUNTER_SIG_26 : std_logic ;
1449 signal COLUMN_COUNTER_SIG_27 : std_logic ;
1450 signal COLUMN_COUNTER_SIG_28 : std_logic ;
1451 signal COLUMN_COUNTER_SIG_29 : std_logic ;
1452 signal COLUMN_COUNTER_SIG_30 : std_logic ;
1453 signal COLUMN_COUNTER_SIG_31 : std_logic ;
1454 signal COLUMN_COUNTER_SIG_32 : std_logic ;
1455 signal VSYNC_COUNTER_33 : std_logic ;
1456 signal VSYNC_COUNTER_34 : std_logic ;
1457 signal VSYNC_COUNTER_35 : std_logic ;
1458 signal VSYNC_COUNTER_36 : std_logic ;
1459 signal VSYNC_COUNTER_37 : std_logic ;
1460 signal VSYNC_COUNTER_38 : std_logic ;
1461 signal VSYNC_COUNTER_39 : std_logic ;
1462 signal VSYNC_COUNTER_40 : std_logic ;
1463 signal VSYNC_COUNTER_41 : std_logic ;
1464 signal VSYNC_COUNTER_42 : std_logic ;
1465 signal HSYNC_COUNTER_43 : std_logic ;
1466 signal HSYNC_COUNTER_44 : std_logic ;
1467 signal HSYNC_COUNTER_45 : std_logic ;
1468 signal HSYNC_COUNTER_46 : std_logic ;
1469 signal HSYNC_COUNTER_47 : std_logic ;
1470 signal HSYNC_COUNTER_48 : std_logic ;
1471 signal HSYNC_COUNTER_49 : std_logic ;
1472 signal HSYNC_COUNTER_50 : std_logic ;
1473 signal HSYNC_COUNTER_51 : std_logic ;
1474 signal HSYNC_COUNTER_52 : std_logic ;
1475 signal GND : std_logic ;
1476 signal LINE_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
1477 signal COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I : std_logic ;
1478 signal G_16_I_I : std_logic ;
1479 signal UN9_VSYNC_COUNTERLT9_I : std_logic ;
1480 signal G_2_I_I : std_logic ;
1481 signal UN9_HSYNC_COUNTERLT9_I : std_logic ;
1483 \HSYNC_COUNTER_0_\: stratix_lcell generic map (
1484 operation_mode => "arithmetic",
1485 output_mode => "reg_and_comb",
1487 sum_lutc_input => "datac",
1490 regout => HSYNC_COUNTER_52,
1491 cout => HSYNC_COUNTER_COUT(0),
1493 dataa => HSYNC_COUNTER_52,
1495 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1497 sload => UN9_HSYNC_COUNTERLT9_I,
1506 \HSYNC_COUNTER_1_\: stratix_lcell generic map (
1507 operation_mode => "arithmetic",
1508 output_mode => "reg_and_comb",
1510 sum_lutc_input => "cin",
1514 regout => HSYNC_COUNTER_51,
1515 cout => HSYNC_COUNTER_COUT(1),
1517 dataa => HSYNC_COUNTER_51,
1518 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1520 sload => UN9_HSYNC_COUNTERLT9_I,
1521 cin => HSYNC_COUNTER_COUT(0),
1530 \HSYNC_COUNTER_2_\: stratix_lcell generic map (
1531 operation_mode => "arithmetic",
1532 output_mode => "reg_and_comb",
1534 sum_lutc_input => "cin",
1538 regout => HSYNC_COUNTER_50,
1539 cout => HSYNC_COUNTER_COUT(2),
1541 dataa => HSYNC_COUNTER_50,
1542 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1544 sload => UN9_HSYNC_COUNTERLT9_I,
1545 cin => HSYNC_COUNTER_COUT(1),
1554 \HSYNC_COUNTER_3_\: stratix_lcell generic map (
1555 operation_mode => "arithmetic",
1556 output_mode => "reg_and_comb",
1558 sum_lutc_input => "cin",
1562 regout => HSYNC_COUNTER_49,
1563 cout => HSYNC_COUNTER_COUT(3),
1565 dataa => HSYNC_COUNTER_49,
1566 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1568 sload => UN9_HSYNC_COUNTERLT9_I,
1569 cin => HSYNC_COUNTER_COUT(2),
1578 \HSYNC_COUNTER_4_\: stratix_lcell generic map (
1579 operation_mode => "arithmetic",
1580 output_mode => "reg_and_comb",
1582 sum_lutc_input => "cin",
1586 regout => HSYNC_COUNTER_48,
1587 cout => HSYNC_COUNTER_COUT(4),
1589 dataa => HSYNC_COUNTER_48,
1590 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1592 sload => UN9_HSYNC_COUNTERLT9_I,
1593 cin => HSYNC_COUNTER_COUT(3),
1602 \HSYNC_COUNTER_5_\: stratix_lcell generic map (
1603 operation_mode => "arithmetic",
1604 output_mode => "reg_and_comb",
1606 sum_lutc_input => "cin",
1610 regout => HSYNC_COUNTER_47,
1611 cout => HSYNC_COUNTER_COUT(5),
1613 dataa => HSYNC_COUNTER_47,
1614 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1616 sload => UN9_HSYNC_COUNTERLT9_I,
1617 cin => HSYNC_COUNTER_COUT(4),
1626 \HSYNC_COUNTER_6_\: stratix_lcell generic map (
1627 operation_mode => "arithmetic",
1628 output_mode => "reg_and_comb",
1630 sum_lutc_input => "cin",
1634 regout => HSYNC_COUNTER_46,
1635 cout => HSYNC_COUNTER_COUT(6),
1637 dataa => HSYNC_COUNTER_46,
1638 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1640 sload => UN9_HSYNC_COUNTERLT9_I,
1641 cin => HSYNC_COUNTER_COUT(5),
1650 \HSYNC_COUNTER_7_\: stratix_lcell generic map (
1651 operation_mode => "arithmetic",
1652 output_mode => "reg_and_comb",
1654 sum_lutc_input => "cin",
1658 regout => HSYNC_COUNTER_45,
1659 cout => HSYNC_COUNTER_COUT(7),
1661 dataa => HSYNC_COUNTER_45,
1662 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1664 sload => UN9_HSYNC_COUNTERLT9_I,
1665 cin => HSYNC_COUNTER_COUT(6),
1674 \HSYNC_COUNTER_8_\: stratix_lcell generic map (
1675 operation_mode => "arithmetic",
1676 output_mode => "reg_and_comb",
1678 sum_lutc_input => "cin",
1682 regout => HSYNC_COUNTER_44,
1683 cout => HSYNC_COUNTER_COUT(8),
1685 dataa => HSYNC_COUNTER_44,
1686 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1688 sload => UN9_HSYNC_COUNTERLT9_I,
1689 cin => HSYNC_COUNTER_COUT(7),
1698 \HSYNC_COUNTER_9_\: stratix_lcell generic map (
1699 operation_mode => "normal",
1700 output_mode => "reg_only",
1702 sum_lutc_input => "cin",
1706 regout => HSYNC_COUNTER_43,
1708 dataa => HSYNC_COUNTER_43,
1709 datac => HSYNC_COUNTER_NEXT_1_SQMUXA,
1711 sload => UN9_HSYNC_COUNTERLT9_I,
1712 cin => HSYNC_COUNTER_COUT(8),
1721 \VSYNC_COUNTER_0_\: stratix_lcell generic map (
1722 operation_mode => "arithmetic",
1723 output_mode => "reg_and_comb",
1725 sum_lutc_input => "datac",
1728 regout => VSYNC_COUNTER_42,
1729 cout => VSYNC_COUNTER_COUT(0),
1731 dataa => VSYNC_COUNTER_42,
1732 datab => D_SET_HSYNC_COUNTER_57,
1733 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1735 sload => UN9_VSYNC_COUNTERLT9_I,
1744 \VSYNC_COUNTER_1_\: stratix_lcell generic map (
1745 operation_mode => "arithmetic",
1746 output_mode => "reg_and_comb",
1748 sum_lutc_input => "cin",
1752 regout => VSYNC_COUNTER_41,
1753 cout => VSYNC_COUNTER_COUT(1),
1755 dataa => VSYNC_COUNTER_41,
1756 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1758 sload => UN9_VSYNC_COUNTERLT9_I,
1759 cin => VSYNC_COUNTER_COUT(0),
1768 \VSYNC_COUNTER_2_\: stratix_lcell generic map (
1769 operation_mode => "arithmetic",
1770 output_mode => "reg_and_comb",
1772 sum_lutc_input => "cin",
1776 regout => VSYNC_COUNTER_40,
1777 cout => VSYNC_COUNTER_COUT(2),
1779 dataa => VSYNC_COUNTER_40,
1780 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1782 sload => UN9_VSYNC_COUNTERLT9_I,
1783 cin => VSYNC_COUNTER_COUT(1),
1792 \VSYNC_COUNTER_3_\: stratix_lcell generic map (
1793 operation_mode => "arithmetic",
1794 output_mode => "reg_and_comb",
1796 sum_lutc_input => "cin",
1800 regout => VSYNC_COUNTER_39,
1801 cout => VSYNC_COUNTER_COUT(3),
1803 dataa => VSYNC_COUNTER_39,
1804 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1806 sload => UN9_VSYNC_COUNTERLT9_I,
1807 cin => VSYNC_COUNTER_COUT(2),
1816 \VSYNC_COUNTER_4_\: stratix_lcell generic map (
1817 operation_mode => "arithmetic",
1818 output_mode => "reg_and_comb",
1820 sum_lutc_input => "cin",
1824 regout => VSYNC_COUNTER_38,
1825 cout => VSYNC_COUNTER_COUT(4),
1827 dataa => VSYNC_COUNTER_38,
1828 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1830 sload => UN9_VSYNC_COUNTERLT9_I,
1831 cin => VSYNC_COUNTER_COUT(3),
1840 \VSYNC_COUNTER_5_\: stratix_lcell generic map (
1841 operation_mode => "arithmetic",
1842 output_mode => "reg_and_comb",
1844 sum_lutc_input => "cin",
1848 regout => VSYNC_COUNTER_37,
1849 cout => VSYNC_COUNTER_COUT(5),
1851 dataa => VSYNC_COUNTER_37,
1852 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1854 sload => UN9_VSYNC_COUNTERLT9_I,
1855 cin => VSYNC_COUNTER_COUT(4),
1864 \VSYNC_COUNTER_6_\: stratix_lcell generic map (
1865 operation_mode => "arithmetic",
1866 output_mode => "reg_and_comb",
1868 sum_lutc_input => "cin",
1872 regout => VSYNC_COUNTER_36,
1873 cout => VSYNC_COUNTER_COUT(6),
1875 dataa => VSYNC_COUNTER_36,
1876 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1878 sload => UN9_VSYNC_COUNTERLT9_I,
1879 cin => VSYNC_COUNTER_COUT(5),
1888 \VSYNC_COUNTER_7_\: stratix_lcell generic map (
1889 operation_mode => "arithmetic",
1890 output_mode => "reg_and_comb",
1892 sum_lutc_input => "cin",
1896 regout => VSYNC_COUNTER_35,
1897 cout => VSYNC_COUNTER_COUT(7),
1899 dataa => VSYNC_COUNTER_35,
1900 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1902 sload => UN9_VSYNC_COUNTERLT9_I,
1903 cin => VSYNC_COUNTER_COUT(6),
1912 \VSYNC_COUNTER_8_\: stratix_lcell generic map (
1913 operation_mode => "arithmetic",
1914 output_mode => "reg_and_comb",
1916 sum_lutc_input => "cin",
1920 regout => VSYNC_COUNTER_34,
1921 cout => VSYNC_COUNTER_COUT(8),
1923 dataa => VSYNC_COUNTER_34,
1924 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1926 sload => UN9_VSYNC_COUNTERLT9_I,
1927 cin => VSYNC_COUNTER_COUT(7),
1936 \VSYNC_COUNTER_9_\: stratix_lcell generic map (
1937 operation_mode => "normal",
1938 output_mode => "reg_only",
1940 sum_lutc_input => "cin",
1944 regout => VSYNC_COUNTER_33,
1946 dataa => VSYNC_COUNTER_33,
1947 datac => VSYNC_COUNTER_NEXT_1_SQMUXA,
1949 sload => UN9_VSYNC_COUNTERLT9_I,
1950 cin => VSYNC_COUNTER_COUT(8),
1959 \COLUMN_COUNTER_SIG_9_\: stratix_lcell generic map (
1960 operation_mode => "normal",
1961 output_mode => "reg_only",
1963 sum_lutc_input => "datac",
1966 regout => COLUMN_COUNTER_SIG_32,
1968 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
1969 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1970 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
1981 \COLUMN_COUNTER_SIG_8_\: stratix_lcell generic map (
1982 operation_mode => "normal",
1983 output_mode => "reg_only",
1984 synch_mode => "off",
1985 sum_lutc_input => "datac",
1988 regout => COLUMN_COUNTER_SIG_31,
1990 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
1991 datab => UN10_COLUMN_COUNTER_SIGLTO9,
1992 datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
2003 \COLUMN_COUNTER_SIG_7_\: stratix_lcell generic map (
2004 operation_mode => "normal",
2005 output_mode => "reg_only",
2006 synch_mode => "off",
2007 sum_lutc_input => "datac",
2010 regout => COLUMN_COUNTER_SIG_30,
2012 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
2013 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2014 datac => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
2025 \COLUMN_COUNTER_SIG_6_\: stratix_lcell generic map (
2026 operation_mode => "normal",
2027 output_mode => "reg_only",
2029 sum_lutc_input => "datac",
2032 regout => COLUMN_COUNTER_SIG_29,
2034 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
2035 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2036 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2047 \COLUMN_COUNTER_SIG_5_\: stratix_lcell generic map (
2048 operation_mode => "normal",
2049 output_mode => "reg_only",
2051 sum_lutc_input => "datac",
2054 regout => COLUMN_COUNTER_SIG_28,
2056 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
2057 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2058 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2069 \COLUMN_COUNTER_SIG_4_\: stratix_lcell generic map (
2070 operation_mode => "normal",
2071 output_mode => "reg_only",
2073 sum_lutc_input => "datac",
2076 regout => COLUMN_COUNTER_SIG_27,
2078 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
2079 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2080 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2091 \COLUMN_COUNTER_SIG_3_\: stratix_lcell generic map (
2092 operation_mode => "normal",
2093 output_mode => "reg_only",
2095 sum_lutc_input => "datac",
2098 regout => COLUMN_COUNTER_SIG_26,
2100 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
2101 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2102 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2113 \COLUMN_COUNTER_SIG_2_\: stratix_lcell generic map (
2114 operation_mode => "normal",
2115 output_mode => "reg_only",
2117 sum_lutc_input => "datac",
2120 regout => COLUMN_COUNTER_SIG_25,
2122 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
2123 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2124 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2135 \COLUMN_COUNTER_SIG_1_\: stratix_lcell generic map (
2136 operation_mode => "normal",
2137 output_mode => "reg_only",
2139 sum_lutc_input => "datac",
2142 regout => COLUMN_COUNTER_SIG_24,
2144 dataa => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
2145 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2146 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2157 \COLUMN_COUNTER_SIG_0_\: stratix_lcell generic map (
2158 operation_mode => "normal",
2159 output_mode => "reg_only",
2161 sum_lutc_input => "datac",
2164 regout => COLUMN_COUNTER_SIG_23,
2166 dataa => COLUMN_COUNTER_SIG_23,
2167 datab => UN10_COLUMN_COUNTER_SIGLTO9,
2168 sclr => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I,
2179 \HSYNC_STATE_6_\: stratix_lcell generic map (
2180 operation_mode => "normal",
2181 output_mode => "reg_only",
2182 synch_mode => "off",
2183 sum_lutc_input => "datac",
2186 regout => HSYNC_STATE_22,
2188 datad => UN6_DLY_COUNTER_0_X_56,
2201 \VSYNC_STATE_0_\: stratix_lcell generic map (
2202 operation_mode => "normal",
2203 output_mode => "reg_only",
2204 synch_mode => "off",
2205 sum_lutc_input => "datac",
2208 regout => VSYNC_STATE_15,
2210 dataa => VSYNC_STATE_15,
2211 datab => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
2212 datac => UN6_DLY_COUNTER_0_X_56,
2213 datad => VSYNC_STATE_NEXT_2_SQMUXA,
2223 \VSYNC_STATE_1_\: stratix_lcell generic map (
2224 operation_mode => "normal",
2225 output_mode => "reg_only",
2226 synch_mode => "off",
2227 sum_lutc_input => "datac",
2230 regout => VSYNC_STATE_14,
2232 dataa => VSYNC_STATE_13,
2233 datab => UN12_VSYNC_COUNTER_7,
2234 datac => UN13_VSYNC_COUNTER_4,
2235 datad => UN6_DLY_COUNTER_0_X_56,
2245 \VSYNC_STATE_6_\: stratix_lcell generic map (
2246 operation_mode => "normal",
2247 output_mode => "reg_and_comb",
2248 synch_mode => "off",
2249 sum_lutc_input => "datac",
2252 combout => UN6_DLY_COUNTER_0_X_56,
2253 regout => VSYNC_STATE_12,
2255 dataa => reset_pin_c,
2256 datab => dly_counter_0,
2257 datac => dly_counter_1,
2268 \LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
2269 operation_mode => "normal",
2270 output_mode => "reg_only",
2272 sum_lutc_input => "datac",
2275 regout => LINE_COUNTER_SIG_8_0,
2277 dataa => UN10_LINE_COUNTER_SIGLTO8,
2278 datab => UN1_LINE_COUNTER_SIG_COMBOUT(9),
2279 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2290 \LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
2291 operation_mode => "normal",
2292 output_mode => "reg_only",
2294 sum_lutc_input => "datac",
2297 regout => LINE_COUNTER_SIG_7_0,
2299 dataa => UN10_LINE_COUNTER_SIGLTO8,
2300 datab => UN1_LINE_COUNTER_SIG_COMBOUT(8),
2301 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2312 \LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
2313 operation_mode => "normal",
2314 output_mode => "reg_only",
2316 sum_lutc_input => "datac",
2319 regout => LINE_COUNTER_SIG_6_0,
2321 dataa => UN10_LINE_COUNTER_SIGLTO8,
2322 datab => UN1_LINE_COUNTER_SIG_COMBOUT(7),
2323 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2334 \LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
2335 operation_mode => "normal",
2336 output_mode => "reg_only",
2337 synch_mode => "off",
2338 sum_lutc_input => "datac",
2341 regout => LINE_COUNTER_SIG_5_0,
2343 dataa => UN10_LINE_COUNTER_SIGLTO8,
2344 datab => UN1_LINE_COUNTER_SIG_COMBOUT(6),
2345 datac => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
2356 \LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
2357 operation_mode => "normal",
2358 output_mode => "reg_only",
2360 sum_lutc_input => "datac",
2363 regout => LINE_COUNTER_SIG_4_0,
2365 dataa => UN10_LINE_COUNTER_SIGLTO8,
2366 datab => UN1_LINE_COUNTER_SIG_COMBOUT(5),
2367 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2378 \LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
2379 operation_mode => "normal",
2380 output_mode => "reg_only",
2382 sum_lutc_input => "datac",
2385 regout => LINE_COUNTER_SIG_3_0,
2387 dataa => UN10_LINE_COUNTER_SIGLTO8,
2388 datab => UN1_LINE_COUNTER_SIG_COMBOUT(4),
2389 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2400 \LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
2401 operation_mode => "normal",
2402 output_mode => "reg_only",
2404 sum_lutc_input => "datac",
2407 regout => LINE_COUNTER_SIG_2_0,
2409 dataa => UN10_LINE_COUNTER_SIGLTO8,
2410 datab => UN1_LINE_COUNTER_SIG_COMBOUT(3),
2411 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2422 \LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
2423 operation_mode => "normal",
2424 output_mode => "reg_only",
2426 sum_lutc_input => "datac",
2429 regout => LINE_COUNTER_SIG_1_0,
2431 dataa => UN10_LINE_COUNTER_SIGLTO8,
2432 datab => UN1_LINE_COUNTER_SIG_COMBOUT(2),
2433 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2444 \LINE_COUNTER_SIG_0_\: stratix_lcell generic map (
2445 operation_mode => "normal",
2446 output_mode => "reg_only",
2448 sum_lutc_input => "datac",
2451 regout => LINE_COUNTER_SIG_0_0,
2453 dataa => UN1_LINE_COUNTER_SIG_COMBOUT(1),
2454 datab => UN10_LINE_COUNTER_SIGLTO8,
2455 sclr => LINE_COUNTER_NEXT_0_SQMUXA_1_1_I,
2466 V_ENABLE_SIG_Z283: stratix_lcell generic map (
2467 operation_mode => "normal",
2468 output_mode => "reg_only",
2470 sum_lutc_input => "datac",
2473 regout => v_enable_sig,
2475 dataa => HSYNC_STATE_21,
2476 datab => HSYNC_STATE_20,
2477 sclr => UN6_DLY_COUNTER_0_X_56,
2478 ena => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
2488 H_ENABLE_SIG_Z284: stratix_lcell generic map (
2489 operation_mode => "normal",
2490 output_mode => "reg_only",
2492 sum_lutc_input => "datac",
2495 regout => h_enable_sig,
2497 dataa => VSYNC_STATE_11,
2498 datab => VSYNC_STATE_14,
2499 sclr => UN6_DLY_COUNTER_0_X_56,
2500 ena => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
2510 H_SYNC_Z285: stratix_lcell generic map (
2511 operation_mode => "normal",
2512 output_mode => "reg_only",
2513 synch_mode => "off",
2514 sum_lutc_input => "datac",
2517 regout => H_SYNC_55,
2519 dataa => reset_pin_c,
2520 datab => dly_counter_0,
2521 datac => dly_counter_1,
2522 datad => H_SYNC_1_0_0_0_G1,
2532 V_SYNC_Z286: stratix_lcell generic map (
2533 operation_mode => "normal",
2534 output_mode => "reg_only",
2535 synch_mode => "off",
2536 sum_lutc_input => "datac",
2539 regout => V_SYNC_54,
2541 dataa => reset_pin_c,
2542 datab => dly_counter_0,
2543 datac => dly_counter_1,
2544 datad => V_SYNC_1_0_0_0_G1,
2554 \VSYNC_STATE_5_\: stratix_lcell generic map (
2555 operation_mode => "normal",
2556 output_mode => "reg_only",
2558 sum_lutc_input => "datac",
2561 regout => VSYNC_STATE_10,
2563 dataa => VSYNC_STATE_12,
2564 datab => VSYNC_STATE_15,
2565 sclr => UN6_DLY_COUNTER_0_X_56,
2566 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2576 \VSYNC_STATE_4_\: stratix_lcell generic map (
2577 operation_mode => "normal",
2578 output_mode => "reg_only",
2580 sum_lutc_input => "datac",
2583 regout => VSYNC_STATE_13,
2585 dataa => VSYNC_COUNTER_42,
2586 datab => VSYNC_COUNTER_33,
2587 datac => VSYNC_STATE_10,
2588 datad => UN14_VSYNC_COUNTER_8,
2589 sclr => UN6_DLY_COUNTER_0_X_56,
2590 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2598 \VSYNC_STATE_3_\: stratix_lcell generic map (
2599 operation_mode => "normal",
2600 output_mode => "reg_only",
2602 sum_lutc_input => "datac",
2605 regout => VSYNC_STATE_11,
2607 dataa => VSYNC_STATE_14,
2608 sclr => UN6_DLY_COUNTER_0_X_56,
2609 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2620 \VSYNC_STATE_2_\: stratix_lcell generic map (
2621 operation_mode => "normal",
2622 output_mode => "reg_only",
2624 sum_lutc_input => "datac",
2627 regout => VSYNC_STATE_9,
2629 dataa => VSYNC_COUNTER_42,
2630 datab => VSYNC_COUNTER_33,
2631 datac => VSYNC_STATE_11,
2632 datad => UN14_VSYNC_COUNTER_8,
2633 sclr => UN6_DLY_COUNTER_0_X_56,
2634 ena => VSYNC_STATE_NEXT_2_SQMUXA,
2642 \HSYNC_STATE_5_\: stratix_lcell generic map (
2643 operation_mode => "normal",
2644 output_mode => "reg_only",
2646 sum_lutc_input => "datac",
2649 regout => HSYNC_STATE_19,
2651 dataa => HSYNC_STATE_22,
2652 datab => HSYNC_STATE_18,
2653 sclr => UN6_DLY_COUNTER_0_X_56,
2654 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2664 \HSYNC_STATE_4_\: stratix_lcell generic map (
2665 operation_mode => "normal",
2666 output_mode => "reg_only",
2668 sum_lutc_input => "datac",
2671 regout => HSYNC_STATE_17,
2673 dataa => HSYNC_STATE_19,
2674 datab => UN10_HSYNC_COUNTER_3,
2675 datac => UN10_HSYNC_COUNTER_1,
2676 datad => UN10_HSYNC_COUNTER_4,
2677 sclr => UN6_DLY_COUNTER_0_X_56,
2678 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2686 \HSYNC_STATE_3_\: stratix_lcell generic map (
2687 operation_mode => "normal",
2688 output_mode => "reg_only",
2690 sum_lutc_input => "datac",
2693 regout => HSYNC_STATE_21,
2695 dataa => HSYNC_STATE_20,
2696 sclr => UN6_DLY_COUNTER_0_X_56,
2697 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2708 \HSYNC_STATE_2_\: stratix_lcell generic map (
2709 operation_mode => "normal",
2710 output_mode => "reg_only",
2712 sum_lutc_input => "datac",
2715 regout => HSYNC_STATE_16,
2717 dataa => HSYNC_STATE_21,
2718 datab => UN12_HSYNC_COUNTER,
2719 sclr => UN6_DLY_COUNTER_0_X_56,
2720 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2730 \HSYNC_STATE_1_\: stratix_lcell generic map (
2731 operation_mode => "normal",
2732 output_mode => "reg_only",
2734 sum_lutc_input => "datac",
2737 regout => HSYNC_STATE_20,
2739 dataa => HSYNC_STATE_17,
2740 datab => UN11_HSYNC_COUNTER_2,
2741 datac => UN10_HSYNC_COUNTER_1,
2742 datad => UN11_HSYNC_COUNTER_3,
2743 sclr => UN6_DLY_COUNTER_0_X_56,
2744 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2752 \HSYNC_STATE_0_\: stratix_lcell generic map (
2753 operation_mode => "normal",
2754 output_mode => "reg_only",
2756 sum_lutc_input => "datac",
2759 regout => HSYNC_STATE_18,
2761 dataa => HSYNC_STATE_16,
2762 datab => UN13_HSYNC_COUNTER,
2763 sclr => UN6_DLY_COUNTER_0_X_56,
2764 ena => \HSYNC_STATE_3_0_0_0__G0_0\,
2774 VSYNC_STATE_NEXT_2_SQMUXA_Z297: stratix_lcell generic map (
2775 operation_mode => "normal",
2776 output_mode => "comb_only",
2777 synch_mode => "off",
2778 sum_lutc_input => "datac",
2781 combout => VSYNC_STATE_NEXT_2_SQMUXA,
2782 dataa => UN6_DLY_COUNTER_0_X_56,
2783 datab => VSYNC_STATE_NEXT_1_SQMUXA_1,
2784 datac => VSYNC_STATE_NEXT_1_SQMUXA_3,
2785 datad => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
2796 \HSYNC_STATE_3_0_0_0__G0_0_Z298\: stratix_lcell generic map (
2797 operation_mode => "normal",
2798 output_mode => "comb_only",
2799 synch_mode => "off",
2800 sum_lutc_input => "datac",
2803 combout => \HSYNC_STATE_3_0_0_0__G0_0\,
2804 dataa => HSYNC_STATE_NEXT_1_SQMUXA_1,
2805 datab => HSYNC_STATE_NEXT_1_SQMUXA_2,
2806 datac => UN6_DLY_COUNTER_0_X_56,
2807 datad => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
2818 UN1_HSYNC_STATE_NEXT_1_SQMUXA_0_Z299: stratix_lcell generic map (
2819 operation_mode => "normal",
2820 output_mode => "comb_only",
2821 synch_mode => "off",
2822 sum_lutc_input => "datac",
2825 combout => UN1_HSYNC_STATE_NEXT_1_SQMUXA_0,
2826 dataa => HSYNC_STATE_16,
2827 datab => HSYNC_STATE_21,
2828 datac => UN13_HSYNC_COUNTER,
2829 datad => UN12_HSYNC_COUNTER,
2840 UN1_VSYNC_STATE_NEXT_1_SQMUXA_0_Z300: stratix_lcell generic map (
2841 operation_mode => "normal",
2842 output_mode => "comb_only",
2843 synch_mode => "off",
2844 sum_lutc_input => "datac",
2847 combout => UN1_VSYNC_STATE_NEXT_1_SQMUXA_0,
2848 dataa => VSYNC_STATE_9,
2849 datab => UN12_VSYNC_COUNTER_6,
2850 datac => UN15_VSYNC_COUNTER_4,
2851 datad => VSYNC_STATE_NEXT_1_SQMUXA_2,
2862 \VSYNC_STATE_3_IV_0_0__G0_0_A3_0_Z301\: stratix_lcell generic map (
2863 operation_mode => "normal",
2864 output_mode => "comb_only",
2865 synch_mode => "off",
2866 sum_lutc_input => "datac",
2869 combout => \VSYNC_STATE_3_IV_0_0__G0_0_A3_0\,
2870 dataa => VSYNC_STATE_9,
2871 datab => UN12_VSYNC_COUNTER_6,
2872 datac => UN15_VSYNC_COUNTER_4,
2884 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO8: stratix_lcell generic map (
2885 operation_mode => "normal",
2886 output_mode => "comb_only",
2887 synch_mode => "off",
2888 sum_lutc_input => "datac",
2891 combout => UN10_LINE_COUNTER_SIGLTO8,
2892 dataa => LINE_COUNTER_SIG_6_0,
2893 datab => LINE_COUNTER_SIG_7_0,
2894 datac => LINE_COUNTER_SIG_8_0,
2895 datad => UN10_LINE_COUNTER_SIGLTO5,
2906 G_2: stratix_lcell generic map (
2907 operation_mode => "normal",
2908 output_mode => "comb_only",
2909 synch_mode => "off",
2910 sum_lutc_input => "datac",
2914 dataa => HSYNC_STATE_18,
2915 datab => HSYNC_STATE_22,
2916 datac => UN9_HSYNC_COUNTERLT9,
2917 datad => UN6_DLY_COUNTER_0_X_56,
2928 VSYNC_STATE_NEXT_1_SQMUXA_1_Z304: stratix_lcell generic map (
2929 operation_mode => "normal",
2930 output_mode => "comb_only",
2931 synch_mode => "off",
2932 sum_lutc_input => "datac",
2935 combout => VSYNC_STATE_NEXT_1_SQMUXA_1,
2936 dataa => VSYNC_COUNTER_42,
2937 datab => VSYNC_COUNTER_33,
2938 datac => VSYNC_STATE_10,
2939 datad => UN14_VSYNC_COUNTER_8,
2950 VSYNC_STATE_NEXT_1_SQMUXA_2_Z305: stratix_lcell generic map (
2951 operation_mode => "normal",
2952 output_mode => "comb_only",
2953 synch_mode => "off",
2954 sum_lutc_input => "datac",
2957 combout => VSYNC_STATE_NEXT_1_SQMUXA_2,
2958 dataa => VSYNC_STATE_13,
2959 datab => UN12_VSYNC_COUNTER_7,
2960 datac => UN13_VSYNC_COUNTER_4,
2972 VSYNC_STATE_NEXT_1_SQMUXA_3_Z306: stratix_lcell generic map (
2973 operation_mode => "normal",
2974 output_mode => "comb_only",
2975 synch_mode => "off",
2976 sum_lutc_input => "datac",
2979 combout => VSYNC_STATE_NEXT_1_SQMUXA_3,
2980 dataa => VSYNC_COUNTER_42,
2981 datab => VSYNC_COUNTER_33,
2982 datac => VSYNC_STATE_11,
2983 datad => UN14_VSYNC_COUNTER_8,
2994 G_16: stratix_lcell generic map (
2995 operation_mode => "normal",
2996 output_mode => "comb_only",
2997 synch_mode => "off",
2998 sum_lutc_input => "datac",
3002 dataa => VSYNC_STATE_15,
3003 datab => VSYNC_STATE_12,
3004 datac => UN9_VSYNC_COUNTERLT9,
3005 datad => UN6_DLY_COUNTER_0_X_56,
3016 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLTO9: stratix_lcell generic map (
3017 operation_mode => "normal",
3018 output_mode => "comb_only",
3019 synch_mode => "off",
3020 sum_lutc_input => "datac",
3023 combout => UN10_COLUMN_COUNTER_SIGLTO9,
3024 dataa => COLUMN_COUNTER_SIG_30,
3025 datab => COLUMN_COUNTER_SIG_31,
3026 datac => COLUMN_COUNTER_SIG_32,
3027 datad => UN10_COLUMN_COUNTER_SIGLT6,
3038 HSYNC_STATE_NEXT_1_SQMUXA_2_Z309: stratix_lcell generic map (
3039 operation_mode => "normal",
3040 output_mode => "comb_only",
3041 synch_mode => "off",
3042 sum_lutc_input => "datac",
3045 combout => HSYNC_STATE_NEXT_1_SQMUXA_2,
3046 dataa => HSYNC_STATE_17,
3047 datab => UN11_HSYNC_COUNTER_2,
3048 datac => UN10_HSYNC_COUNTER_1,
3049 datad => UN11_HSYNC_COUNTER_3,
3060 HSYNC_STATE_NEXT_1_SQMUXA_1_Z310: stratix_lcell generic map (
3061 operation_mode => "normal",
3062 output_mode => "comb_only",
3063 synch_mode => "off",
3064 sum_lutc_input => "datac",
3067 combout => HSYNC_STATE_NEXT_1_SQMUXA_1,
3068 dataa => HSYNC_STATE_19,
3069 datab => UN10_HSYNC_COUNTER_3,
3070 datac => UN10_HSYNC_COUNTER_1,
3071 datad => UN10_HSYNC_COUNTER_4,
3082 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER: stratix_lcell generic map (
3083 operation_mode => "normal",
3084 output_mode => "comb_only",
3085 synch_mode => "off",
3086 sum_lutc_input => "datac",
3089 combout => UN13_HSYNC_COUNTER,
3090 dataa => HSYNC_COUNTER_46,
3091 datab => HSYNC_COUNTER_45,
3092 datac => UN13_HSYNC_COUNTER_2,
3093 datad => UN13_HSYNC_COUNTER_7,
3104 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9: stratix_lcell generic map (
3105 operation_mode => "normal",
3106 output_mode => "comb_only",
3107 synch_mode => "off",
3108 sum_lutc_input => "datac",
3111 combout => UN9_HSYNC_COUNTERLT9,
3112 dataa => HSYNC_COUNTER_44,
3113 datab => HSYNC_COUNTER_43,
3114 datac => UN9_HSYNC_COUNTERLT9_3,
3115 datad => UN13_HSYNC_COUNTER_7,
3126 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9: stratix_lcell generic map (
3127 operation_mode => "normal",
3128 output_mode => "comb_only",
3129 synch_mode => "off",
3130 sum_lutc_input => "datac",
3133 combout => UN9_VSYNC_COUNTERLT9,
3134 dataa => VSYNC_COUNTER_38,
3135 datab => VSYNC_COUNTER_37,
3136 datac => UN9_VSYNC_COUNTERLT9_5,
3137 datad => UN9_VSYNC_COUNTERLT9_6,
3148 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER: stratix_lcell generic map (
3149 operation_mode => "normal",
3150 output_mode => "comb_only",
3151 synch_mode => "off",
3152 sum_lutc_input => "datac",
3155 combout => UN12_HSYNC_COUNTER,
3156 dataa => HSYNC_COUNTER_52,
3157 datab => HSYNC_COUNTER_51,
3158 datac => UN12_HSYNC_COUNTER_3,
3159 datad => UN12_HSYNC_COUNTER_4,
3170 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLTO5: stratix_lcell generic map (
3171 operation_mode => "normal",
3172 output_mode => "comb_only",
3173 synch_mode => "off",
3174 sum_lutc_input => "datac",
3177 combout => UN10_LINE_COUNTER_SIGLTO5,
3178 dataa => LINE_COUNTER_SIG_1_0,
3179 datab => LINE_COUNTER_SIG_2_0,
3180 datac => LINE_COUNTER_SIG_5_0,
3181 datad => UN10_LINE_COUNTER_SIGLT4_2,
3192 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_4: stratix_lcell generic map (
3193 operation_mode => "normal",
3194 output_mode => "comb_only",
3195 synch_mode => "off",
3196 sum_lutc_input => "datac",
3199 combout => UN15_VSYNC_COUNTER_4,
3200 dataa => VSYNC_COUNTER_41,
3201 datab => VSYNC_COUNTER_38,
3202 datac => UN15_VSYNC_COUNTER_3,
3214 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_4: stratix_lcell generic map (
3215 operation_mode => "normal",
3216 output_mode => "comb_only",
3217 synch_mode => "off",
3218 sum_lutc_input => "datac",
3221 combout => UN13_VSYNC_COUNTER_4,
3222 dataa => VSYNC_COUNTER_42,
3223 datab => VSYNC_COUNTER_37,
3224 datac => UN13_VSYNC_COUNTER_3,
3236 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6: stratix_lcell generic map (
3237 operation_mode => "normal",
3238 output_mode => "comb_only",
3239 synch_mode => "off",
3240 sum_lutc_input => "datac",
3243 combout => UN10_COLUMN_COUNTER_SIGLT6,
3244 dataa => COLUMN_COUNTER_SIG_27,
3245 datab => COLUMN_COUNTER_SIG_29,
3246 datac => COLUMN_COUNTER_SIG_28,
3247 datad => UN10_COLUMN_COUNTER_SIGLT6_4,
3258 HSYNC_COUNTER_NEXT_1_SQMUXA_Z319: stratix_lcell generic map (
3259 operation_mode => "normal",
3260 output_mode => "comb_only",
3261 synch_mode => "off",
3262 sum_lutc_input => "datac",
3265 combout => HSYNC_COUNTER_NEXT_1_SQMUXA,
3266 dataa => reset_pin_c,
3267 datab => dly_counter_0,
3268 datac => dly_counter_1,
3269 datad => D_SET_HSYNC_COUNTER_57,
3280 VSYNC_FSM_NEXT_UN14_VSYNC_COUNTER_8: stratix_lcell generic map (
3281 operation_mode => "normal",
3282 output_mode => "comb_only",
3283 synch_mode => "off",
3284 sum_lutc_input => "datac",
3287 combout => UN14_VSYNC_COUNTER_8,
3288 dataa => UN12_VSYNC_COUNTER_6,
3289 datab => UN12_VSYNC_COUNTER_7,
3302 LINE_COUNTER_NEXT_0_SQMUXA_1_1_Z321: stratix_lcell generic map (
3303 operation_mode => "normal",
3304 output_mode => "comb_only",
3305 synch_mode => "off",
3306 sum_lutc_input => "datac",
3309 combout => LINE_COUNTER_NEXT_0_SQMUXA_1_1,
3310 dataa => reset_pin_c,
3311 datab => dly_counter_0,
3312 datac => dly_counter_1,
3313 datad => VSYNC_STATE_14,
3324 V_SYNC_1_0_0_0_G1_Z322: stratix_lcell generic map (
3325 operation_mode => "normal",
3326 output_mode => "comb_only",
3327 synch_mode => "off",
3328 sum_lutc_input => "datac",
3331 combout => V_SYNC_1_0_0_0_G1,
3332 dataa => VSYNC_STATE_9,
3334 datac => VSYNC_STATE_13,
3335 datad => UN1_VSYNC_STATE_2_0,
3346 H_ENABLE_SIG_1_0_0_0_G0_I_O4_Z323: stratix_lcell generic map (
3347 operation_mode => "normal",
3348 output_mode => "comb_only",
3349 synch_mode => "off",
3350 sum_lutc_input => "datac",
3353 combout => H_ENABLE_SIG_1_0_0_0_G0_I_O4,
3354 dataa => VSYNC_STATE_13,
3355 datab => VSYNC_STATE_10,
3356 datac => UN6_DLY_COUNTER_0_X_56,
3368 VSYNC_COUNTER_NEXT_1_SQMUXA_Z324: stratix_lcell generic map (
3369 operation_mode => "normal",
3370 output_mode => "comb_only",
3371 synch_mode => "off",
3372 sum_lutc_input => "datac",
3375 combout => VSYNC_COUNTER_NEXT_1_SQMUXA,
3376 dataa => reset_pin_c,
3377 datab => dly_counter_0,
3378 datac => dly_counter_1,
3379 datad => D_SET_VSYNC_COUNTER_53,
3390 V_ENABLE_SIG_1_0_0_0_G0_I_O4_Z325: stratix_lcell generic map (
3391 operation_mode => "normal",
3392 output_mode => "comb_only",
3393 synch_mode => "off",
3394 sum_lutc_input => "datac",
3397 combout => V_ENABLE_SIG_1_0_0_0_G0_I_O4,
3398 dataa => HSYNC_STATE_17,
3399 datab => HSYNC_STATE_19,
3400 datac => UN6_DLY_COUNTER_0_X_56,
3412 H_SYNC_1_0_0_0_G1_Z326: stratix_lcell generic map (
3413 operation_mode => "normal",
3414 output_mode => "comb_only",
3415 synch_mode => "off",
3416 sum_lutc_input => "datac",
3419 combout => H_SYNC_1_0_0_0_G1,
3420 dataa => HSYNC_STATE_16,
3422 datac => HSYNC_STATE_17,
3423 datad => UN1_HSYNC_STATE_3_0,
3434 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_Z327: stratix_lcell generic map (
3435 operation_mode => "normal",
3436 output_mode => "comb_only",
3437 synch_mode => "off",
3438 sum_lutc_input => "datac",
3441 combout => COLUMN_COUNTER_NEXT_0_SQMUXA_1_1,
3442 dataa => reset_pin_c,
3443 datab => dly_counter_0,
3444 datac => dly_counter_1,
3445 datad => HSYNC_STATE_20,
3456 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_4: stratix_lcell generic map (
3457 operation_mode => "normal",
3458 output_mode => "comb_only",
3459 synch_mode => "off",
3460 sum_lutc_input => "datac",
3463 combout => UN12_HSYNC_COUNTER_4,
3464 dataa => HSYNC_COUNTER_46,
3465 datab => HSYNC_COUNTER_45,
3466 datac => HSYNC_COUNTER_43,
3467 datad => HSYNC_COUNTER_49,
3478 HSYNC_FSM_NEXT_UN12_HSYNC_COUNTER_3: stratix_lcell generic map (
3479 operation_mode => "normal",
3480 output_mode => "comb_only",
3481 synch_mode => "off",
3482 sum_lutc_input => "datac",
3485 combout => UN12_HSYNC_COUNTER_3,
3486 dataa => HSYNC_COUNTER_50,
3487 datab => HSYNC_COUNTER_44,
3488 datac => HSYNC_COUNTER_48,
3489 datad => HSYNC_COUNTER_47,
3500 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_3: stratix_lcell generic map (
3501 operation_mode => "normal",
3502 output_mode => "comb_only",
3503 synch_mode => "off",
3504 sum_lutc_input => "datac",
3507 combout => UN11_HSYNC_COUNTER_3,
3508 dataa => HSYNC_COUNTER_52,
3509 datab => HSYNC_COUNTER_51,
3510 datac => HSYNC_COUNTER_49,
3511 datad => HSYNC_COUNTER_48,
3522 HSYNC_FSM_NEXT_UN11_HSYNC_COUNTER_2: stratix_lcell generic map (
3523 operation_mode => "normal",
3524 output_mode => "comb_only",
3525 synch_mode => "off",
3526 sum_lutc_input => "datac",
3529 combout => UN11_HSYNC_COUNTER_2,
3530 dataa => HSYNC_COUNTER_50,
3531 datab => HSYNC_COUNTER_45,
3532 datac => HSYNC_COUNTER_46,
3544 HSYNC_COUNT_NEXT_UN9_HSYNC_COUNTERLT9_3: stratix_lcell generic map (
3545 operation_mode => "normal",
3546 output_mode => "comb_only",
3547 synch_mode => "off",
3548 sum_lutc_input => "datac",
3551 combout => UN9_HSYNC_COUNTERLT9_3,
3552 dataa => HSYNC_COUNTER_46,
3553 datab => HSYNC_COUNTER_45,
3554 datac => HSYNC_COUNTER_48,
3555 datad => HSYNC_COUNTER_47,
3566 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_2: stratix_lcell generic map (
3567 operation_mode => "normal",
3568 output_mode => "comb_only",
3569 synch_mode => "off",
3570 sum_lutc_input => "datac",
3573 combout => UN13_HSYNC_COUNTER_2,
3574 dataa => HSYNC_COUNTER_44,
3575 datab => HSYNC_COUNTER_43,
3576 datac => HSYNC_COUNTER_48,
3577 datad => HSYNC_COUNTER_47,
3588 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_6: stratix_lcell generic map (
3589 operation_mode => "normal",
3590 output_mode => "comb_only",
3591 synch_mode => "off",
3592 sum_lutc_input => "datac",
3595 combout => UN9_VSYNC_COUNTERLT9_6,
3596 dataa => VSYNC_COUNTER_40,
3597 datab => VSYNC_COUNTER_39,
3598 datac => VSYNC_COUNTER_42,
3599 datad => VSYNC_COUNTER_41,
3610 VSYNC_COUNT_NEXT_UN9_VSYNC_COUNTERLT9_5: stratix_lcell generic map (
3611 operation_mode => "normal",
3612 output_mode => "comb_only",
3613 synch_mode => "off",
3614 sum_lutc_input => "datac",
3617 combout => UN9_VSYNC_COUNTERLT9_5,
3618 dataa => VSYNC_COUNTER_34,
3619 datab => VSYNC_COUNTER_33,
3620 datac => VSYNC_COUNTER_36,
3621 datad => VSYNC_COUNTER_35,
3632 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_4: stratix_lcell generic map (
3633 operation_mode => "normal",
3634 output_mode => "comb_only",
3635 synch_mode => "off",
3636 sum_lutc_input => "datac",
3639 combout => UN10_HSYNC_COUNTER_4,
3640 dataa => HSYNC_COUNTER_48,
3641 datab => HSYNC_COUNTER_46,
3642 datac => HSYNC_COUNTER_51,
3643 datad => HSYNC_COUNTER_49,
3654 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_3: stratix_lcell generic map (
3655 operation_mode => "normal",
3656 output_mode => "comb_only",
3657 synch_mode => "off",
3658 sum_lutc_input => "datac",
3661 combout => UN10_HSYNC_COUNTER_3,
3662 dataa => HSYNC_COUNTER_52,
3663 datab => HSYNC_COUNTER_45,
3664 datac => HSYNC_COUNTER_50,
3676 VSYNC_FSM_NEXT_UN15_VSYNC_COUNTER_3: stratix_lcell generic map (
3677 operation_mode => "normal",
3678 output_mode => "comb_only",
3679 synch_mode => "off",
3680 sum_lutc_input => "datac",
3683 combout => UN15_VSYNC_COUNTER_3,
3684 dataa => VSYNC_COUNTER_33,
3685 datab => VSYNC_COUNTER_40,
3686 datac => VSYNC_COUNTER_39,
3687 datad => VSYNC_COUNTER_42,
3698 VSYNC_FSM_NEXT_UN13_VSYNC_COUNTER_3: stratix_lcell generic map (
3699 operation_mode => "normal",
3700 output_mode => "comb_only",
3701 synch_mode => "off",
3702 sum_lutc_input => "datac",
3705 combout => UN13_VSYNC_COUNTER_3,
3706 dataa => VSYNC_COUNTER_36,
3707 datab => VSYNC_COUNTER_35,
3708 datac => VSYNC_COUNTER_34,
3709 datad => VSYNC_COUNTER_33,
3720 COLUMN_COUNT_NEXT_UN10_COLUMN_COUNTER_SIGLT6_4: stratix_lcell generic map (
3721 operation_mode => "normal",
3722 output_mode => "comb_only",
3723 synch_mode => "off",
3724 sum_lutc_input => "datac",
3727 combout => UN10_COLUMN_COUNTER_SIGLT6_4,
3728 dataa => COLUMN_COUNTER_SIG_25,
3729 datab => COLUMN_COUNTER_SIG_26,
3730 datac => COLUMN_COUNTER_SIG_23,
3731 datad => COLUMN_COUNTER_SIG_24,
3742 LINE_COUNT_NEXT_UN10_LINE_COUNTER_SIGLT4_2: stratix_lcell generic map (
3743 operation_mode => "normal",
3744 output_mode => "comb_only",
3745 synch_mode => "off",
3746 sum_lutc_input => "datac",
3749 combout => UN10_LINE_COUNTER_SIGLT4_2,
3750 dataa => LINE_COUNTER_SIG_3_0,
3751 datab => LINE_COUNTER_SIG_4_0,
3752 datac => LINE_COUNTER_SIG_0_0,
3764 HSYNC_FSM_NEXT_UN10_HSYNC_COUNTER_1: stratix_lcell generic map (
3765 operation_mode => "normal",
3766 output_mode => "comb_only",
3767 synch_mode => "off",
3768 sum_lutc_input => "datac",
3771 combout => UN10_HSYNC_COUNTER_1,
3772 dataa => HSYNC_COUNTER_47,
3773 datab => HSYNC_COUNTER_44,
3774 datac => HSYNC_COUNTER_43,
3786 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_6: stratix_lcell generic map (
3787 operation_mode => "normal",
3788 output_mode => "comb_only",
3789 synch_mode => "off",
3790 sum_lutc_input => "datac",
3793 combout => UN12_VSYNC_COUNTER_6,
3794 dataa => VSYNC_COUNTER_35,
3795 datab => VSYNC_COUNTER_34,
3796 datac => VSYNC_COUNTER_37,
3797 datad => VSYNC_COUNTER_36,
3808 VSYNC_FSM_NEXT_UN12_VSYNC_COUNTER_7: stratix_lcell generic map (
3809 operation_mode => "normal",
3810 output_mode => "comb_only",
3811 synch_mode => "off",
3812 sum_lutc_input => "datac",
3815 combout => UN12_VSYNC_COUNTER_7,
3816 dataa => VSYNC_COUNTER_39,
3817 datab => VSYNC_COUNTER_38,
3818 datac => VSYNC_COUNTER_41,
3819 datad => VSYNC_COUNTER_40,
3830 HSYNC_FSM_NEXT_UN13_HSYNC_COUNTER_7: stratix_lcell generic map (
3831 operation_mode => "normal",
3832 output_mode => "comb_only",
3833 synch_mode => "off",
3834 sum_lutc_input => "datac",
3837 combout => UN13_HSYNC_COUNTER_7,
3838 dataa => HSYNC_COUNTER_50,
3839 datab => HSYNC_COUNTER_49,
3840 datac => HSYNC_COUNTER_52,
3841 datad => HSYNC_COUNTER_51,
3852 UN1_HSYNC_STATE_3_0_Z346: stratix_lcell generic map (
3853 operation_mode => "normal",
3854 output_mode => "comb_only",
3855 synch_mode => "off",
3856 sum_lutc_input => "datac",
3859 combout => UN1_HSYNC_STATE_3_0,
3860 dataa => HSYNC_STATE_21,
3861 datab => HSYNC_STATE_20,
3874 UN1_VSYNC_STATE_2_0_Z347: stratix_lcell generic map (
3875 operation_mode => "normal",
3876 output_mode => "comb_only",
3877 synch_mode => "off",
3878 sum_lutc_input => "datac",
3881 combout => UN1_VSYNC_STATE_2_0,
3882 dataa => VSYNC_STATE_11,
3883 datab => VSYNC_STATE_14,
3896 D_SET_HSYNC_COUNTER_Z348: stratix_lcell generic map (
3897 operation_mode => "normal",
3898 output_mode => "comb_only",
3899 synch_mode => "off",
3900 sum_lutc_input => "datac",
3903 combout => D_SET_HSYNC_COUNTER_57,
3904 dataa => HSYNC_STATE_22,
3905 datab => HSYNC_STATE_18,
3918 D_SET_VSYNC_COUNTER_Z349: stratix_lcell generic map (
3919 operation_mode => "normal",
3920 output_mode => "comb_only",
3921 synch_mode => "off",
3922 sum_lutc_input => "datac",
3925 combout => D_SET_VSYNC_COUNTER_53,
3926 dataa => VSYNC_STATE_12,
3927 datab => VSYNC_STATE_15,
3940 \UN1_LINE_COUNTER_SIG_9_\: stratix_lcell generic map (
3941 operation_mode => "normal",
3942 output_mode => "comb_only",
3943 synch_mode => "off",
3944 sum_lutc_input => "cin",
3948 combout => UN1_LINE_COUNTER_SIG_COMBOUT(9),
3949 dataa => LINE_COUNTER_SIG_7_0,
3950 datab => LINE_COUNTER_SIG_8_0,
3951 cin => UN1_LINE_COUNTER_SIG_COUT(7),
3963 \UN1_LINE_COUNTER_SIG_8_\: stratix_lcell generic map (
3964 operation_mode => "normal",
3965 output_mode => "comb_only",
3966 synch_mode => "off",
3967 sum_lutc_input => "cin",
3971 combout => UN1_LINE_COUNTER_SIG_COMBOUT(8),
3972 dataa => LINE_COUNTER_SIG_7_0,
3973 cin => UN1_LINE_COUNTER_SIG_COUT(6),
3986 \UN1_LINE_COUNTER_SIG_7_\: stratix_lcell generic map (
3987 operation_mode => "arithmetic",
3988 output_mode => "comb_only",
3989 synch_mode => "off",
3990 sum_lutc_input => "cin",
3994 combout => UN1_LINE_COUNTER_SIG_COMBOUT(7),
3995 cout => UN1_LINE_COUNTER_SIG_COUT(7),
3996 dataa => LINE_COUNTER_SIG_5_0,
3997 datab => LINE_COUNTER_SIG_6_0,
3998 cin => UN1_LINE_COUNTER_SIG_COUT(5),
4010 \UN1_LINE_COUNTER_SIG_6_\: stratix_lcell generic map (
4011 operation_mode => "arithmetic",
4012 output_mode => "comb_only",
4013 synch_mode => "off",
4014 sum_lutc_input => "cin",
4018 combout => UN1_LINE_COUNTER_SIG_COMBOUT(6),
4019 cout => UN1_LINE_COUNTER_SIG_COUT(6),
4020 dataa => LINE_COUNTER_SIG_5_0,
4021 datab => LINE_COUNTER_SIG_6_0,
4022 cin => UN1_LINE_COUNTER_SIG_COUT(4),
4034 \UN1_LINE_COUNTER_SIG_5_\: stratix_lcell generic map (
4035 operation_mode => "arithmetic",
4036 output_mode => "comb_only",
4037 synch_mode => "off",
4038 sum_lutc_input => "cin",
4042 combout => UN1_LINE_COUNTER_SIG_COMBOUT(5),
4043 cout => UN1_LINE_COUNTER_SIG_COUT(5),
4044 dataa => LINE_COUNTER_SIG_3_0,
4045 datab => LINE_COUNTER_SIG_4_0,
4046 cin => UN1_LINE_COUNTER_SIG_COUT(3),
4058 \UN1_LINE_COUNTER_SIG_4_\: stratix_lcell generic map (
4059 operation_mode => "arithmetic",
4060 output_mode => "comb_only",
4061 synch_mode => "off",
4062 sum_lutc_input => "cin",
4066 combout => UN1_LINE_COUNTER_SIG_COMBOUT(4),
4067 cout => UN1_LINE_COUNTER_SIG_COUT(4),
4068 dataa => LINE_COUNTER_SIG_3_0,
4069 datab => LINE_COUNTER_SIG_4_0,
4070 cin => UN1_LINE_COUNTER_SIG_COUT(2),
4082 \UN1_LINE_COUNTER_SIG_3_\: stratix_lcell generic map (
4083 operation_mode => "arithmetic",
4084 output_mode => "comb_only",
4085 synch_mode => "off",
4086 sum_lutc_input => "cin",
4090 combout => UN1_LINE_COUNTER_SIG_COMBOUT(3),
4091 cout => UN1_LINE_COUNTER_SIG_COUT(3),
4092 dataa => LINE_COUNTER_SIG_1_0,
4093 datab => LINE_COUNTER_SIG_2_0,
4094 cin => UN1_LINE_COUNTER_SIG_COUT(1),
4106 \UN1_LINE_COUNTER_SIG_2_\: stratix_lcell generic map (
4107 operation_mode => "arithmetic",
4108 output_mode => "comb_only",
4109 synch_mode => "off",
4110 sum_lutc_input => "cin",
4114 combout => UN1_LINE_COUNTER_SIG_COMBOUT(2),
4115 cout => UN1_LINE_COUNTER_SIG_COUT(2),
4116 dataa => LINE_COUNTER_SIG_1_0,
4117 datab => LINE_COUNTER_SIG_2_0,
4118 cin => UN1_LINE_COUNTER_SIG_A_COUT(1),
4130 \UN1_LINE_COUNTER_SIG_A_1_\: stratix_lcell generic map (
4131 operation_mode => "arithmetic",
4132 output_mode => "comb_only",
4133 synch_mode => "off",
4134 sum_lutc_input => "datac",
4137 cout => UN1_LINE_COUNTER_SIG_A_COUT(1),
4138 dataa => D_SET_HSYNC_COUNTER_57,
4139 datab => LINE_COUNTER_SIG_0_0,
4152 \UN1_LINE_COUNTER_SIG_1_\: stratix_lcell generic map (
4153 operation_mode => "arithmetic",
4154 output_mode => "comb_only",
4155 synch_mode => "off",
4156 sum_lutc_input => "datac",
4159 combout => UN1_LINE_COUNTER_SIG_COMBOUT(1),
4160 cout => UN1_LINE_COUNTER_SIG_COUT(1),
4161 dataa => D_SET_HSYNC_COUNTER_57,
4162 datab => LINE_COUNTER_SIG_0_0,
4175 \UN2_COLUMN_COUNTER_NEXT_9_\: stratix_lcell generic map (
4176 operation_mode => "normal",
4177 output_mode => "comb_only",
4178 synch_mode => "off",
4179 sum_lutc_input => "cin",
4183 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(9),
4184 dataa => COLUMN_COUNTER_SIG_31,
4185 datab => COLUMN_COUNTER_SIG_32,
4186 cin => UN2_COLUMN_COUNTER_NEXT_COUT(7),
4198 \UN2_COLUMN_COUNTER_NEXT_8_\: stratix_lcell generic map (
4199 operation_mode => "normal",
4200 output_mode => "comb_only",
4201 synch_mode => "off",
4202 sum_lutc_input => "cin",
4206 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(8),
4207 dataa => COLUMN_COUNTER_SIG_31,
4208 cin => UN2_COLUMN_COUNTER_NEXT_COUT(6),
4221 \UN2_COLUMN_COUNTER_NEXT_7_\: stratix_lcell generic map (
4222 operation_mode => "arithmetic",
4223 output_mode => "comb_only",
4224 synch_mode => "off",
4225 sum_lutc_input => "cin",
4229 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(7),
4230 cout => UN2_COLUMN_COUNTER_NEXT_COUT(7),
4231 dataa => COLUMN_COUNTER_SIG_29,
4232 datab => COLUMN_COUNTER_SIG_30,
4233 cin => UN2_COLUMN_COUNTER_NEXT_COUT(5),
4245 \UN2_COLUMN_COUNTER_NEXT_6_\: stratix_lcell generic map (
4246 operation_mode => "arithmetic",
4247 output_mode => "comb_only",
4248 synch_mode => "off",
4249 sum_lutc_input => "cin",
4253 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(6),
4254 cout => UN2_COLUMN_COUNTER_NEXT_COUT(6),
4255 dataa => COLUMN_COUNTER_SIG_29,
4256 datab => COLUMN_COUNTER_SIG_30,
4257 cin => UN2_COLUMN_COUNTER_NEXT_COUT(4),
4269 \UN2_COLUMN_COUNTER_NEXT_5_\: stratix_lcell generic map (
4270 operation_mode => "arithmetic",
4271 output_mode => "comb_only",
4272 synch_mode => "off",
4273 sum_lutc_input => "cin",
4277 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(5),
4278 cout => UN2_COLUMN_COUNTER_NEXT_COUT(5),
4279 dataa => COLUMN_COUNTER_SIG_27,
4280 datab => COLUMN_COUNTER_SIG_28,
4281 cin => UN2_COLUMN_COUNTER_NEXT_COUT(3),
4293 \UN2_COLUMN_COUNTER_NEXT_4_\: stratix_lcell generic map (
4294 operation_mode => "arithmetic",
4295 output_mode => "comb_only",
4296 synch_mode => "off",
4297 sum_lutc_input => "cin",
4301 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(4),
4302 cout => UN2_COLUMN_COUNTER_NEXT_COUT(4),
4303 dataa => COLUMN_COUNTER_SIG_27,
4304 datab => COLUMN_COUNTER_SIG_28,
4305 cin => UN2_COLUMN_COUNTER_NEXT_COUT(2),
4317 \UN2_COLUMN_COUNTER_NEXT_3_\: stratix_lcell generic map (
4318 operation_mode => "arithmetic",
4319 output_mode => "comb_only",
4320 synch_mode => "off",
4321 sum_lutc_input => "cin",
4325 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(3),
4326 cout => UN2_COLUMN_COUNTER_NEXT_COUT(3),
4327 dataa => COLUMN_COUNTER_SIG_25,
4328 datab => COLUMN_COUNTER_SIG_26,
4329 cin => UN2_COLUMN_COUNTER_NEXT_COUT(1),
4341 \UN2_COLUMN_COUNTER_NEXT_2_\: stratix_lcell generic map (
4342 operation_mode => "arithmetic",
4343 output_mode => "comb_only",
4344 synch_mode => "off",
4345 sum_lutc_input => "cin",
4349 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(2),
4350 cout => UN2_COLUMN_COUNTER_NEXT_COUT(2),
4351 dataa => COLUMN_COUNTER_SIG_25,
4352 datab => COLUMN_COUNTER_SIG_26,
4353 cin => UN2_COLUMN_COUNTER_NEXT_COUT(0),
4365 \UN2_COLUMN_COUNTER_NEXT_1_\: stratix_lcell generic map (
4366 operation_mode => "arithmetic",
4367 output_mode => "comb_only",
4368 synch_mode => "off",
4369 sum_lutc_input => "datac",
4372 combout => UN2_COLUMN_COUNTER_NEXT_COMBOUT(1),
4373 cout => UN2_COLUMN_COUNTER_NEXT_COUT(1),
4374 dataa => COLUMN_COUNTER_SIG_23,
4375 datab => COLUMN_COUNTER_SIG_24,
4388 \UN2_COLUMN_COUNTER_NEXT_0_\: stratix_lcell generic map (
4389 operation_mode => "arithmetic",
4390 output_mode => "comb_only",
4391 synch_mode => "off",
4392 sum_lutc_input => "datac",
4395 cout => UN2_COLUMN_COUNTER_NEXT_COUT(0),
4396 dataa => COLUMN_COUNTER_SIG_23,
4397 datab => COLUMN_COUNTER_SIG_24,
4412 LINE_COUNTER_NEXT_0_SQMUXA_1_1_I <= not LINE_COUNTER_NEXT_0_SQMUXA_1_1;
4413 COLUMN_COUNTER_NEXT_0_SQMUXA_1_1_I <= not COLUMN_COUNTER_NEXT_0_SQMUXA_1_1;
4414 G_16_I_I <= not G_16_I;
4415 UN9_VSYNC_COUNTERLT9_I <= not UN9_VSYNC_COUNTERLT9;
4416 G_2_I_I <= not G_2_I;
4417 UN9_HSYNC_COUNTERLT9_I <= not UN9_HSYNC_COUNTERLT9;
4418 line_counter_sig_0 <= LINE_COUNTER_SIG_0_0;
4419 line_counter_sig_1 <= LINE_COUNTER_SIG_1_0;
4420 line_counter_sig_2 <= LINE_COUNTER_SIG_2_0;
4421 line_counter_sig_3 <= LINE_COUNTER_SIG_3_0;
4422 line_counter_sig_4 <= LINE_COUNTER_SIG_4_0;
4423 line_counter_sig_5 <= LINE_COUNTER_SIG_5_0;
4424 line_counter_sig_6 <= LINE_COUNTER_SIG_6_0;
4425 line_counter_sig_7 <= LINE_COUNTER_SIG_7_0;
4426 line_counter_sig_8 <= LINE_COUNTER_SIG_8_0;
4427 vsync_state_2 <= VSYNC_STATE_9;
4428 vsync_state_5 <= VSYNC_STATE_10;
4429 vsync_state_3 <= VSYNC_STATE_11;
4430 vsync_state_6 <= VSYNC_STATE_12;
4431 vsync_state_4 <= VSYNC_STATE_13;
4432 vsync_state_1 <= VSYNC_STATE_14;
4433 vsync_state_0 <= VSYNC_STATE_15;
4434 hsync_state_2 <= HSYNC_STATE_16;
4435 hsync_state_4 <= HSYNC_STATE_17;
4436 hsync_state_0 <= HSYNC_STATE_18;
4437 hsync_state_5 <= HSYNC_STATE_19;
4438 hsync_state_1 <= HSYNC_STATE_20;
4439 hsync_state_3 <= HSYNC_STATE_21;
4440 hsync_state_6 <= HSYNC_STATE_22;
4441 column_counter_sig_0 <= COLUMN_COUNTER_SIG_23;
4442 column_counter_sig_1 <= COLUMN_COUNTER_SIG_24;
4443 column_counter_sig_2 <= COLUMN_COUNTER_SIG_25;
4444 column_counter_sig_3 <= COLUMN_COUNTER_SIG_26;
4445 column_counter_sig_4 <= COLUMN_COUNTER_SIG_27;
4446 column_counter_sig_5 <= COLUMN_COUNTER_SIG_28;
4447 column_counter_sig_6 <= COLUMN_COUNTER_SIG_29;
4448 column_counter_sig_7 <= COLUMN_COUNTER_SIG_30;
4449 column_counter_sig_8 <= COLUMN_COUNTER_SIG_31;
4450 column_counter_sig_9 <= COLUMN_COUNTER_SIG_32;
4451 vsync_counter_9 <= VSYNC_COUNTER_33;
4452 vsync_counter_8 <= VSYNC_COUNTER_34;
4453 vsync_counter_7 <= VSYNC_COUNTER_35;
4454 vsync_counter_6 <= VSYNC_COUNTER_36;
4455 vsync_counter_5 <= VSYNC_COUNTER_37;
4456 vsync_counter_4 <= VSYNC_COUNTER_38;
4457 vsync_counter_3 <= VSYNC_COUNTER_39;
4458 vsync_counter_2 <= VSYNC_COUNTER_40;
4459 vsync_counter_1 <= VSYNC_COUNTER_41;
4460 vsync_counter_0 <= VSYNC_COUNTER_42;
4461 hsync_counter_9 <= HSYNC_COUNTER_43;
4462 hsync_counter_8 <= HSYNC_COUNTER_44;
4463 hsync_counter_7 <= HSYNC_COUNTER_45;
4464 hsync_counter_6 <= HSYNC_COUNTER_46;
4465 hsync_counter_5 <= HSYNC_COUNTER_47;
4466 hsync_counter_4 <= HSYNC_COUNTER_48;
4467 hsync_counter_3 <= HSYNC_COUNTER_49;
4468 hsync_counter_2 <= HSYNC_COUNTER_50;
4469 hsync_counter_1 <= HSYNC_COUNTER_51;
4470 hsync_counter_0 <= HSYNC_COUNTER_52;
4471 d_set_vsync_counter <= D_SET_VSYNC_COUNTER_53;
4472 v_sync <= V_SYNC_54;
4473 h_sync <= H_SYNC_55;
4474 un6_dly_counter_0_x <= UN6_DLY_COUNTER_0_X_56;
4475 d_set_hsync_counter <= D_SET_HSYNC_COUNTER_57;
4479 library ieee, stratix;
4480 use ieee.std_logic_1164.all;
4481 use ieee.numeric_std.all;
4483 use synplify.components.all;
4484 use stratix.stratix_components.all;
4488 clk_pin : in std_logic;
4489 reset_pin : in std_logic;
4490 r0_pin : out std_logic;
4491 r1_pin : out std_logic;
4492 r2_pin : out std_logic;
4493 g0_pin : out std_logic;
4494 g1_pin : out std_logic;
4495 g2_pin : out std_logic;
4496 b0_pin : out std_logic;
4497 b1_pin : out std_logic;
4498 hsync_pin : out std_logic;
4499 vsync_pin : out std_logic;
4500 seven_seg_pin : out std_logic_vector(13 downto 0);
4501 d_hsync : out std_logic;
4502 d_vsync : out std_logic;
4503 d_column_counter : out std_logic_vector(9 downto 0);
4504 d_line_counter : out std_logic_vector(8 downto 0);
4505 d_set_column_counter : out std_logic;
4506 d_set_line_counter : out std_logic;
4507 d_hsync_counter : out std_logic_vector(9 downto 0);
4508 d_vsync_counter : out std_logic_vector(9 downto 0);
4509 d_set_hsync_counter : out std_logic;
4510 d_set_vsync_counter : out std_logic;
4511 d_h_enable : out std_logic;
4512 d_v_enable : out std_logic;
4513 d_r : out std_logic;
4514 d_g : out std_logic;
4515 d_b : out std_logic;
4516 d_hsync_state : out std_logic_vector(0 to 6);
4517 d_vsync_state : out std_logic_vector(0 to 6);
4518 d_state_clk : out std_logic;
4519 d_toggle : out std_logic;
4520 d_toggle_counter : out std_logic_vector(24 downto 0));
4523 architecture beh of vga is
4524 signal devclrn : std_logic := '1';
4525 signal devpor : std_logic := '1';
4526 signal devoe : std_logic := '0';
4527 signal DLY_COUNTER : std_logic_vector(1 downto 0);
4528 signal \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\ : std_logic_vector(9 downto 0);
4529 signal \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\ : std_logic_vector(8 downto 0);
4530 signal \VGA_DRIVER_UNIT.HSYNC_COUNTER\ : std_logic_vector(9 downto 0);
4531 signal \VGA_DRIVER_UNIT.VSYNC_COUNTER\ : std_logic_vector(9 downto 0);
4532 signal \VGA_DRIVER_UNIT.HSYNC_STATE\ : std_logic_vector(6 downto 0);
4533 signal \VGA_DRIVER_UNIT.VSYNC_STATE\ : std_logic_vector(6 downto 0);
4534 signal \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\ : std_logic_vector(24 downto 0);
4535 signal SEVEN_SEG_PINZ : std_logic_vector(13 downto 0);
4536 signal D_COLUMN_COUNTERZ : std_logic_vector(9 downto 0);
4537 signal D_LINE_COUNTERZ : std_logic_vector(8 downto 0);
4538 signal D_HSYNC_COUNTERZ : std_logic_vector(9 downto 0);
4539 signal D_VSYNC_COUNTERZ : std_logic_vector(9 downto 0);
4540 signal D_HSYNC_STATEZ : std_logic_vector(6 downto 0);
4541 signal D_VSYNC_STATEZ : std_logic_vector(6 downto 0);
4542 signal D_TOGGLE_COUNTERZ : std_logic_vector(24 downto 0);
4543 signal VCC : std_logic ;
4544 signal GND : std_logic ;
4545 signal \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\ : std_logic ;
4546 signal \VGA_DRIVER_UNIT.H_SYNC\ : std_logic ;
4547 signal \VGA_DRIVER_UNIT.V_SYNC\ : std_logic ;
4548 signal \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\ : std_logic ;
4549 signal \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\ : std_logic ;
4550 signal \VGA_DRIVER_UNIT.H_ENABLE_SIG\ : std_logic ;
4551 signal \VGA_DRIVER_UNIT.V_ENABLE_SIG\ : std_logic ;
4552 signal \VGA_CONTROL_UNIT.R\ : std_logic ;
4553 signal \VGA_CONTROL_UNIT.G\ : std_logic ;
4554 signal \VGA_CONTROL_UNIT.B\ : std_logic ;
4555 signal G_33 : std_logic ;
4556 signal \VGA_CONTROL_UNIT.TOGGLE_SIG\ : std_logic ;
4557 signal CLK_PIN_C : std_logic ;
4558 signal RESET_PIN_C : std_logic ;
4559 signal CLK_PIN_INTERNAL : std_logic ;
4560 signal RESET_PIN_INTERNAL : std_logic ;
4561 signal N_1 : std_logic ;
4562 signal N_2 : std_logic ;
4563 signal N_84_0 : std_logic ;
4564 signal N_85_0 : std_logic ;
4565 signal N_86_0 : std_logic ;
4566 signal N_87_0 : std_logic ;
4567 signal N_88_0 : std_logic ;
4568 signal N_89_0 : std_logic ;
4569 signal N_90_0 : std_logic ;
4570 signal N_91_0 : std_logic ;
4571 signal N_92_0 : std_logic ;
4572 signal N_93_0 : std_logic ;
4573 signal N_94_0 : std_logic ;
4574 signal N_95_0 : std_logic ;
4575 signal N_96_0 : std_logic ;
4576 signal N_97_0 : std_logic ;
4577 signal N_98_0 : std_logic ;
4578 signal N_99_0 : std_logic ;
4579 signal N_100_0 : std_logic ;
4580 signal N_101_0 : std_logic ;
4581 signal N_102_0 : std_logic ;
4582 signal N_103_0 : std_logic ;
4583 signal N_104_0 : std_logic ;
4584 signal N_105_0 : std_logic ;
4585 signal N_106_0 : std_logic ;
4586 signal N_107_0 : std_logic ;
4587 signal N_108_0 : std_logic ;
4588 signal N_109_0 : std_logic ;
4589 signal N_110_0 : std_logic ;
4590 signal N_111_0 : std_logic ;
4591 signal N_112_0 : std_logic ;
4592 signal N_113_0 : std_logic ;
4593 signal N_114_0 : std_logic ;
4594 signal N_115_0 : std_logic ;
4595 signal N_116_0 : std_logic ;
4596 signal N_117_0 : std_logic ;
4597 signal N_118 : std_logic ;
4598 signal N_119 : std_logic ;
4599 signal N_120 : std_logic ;
4600 signal N_121 : std_logic ;
4601 signal N_122 : std_logic ;
4602 signal N_123 : std_logic ;
4603 signal N_124 : std_logic ;
4604 signal N_125 : std_logic ;
4605 signal N_126 : std_logic ;
4606 signal N_127 : std_logic ;
4607 signal N_128 : std_logic ;
4608 signal N_129 : std_logic ;
4609 signal N_130 : std_logic ;
4610 signal N_131 : std_logic ;
4611 signal N_132 : std_logic ;
4612 signal N_133 : std_logic ;
4613 signal N_134 : std_logic ;
4614 signal N_135 : std_logic ;
4615 signal N_136 : std_logic ;
4616 signal N_137 : std_logic ;
4617 signal N_138 : std_logic ;
4618 signal N_139 : std_logic ;
4619 signal N_140 : std_logic ;
4620 signal N_141 : std_logic ;
4621 signal N_142 : std_logic ;
4622 signal N_143 : std_logic ;
4623 signal N_144 : std_logic ;
4624 signal N_145 : std_logic ;
4625 signal N_146 : std_logic ;
4626 signal N_147 : std_logic ;
4627 signal N_148 : std_logic ;
4628 signal N_149 : std_logic ;
4629 signal N_150 : std_logic ;
4630 signal N_151 : std_logic ;
4631 signal N_152 : std_logic ;
4632 signal N_153 : std_logic ;
4633 signal N_154 : std_logic ;
4634 signal N_155 : std_logic ;
4635 signal N_156 : std_logic ;
4636 signal N_157 : std_logic ;
4637 signal N_158 : std_logic ;
4638 signal N_159 : std_logic ;
4639 signal N_160 : std_logic ;
4640 signal N_161 : std_logic ;
4641 signal N_162 : std_logic ;
4642 signal N_163 : std_logic ;
4643 signal N_164 : std_logic ;
4644 signal N_165 : std_logic ;
4645 signal N_166 : std_logic ;
4646 signal N_167 : std_logic ;
4647 signal N_168 : std_logic ;
4648 signal N_169 : std_logic ;
4649 signal N_170 : std_logic ;
4650 signal N_171 : std_logic ;
4651 signal N_172 : std_logic ;
4652 signal N_173 : std_logic ;
4653 signal N_174 : std_logic ;
4654 signal N_175 : std_logic ;
4655 signal N_176 : std_logic ;
4656 signal N_177 : std_logic ;
4657 signal N_178 : std_logic ;
4658 signal N_179 : std_logic ;
4659 signal N_180 : std_logic ;
4660 signal N_181 : std_logic ;
4661 signal N_182 : std_logic ;
4662 signal N_183 : std_logic ;
4663 signal N_184 : std_logic ;
4664 signal N_185 : std_logic ;
4665 signal N_186 : std_logic ;
4666 signal N_187 : std_logic ;
4667 signal N_188 : std_logic ;
4668 signal N_189 : std_logic ;
4669 signal N_190 : std_logic ;
4670 signal N_191 : std_logic ;
4671 signal N_192 : std_logic ;
4672 signal N_193 : std_logic ;
4673 signal N_194 : std_logic ;
4674 signal N_195 : std_logic ;
4675 signal N_196 : std_logic ;
4676 signal N_197 : std_logic ;
4677 signal N_198 : std_logic ;
4678 signal R0_PINZ : std_logic ;
4679 signal R1_PINZ : std_logic ;
4680 signal R2_PINZ : std_logic ;
4681 signal G0_PINZ : std_logic ;
4682 signal G1_PINZ : std_logic ;
4683 signal G2_PINZ : std_logic ;
4684 signal B0_PINZ : std_logic ;
4685 signal B1_PINZ : std_logic ;
4686 signal HSYNC_PINZ : std_logic ;
4687 signal VSYNC_PINZ : std_logic ;
4688 signal D_HSYNCZ : std_logic ;
4689 signal D_VSYNCZ : std_logic ;
4690 signal D_SET_COLUMN_COUNTERZ : std_logic ;
4691 signal D_SET_LINE_COUNTERZ : std_logic ;
4692 signal D_SET_HSYNC_COUNTERZ : std_logic ;
4693 signal D_SET_VSYNC_COUNTERZ : std_logic ;
4694 signal D_H_ENABLEZ : std_logic ;
4695 signal D_V_ENABLEZ : std_logic ;
4696 signal D_RZ : std_logic ;
4697 signal D_GZ : std_logic ;
4698 signal D_BZ : std_logic ;
4699 signal D_STATE_CLKZ : std_logic ;
4700 signal D_TOGGLEZ : std_logic ;
4701 component vga_driver
4703 line_counter_sig_0 : out std_logic;
4704 line_counter_sig_1 : out std_logic;
4705 line_counter_sig_2 : out std_logic;
4706 line_counter_sig_3 : out std_logic;
4707 line_counter_sig_4 : out std_logic;
4708 line_counter_sig_5 : out std_logic;
4709 line_counter_sig_6 : out std_logic;
4710 line_counter_sig_7 : out std_logic;
4711 line_counter_sig_8 : out std_logic;
4712 dly_counter_1 : in std_logic;
4713 dly_counter_0 : in std_logic;
4714 vsync_state_2 : out std_logic;
4715 vsync_state_5 : out std_logic;
4716 vsync_state_3 : out std_logic;
4717 vsync_state_6 : out std_logic;
4718 vsync_state_4 : out std_logic;
4719 vsync_state_1 : out std_logic;
4720 vsync_state_0 : out std_logic;
4721 hsync_state_2 : out std_logic;
4722 hsync_state_4 : out std_logic;
4723 hsync_state_0 : out std_logic;
4724 hsync_state_5 : out std_logic;
4725 hsync_state_1 : out std_logic;
4726 hsync_state_3 : out std_logic;
4727 hsync_state_6 : out std_logic;
4728 column_counter_sig_0 : out std_logic;
4729 column_counter_sig_1 : out std_logic;
4730 column_counter_sig_2 : out std_logic;
4731 column_counter_sig_3 : out std_logic;
4732 column_counter_sig_4 : out std_logic;
4733 column_counter_sig_5 : out std_logic;
4734 column_counter_sig_6 : out std_logic;
4735 column_counter_sig_7 : out std_logic;
4736 column_counter_sig_8 : out std_logic;
4737 column_counter_sig_9 : out std_logic;
4738 vsync_counter_9 : out std_logic;
4739 vsync_counter_8 : out std_logic;
4740 vsync_counter_7 : out std_logic;
4741 vsync_counter_6 : out std_logic;
4742 vsync_counter_5 : out std_logic;
4743 vsync_counter_4 : out std_logic;
4744 vsync_counter_3 : out std_logic;
4745 vsync_counter_2 : out std_logic;
4746 vsync_counter_1 : out std_logic;
4747 vsync_counter_0 : out std_logic;
4748 hsync_counter_9 : out std_logic;
4749 hsync_counter_8 : out std_logic;
4750 hsync_counter_7 : out std_logic;
4751 hsync_counter_6 : out std_logic;
4752 hsync_counter_5 : out std_logic;
4753 hsync_counter_4 : out std_logic;
4754 hsync_counter_3 : out std_logic;
4755 hsync_counter_2 : out std_logic;
4756 hsync_counter_1 : out std_logic;
4757 hsync_counter_0 : out std_logic;
4758 d_set_vsync_counter : out std_logic;
4759 v_sync : out std_logic;
4760 h_sync : out std_logic;
4761 h_enable_sig : out std_logic;
4762 v_enable_sig : out std_logic;
4763 reset_pin_c : in std_logic;
4764 un6_dly_counter_0_x : out std_logic;
4765 d_set_hsync_counter : out std_logic;
4766 clk_pin_c : in std_logic );
4768 component vga_control
4770 line_counter_sig_0 : in std_logic;
4771 line_counter_sig_2 : in std_logic;
4772 line_counter_sig_1 : in std_logic;
4773 line_counter_sig_3 : in std_logic;
4774 line_counter_sig_6 : in std_logic;
4775 line_counter_sig_5 : in std_logic;
4776 line_counter_sig_4 : in std_logic;
4777 line_counter_sig_7 : in std_logic;
4778 line_counter_sig_8 : in std_logic;
4779 column_counter_sig_0 : in std_logic;
4780 column_counter_sig_1 : in std_logic;
4781 column_counter_sig_2 : in std_logic;
4782 column_counter_sig_8 : in std_logic;
4783 column_counter_sig_3 : in std_logic;
4784 column_counter_sig_5 : in std_logic;
4785 column_counter_sig_4 : in std_logic;
4786 column_counter_sig_9 : in std_logic;
4787 column_counter_sig_7 : in std_logic;
4788 column_counter_sig_6 : in std_logic;
4789 toggle_counter_sig_0 : out std_logic;
4790 toggle_counter_sig_1 : out std_logic;
4791 toggle_counter_sig_2 : out std_logic;
4792 toggle_counter_sig_3 : out std_logic;
4793 toggle_counter_sig_4 : out std_logic;
4794 toggle_counter_sig_5 : out std_logic;
4795 toggle_counter_sig_6 : out std_logic;
4796 toggle_counter_sig_7 : out std_logic;
4797 toggle_counter_sig_8 : out std_logic;
4798 toggle_counter_sig_9 : out std_logic;
4799 toggle_counter_sig_10 : out std_logic;
4800 toggle_counter_sig_11 : out std_logic;
4801 toggle_counter_sig_12 : out std_logic;
4802 toggle_counter_sig_13 : out std_logic;
4803 toggle_counter_sig_14 : out std_logic;
4804 toggle_counter_sig_15 : out std_logic;
4805 toggle_counter_sig_16 : out std_logic;
4806 toggle_counter_sig_17 : out std_logic;
4807 toggle_counter_sig_18 : out std_logic;
4808 toggle_counter_sig_19 : out std_logic;
4809 toggle_counter_sig_20 : out std_logic;
4810 toggle_counter_sig_21 : out std_logic;
4811 toggle_counter_sig_22 : out std_logic;
4812 toggle_counter_sig_23 : out std_logic;
4813 toggle_counter_sig_24 : out std_logic;
4814 h_enable_sig : in std_logic;
4817 v_enable_sig : in std_logic;
4819 toggle_sig : out std_logic;
4820 un6_dly_counter_0_x : in std_logic;
4821 clk_pin_c : in std_logic );
4826 \DLY_COUNTER_1_\: stratix_lcell generic map (
4827 operation_mode => "normal",
4828 output_mode => "reg_only",
4829 synch_mode => "off",
4830 sum_lutc_input => "datac",
4833 regout => DLY_COUNTER(1),
4835 dataa => RESET_PIN_C,
4836 datab => DLY_COUNTER(0),
4837 datac => DLY_COUNTER(1),
4848 \DLY_COUNTER_0_\: stratix_lcell generic map (
4849 operation_mode => "normal",
4850 output_mode => "reg_only",
4851 synch_mode => "off",
4852 sum_lutc_input => "datac",
4855 regout => DLY_COUNTER(0),
4857 dataa => RESET_PIN_C,
4858 datab => DLY_COUNTER(0),
4859 datac => DLY_COUNTER(1),
4870 RESET_PIN_IN: stratix_io generic map (
4871 operation_mode => "input"
4875 combout => RESET_PIN_C,
4884 CLK_PIN_IN: stratix_io generic map (
4885 operation_mode => "input"
4889 combout => CLK_PIN_C,
4898 \D_TOGGLE_COUNTER_OUT_24_\: stratix_io generic map (
4899 operation_mode => "output"
4902 padio => D_TOGGLE_COUNTERZ(24),
4903 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
4912 \D_TOGGLE_COUNTER_OUT_23_\: stratix_io generic map (
4913 operation_mode => "output"
4916 padio => D_TOGGLE_COUNTERZ(23),
4917 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
4926 \D_TOGGLE_COUNTER_OUT_22_\: stratix_io generic map (
4927 operation_mode => "output"
4930 padio => D_TOGGLE_COUNTERZ(22),
4931 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
4940 \D_TOGGLE_COUNTER_OUT_21_\: stratix_io generic map (
4941 operation_mode => "output"
4944 padio => D_TOGGLE_COUNTERZ(21),
4945 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
4954 \D_TOGGLE_COUNTER_OUT_20_\: stratix_io generic map (
4955 operation_mode => "output"
4958 padio => D_TOGGLE_COUNTERZ(20),
4959 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
4968 \D_TOGGLE_COUNTER_OUT_19_\: stratix_io generic map (
4969 operation_mode => "output"
4972 padio => D_TOGGLE_COUNTERZ(19),
4973 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
4982 \D_TOGGLE_COUNTER_OUT_18_\: stratix_io generic map (
4983 operation_mode => "output"
4986 padio => D_TOGGLE_COUNTERZ(18),
4987 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
4996 \D_TOGGLE_COUNTER_OUT_17_\: stratix_io generic map (
4997 operation_mode => "output"
5000 padio => D_TOGGLE_COUNTERZ(17),
5001 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
5010 \D_TOGGLE_COUNTER_OUT_16_\: stratix_io generic map (
5011 operation_mode => "output"
5014 padio => D_TOGGLE_COUNTERZ(16),
5015 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
5024 \D_TOGGLE_COUNTER_OUT_15_\: stratix_io generic map (
5025 operation_mode => "output"
5028 padio => D_TOGGLE_COUNTERZ(15),
5029 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
5038 \D_TOGGLE_COUNTER_OUT_14_\: stratix_io generic map (
5039 operation_mode => "output"
5042 padio => D_TOGGLE_COUNTERZ(14),
5043 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
5052 \D_TOGGLE_COUNTER_OUT_13_\: stratix_io generic map (
5053 operation_mode => "output"
5056 padio => D_TOGGLE_COUNTERZ(13),
5057 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
5066 \D_TOGGLE_COUNTER_OUT_12_\: stratix_io generic map (
5067 operation_mode => "output"
5070 padio => D_TOGGLE_COUNTERZ(12),
5071 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
5080 \D_TOGGLE_COUNTER_OUT_11_\: stratix_io generic map (
5081 operation_mode => "output"
5084 padio => D_TOGGLE_COUNTERZ(11),
5085 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
5094 \D_TOGGLE_COUNTER_OUT_10_\: stratix_io generic map (
5095 operation_mode => "output"
5098 padio => D_TOGGLE_COUNTERZ(10),
5099 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
5108 \D_TOGGLE_COUNTER_OUT_9_\: stratix_io generic map (
5109 operation_mode => "output"
5112 padio => D_TOGGLE_COUNTERZ(9),
5113 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
5122 \D_TOGGLE_COUNTER_OUT_8_\: stratix_io generic map (
5123 operation_mode => "output"
5126 padio => D_TOGGLE_COUNTERZ(8),
5127 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
5136 \D_TOGGLE_COUNTER_OUT_7_\: stratix_io generic map (
5137 operation_mode => "output"
5140 padio => D_TOGGLE_COUNTERZ(7),
5141 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
5150 \D_TOGGLE_COUNTER_OUT_6_\: stratix_io generic map (
5151 operation_mode => "output"
5154 padio => D_TOGGLE_COUNTERZ(6),
5155 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
5164 \D_TOGGLE_COUNTER_OUT_5_\: stratix_io generic map (
5165 operation_mode => "output"
5168 padio => D_TOGGLE_COUNTERZ(5),
5169 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
5178 \D_TOGGLE_COUNTER_OUT_4_\: stratix_io generic map (
5179 operation_mode => "output"
5182 padio => D_TOGGLE_COUNTERZ(4),
5183 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
5192 \D_TOGGLE_COUNTER_OUT_3_\: stratix_io generic map (
5193 operation_mode => "output"
5196 padio => D_TOGGLE_COUNTERZ(3),
5197 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
5206 \D_TOGGLE_COUNTER_OUT_2_\: stratix_io generic map (
5207 operation_mode => "output"
5210 padio => D_TOGGLE_COUNTERZ(2),
5211 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
5220 \D_TOGGLE_COUNTER_OUT_1_\: stratix_io generic map (
5221 operation_mode => "output"
5224 padio => D_TOGGLE_COUNTERZ(1),
5225 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
5234 \D_TOGGLE_COUNTER_OUT_0_\: stratix_io generic map (
5235 operation_mode => "output"
5238 padio => D_TOGGLE_COUNTERZ(0),
5239 datain => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
5248 D_TOGGLE_OUT: stratix_io generic map (
5249 operation_mode => "output"
5253 datain => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
5262 D_STATE_CLK_OUT: stratix_io generic map (
5263 operation_mode => "output"
5266 padio => D_STATE_CLKZ,
5276 \D_VSYNC_STATE_OUT_0_\: stratix_io generic map (
5277 operation_mode => "output"
5280 padio => D_VSYNC_STATEZ(0),
5281 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
5290 \D_VSYNC_STATE_OUT_1_\: stratix_io generic map (
5291 operation_mode => "output"
5294 padio => D_VSYNC_STATEZ(1),
5295 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
5304 \D_VSYNC_STATE_OUT_2_\: stratix_io generic map (
5305 operation_mode => "output"
5308 padio => D_VSYNC_STATEZ(2),
5309 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
5318 \D_VSYNC_STATE_OUT_3_\: stratix_io generic map (
5319 operation_mode => "output"
5322 padio => D_VSYNC_STATEZ(3),
5323 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
5332 \D_VSYNC_STATE_OUT_4_\: stratix_io generic map (
5333 operation_mode => "output"
5336 padio => D_VSYNC_STATEZ(4),
5337 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
5346 \D_VSYNC_STATE_OUT_5_\: stratix_io generic map (
5347 operation_mode => "output"
5350 padio => D_VSYNC_STATEZ(5),
5351 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
5360 \D_VSYNC_STATE_OUT_6_\: stratix_io generic map (
5361 operation_mode => "output"
5364 padio => D_VSYNC_STATEZ(6),
5365 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
5374 \D_HSYNC_STATE_OUT_0_\: stratix_io generic map (
5375 operation_mode => "output"
5378 padio => D_HSYNC_STATEZ(0),
5379 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
5388 \D_HSYNC_STATE_OUT_1_\: stratix_io generic map (
5389 operation_mode => "output"
5392 padio => D_HSYNC_STATEZ(1),
5393 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
5402 \D_HSYNC_STATE_OUT_2_\: stratix_io generic map (
5403 operation_mode => "output"
5406 padio => D_HSYNC_STATEZ(2),
5407 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
5416 \D_HSYNC_STATE_OUT_3_\: stratix_io generic map (
5417 operation_mode => "output"
5420 padio => D_HSYNC_STATEZ(3),
5421 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
5430 \D_HSYNC_STATE_OUT_4_\: stratix_io generic map (
5431 operation_mode => "output"
5434 padio => D_HSYNC_STATEZ(4),
5435 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
5444 \D_HSYNC_STATE_OUT_5_\: stratix_io generic map (
5445 operation_mode => "output"
5448 padio => D_HSYNC_STATEZ(5),
5449 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
5458 \D_HSYNC_STATE_OUT_6_\: stratix_io generic map (
5459 operation_mode => "output"
5462 padio => D_HSYNC_STATEZ(6),
5463 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
5472 D_B_OUT: stratix_io generic map (
5473 operation_mode => "output"
5477 datain => \VGA_CONTROL_UNIT.B\,
5486 D_G_OUT: stratix_io generic map (
5487 operation_mode => "output"
5491 datain => \VGA_CONTROL_UNIT.G\,
5500 D_R_OUT: stratix_io generic map (
5501 operation_mode => "output"
5505 datain => \VGA_CONTROL_UNIT.R\,
5514 D_V_ENABLE_OUT: stratix_io generic map (
5515 operation_mode => "output"
5518 padio => D_V_ENABLEZ,
5519 datain => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
5528 D_H_ENABLE_OUT: stratix_io generic map (
5529 operation_mode => "output"
5532 padio => D_H_ENABLEZ,
5533 datain => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
5542 D_SET_VSYNC_COUNTER_OUT: stratix_io generic map (
5543 operation_mode => "output"
5546 padio => D_SET_VSYNC_COUNTERZ,
5547 datain => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
5556 D_SET_HSYNC_COUNTER_OUT: stratix_io generic map (
5557 operation_mode => "output"
5560 padio => D_SET_HSYNC_COUNTERZ,
5561 datain => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
5570 \D_VSYNC_COUNTER_OUT_9_\: stratix_io generic map (
5571 operation_mode => "output"
5574 padio => D_VSYNC_COUNTERZ(9),
5575 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
5584 \D_VSYNC_COUNTER_OUT_8_\: stratix_io generic map (
5585 operation_mode => "output"
5588 padio => D_VSYNC_COUNTERZ(8),
5589 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
5598 \D_VSYNC_COUNTER_OUT_7_\: stratix_io generic map (
5599 operation_mode => "output"
5602 padio => D_VSYNC_COUNTERZ(7),
5603 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
5612 \D_VSYNC_COUNTER_OUT_6_\: stratix_io generic map (
5613 operation_mode => "output"
5616 padio => D_VSYNC_COUNTERZ(6),
5617 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
5626 \D_VSYNC_COUNTER_OUT_5_\: stratix_io generic map (
5627 operation_mode => "output"
5630 padio => D_VSYNC_COUNTERZ(5),
5631 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
5640 \D_VSYNC_COUNTER_OUT_4_\: stratix_io generic map (
5641 operation_mode => "output"
5644 padio => D_VSYNC_COUNTERZ(4),
5645 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
5654 \D_VSYNC_COUNTER_OUT_3_\: stratix_io generic map (
5655 operation_mode => "output"
5658 padio => D_VSYNC_COUNTERZ(3),
5659 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
5668 \D_VSYNC_COUNTER_OUT_2_\: stratix_io generic map (
5669 operation_mode => "output"
5672 padio => D_VSYNC_COUNTERZ(2),
5673 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
5682 \D_VSYNC_COUNTER_OUT_1_\: stratix_io generic map (
5683 operation_mode => "output"
5686 padio => D_VSYNC_COUNTERZ(1),
5687 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
5696 \D_VSYNC_COUNTER_OUT_0_\: stratix_io generic map (
5697 operation_mode => "output"
5700 padio => D_VSYNC_COUNTERZ(0),
5701 datain => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
5710 \D_HSYNC_COUNTER_OUT_9_\: stratix_io generic map (
5711 operation_mode => "output"
5714 padio => D_HSYNC_COUNTERZ(9),
5715 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
5724 \D_HSYNC_COUNTER_OUT_8_\: stratix_io generic map (
5725 operation_mode => "output"
5728 padio => D_HSYNC_COUNTERZ(8),
5729 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
5738 \D_HSYNC_COUNTER_OUT_7_\: stratix_io generic map (
5739 operation_mode => "output"
5742 padio => D_HSYNC_COUNTERZ(7),
5743 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
5752 \D_HSYNC_COUNTER_OUT_6_\: stratix_io generic map (
5753 operation_mode => "output"
5756 padio => D_HSYNC_COUNTERZ(6),
5757 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
5766 \D_HSYNC_COUNTER_OUT_5_\: stratix_io generic map (
5767 operation_mode => "output"
5770 padio => D_HSYNC_COUNTERZ(5),
5771 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
5780 \D_HSYNC_COUNTER_OUT_4_\: stratix_io generic map (
5781 operation_mode => "output"
5784 padio => D_HSYNC_COUNTERZ(4),
5785 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
5794 \D_HSYNC_COUNTER_OUT_3_\: stratix_io generic map (
5795 operation_mode => "output"
5798 padio => D_HSYNC_COUNTERZ(3),
5799 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
5808 \D_HSYNC_COUNTER_OUT_2_\: stratix_io generic map (
5809 operation_mode => "output"
5812 padio => D_HSYNC_COUNTERZ(2),
5813 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
5822 \D_HSYNC_COUNTER_OUT_1_\: stratix_io generic map (
5823 operation_mode => "output"
5826 padio => D_HSYNC_COUNTERZ(1),
5827 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
5836 \D_HSYNC_COUNTER_OUT_0_\: stratix_io generic map (
5837 operation_mode => "output"
5840 padio => D_HSYNC_COUNTERZ(0),
5841 datain => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
5850 D_SET_LINE_COUNTER_OUT: stratix_io generic map (
5851 operation_mode => "output"
5854 padio => D_SET_LINE_COUNTERZ,
5855 datain => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
5864 D_SET_COLUMN_COUNTER_OUT: stratix_io generic map (
5865 operation_mode => "output"
5868 padio => D_SET_COLUMN_COUNTERZ,
5869 datain => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
5878 \D_LINE_COUNTER_OUT_8_\: stratix_io generic map (
5879 operation_mode => "output"
5882 padio => D_LINE_COUNTERZ(8),
5883 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
5892 \D_LINE_COUNTER_OUT_7_\: stratix_io generic map (
5893 operation_mode => "output"
5896 padio => D_LINE_COUNTERZ(7),
5897 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
5906 \D_LINE_COUNTER_OUT_6_\: stratix_io generic map (
5907 operation_mode => "output"
5910 padio => D_LINE_COUNTERZ(6),
5911 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
5920 \D_LINE_COUNTER_OUT_5_\: stratix_io generic map (
5921 operation_mode => "output"
5924 padio => D_LINE_COUNTERZ(5),
5925 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
5934 \D_LINE_COUNTER_OUT_4_\: stratix_io generic map (
5935 operation_mode => "output"
5938 padio => D_LINE_COUNTERZ(4),
5939 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
5948 \D_LINE_COUNTER_OUT_3_\: stratix_io generic map (
5949 operation_mode => "output"
5952 padio => D_LINE_COUNTERZ(3),
5953 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
5962 \D_LINE_COUNTER_OUT_2_\: stratix_io generic map (
5963 operation_mode => "output"
5966 padio => D_LINE_COUNTERZ(2),
5967 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
5976 \D_LINE_COUNTER_OUT_1_\: stratix_io generic map (
5977 operation_mode => "output"
5980 padio => D_LINE_COUNTERZ(1),
5981 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
5990 \D_LINE_COUNTER_OUT_0_\: stratix_io generic map (
5991 operation_mode => "output"
5994 padio => D_LINE_COUNTERZ(0),
5995 datain => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6004 \D_COLUMN_COUNTER_OUT_9_\: stratix_io generic map (
6005 operation_mode => "output"
6008 padio => D_COLUMN_COUNTERZ(9),
6009 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6018 \D_COLUMN_COUNTER_OUT_8_\: stratix_io generic map (
6019 operation_mode => "output"
6022 padio => D_COLUMN_COUNTERZ(8),
6023 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6032 \D_COLUMN_COUNTER_OUT_7_\: stratix_io generic map (
6033 operation_mode => "output"
6036 padio => D_COLUMN_COUNTERZ(7),
6037 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6046 \D_COLUMN_COUNTER_OUT_6_\: stratix_io generic map (
6047 operation_mode => "output"
6050 padio => D_COLUMN_COUNTERZ(6),
6051 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6060 \D_COLUMN_COUNTER_OUT_5_\: stratix_io generic map (
6061 operation_mode => "output"
6064 padio => D_COLUMN_COUNTERZ(5),
6065 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6074 \D_COLUMN_COUNTER_OUT_4_\: stratix_io generic map (
6075 operation_mode => "output"
6078 padio => D_COLUMN_COUNTERZ(4),
6079 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6088 \D_COLUMN_COUNTER_OUT_3_\: stratix_io generic map (
6089 operation_mode => "output"
6092 padio => D_COLUMN_COUNTERZ(3),
6093 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6102 \D_COLUMN_COUNTER_OUT_2_\: stratix_io generic map (
6103 operation_mode => "output"
6106 padio => D_COLUMN_COUNTERZ(2),
6107 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6116 \D_COLUMN_COUNTER_OUT_1_\: stratix_io generic map (
6117 operation_mode => "output"
6120 padio => D_COLUMN_COUNTERZ(1),
6121 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6130 \D_COLUMN_COUNTER_OUT_0_\: stratix_io generic map (
6131 operation_mode => "output"
6134 padio => D_COLUMN_COUNTERZ(0),
6135 datain => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6144 D_VSYNC_OUT: stratix_io generic map (
6145 operation_mode => "output"
6149 datain => \VGA_DRIVER_UNIT.V_SYNC\,
6158 D_HSYNC_OUT: stratix_io generic map (
6159 operation_mode => "output"
6163 datain => \VGA_DRIVER_UNIT.H_SYNC\,
6172 \SEVEN_SEG_PIN_TRI_13_\: stratix_io generic map (
6173 operation_mode => "output"
6176 padio => SEVEN_SEG_PINZ(13),
6186 \SEVEN_SEG_PIN_OUT_12_\: stratix_io generic map (
6187 operation_mode => "output"
6190 padio => SEVEN_SEG_PINZ(12),
6191 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6200 \SEVEN_SEG_PIN_OUT_11_\: stratix_io generic map (
6201 operation_mode => "output"
6204 padio => SEVEN_SEG_PINZ(11),
6205 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6214 \SEVEN_SEG_PIN_OUT_10_\: stratix_io generic map (
6215 operation_mode => "output"
6218 padio => SEVEN_SEG_PINZ(10),
6219 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6228 \SEVEN_SEG_PIN_OUT_9_\: stratix_io generic map (
6229 operation_mode => "output"
6232 padio => SEVEN_SEG_PINZ(9),
6233 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6242 \SEVEN_SEG_PIN_OUT_8_\: stratix_io generic map (
6243 operation_mode => "output"
6246 padio => SEVEN_SEG_PINZ(8),
6247 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6256 \SEVEN_SEG_PIN_OUT_7_\: stratix_io generic map (
6257 operation_mode => "output"
6260 padio => SEVEN_SEG_PINZ(7),
6261 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6270 \SEVEN_SEG_PIN_TRI_6_\: stratix_io generic map (
6271 operation_mode => "output"
6274 padio => SEVEN_SEG_PINZ(6),
6284 \SEVEN_SEG_PIN_TRI_5_\: stratix_io generic map (
6285 operation_mode => "output"
6288 padio => SEVEN_SEG_PINZ(5),
6298 \SEVEN_SEG_PIN_TRI_4_\: stratix_io generic map (
6299 operation_mode => "output"
6302 padio => SEVEN_SEG_PINZ(4),
6312 \SEVEN_SEG_PIN_TRI_3_\: stratix_io generic map (
6313 operation_mode => "output"
6316 padio => SEVEN_SEG_PINZ(3),
6326 \SEVEN_SEG_PIN_OUT_2_\: stratix_io generic map (
6327 operation_mode => "output"
6330 padio => SEVEN_SEG_PINZ(2),
6331 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6340 \SEVEN_SEG_PIN_OUT_1_\: stratix_io generic map (
6341 operation_mode => "output"
6344 padio => SEVEN_SEG_PINZ(1),
6345 datain => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6354 \SEVEN_SEG_PIN_TRI_0_\: stratix_io generic map (
6355 operation_mode => "output"
6358 padio => SEVEN_SEG_PINZ(0),
6368 VSYNC_PIN_OUT: stratix_io generic map (
6369 operation_mode => "output"
6372 padio => VSYNC_PINZ,
6373 datain => \VGA_DRIVER_UNIT.V_SYNC\,
6382 HSYNC_PIN_OUT: stratix_io generic map (
6383 operation_mode => "output"
6386 padio => HSYNC_PINZ,
6387 datain => \VGA_DRIVER_UNIT.H_SYNC\,
6396 B1_PIN_OUT: stratix_io generic map (
6397 operation_mode => "output"
6401 datain => \VGA_CONTROL_UNIT.B\,
6410 B0_PIN_OUT: stratix_io generic map (
6411 operation_mode => "output"
6415 datain => \VGA_CONTROL_UNIT.B\,
6424 G2_PIN_OUT: stratix_io generic map (
6425 operation_mode => "output"
6429 datain => \VGA_CONTROL_UNIT.G\,
6438 G1_PIN_OUT: stratix_io generic map (
6439 operation_mode => "output"
6443 datain => \VGA_CONTROL_UNIT.G\,
6452 G0_PIN_OUT: stratix_io generic map (
6453 operation_mode => "output"
6457 datain => \VGA_CONTROL_UNIT.G\,
6466 R2_PIN_OUT: stratix_io generic map (
6467 operation_mode => "output"
6471 datain => \VGA_CONTROL_UNIT.R\,
6480 R1_PIN_OUT: stratix_io generic map (
6481 operation_mode => "output"
6485 datain => \VGA_CONTROL_UNIT.R\,
6494 R0_PIN_OUT: stratix_io generic map (
6495 operation_mode => "output"
6499 datain => \VGA_CONTROL_UNIT.R\,
6509 VGA_DRIVER_UNIT: vga_driver port map (
6510 line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6511 line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
6512 line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
6513 line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
6514 line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
6515 line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
6516 line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
6517 line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
6518 line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
6519 dly_counter_1 => DLY_COUNTER(1),
6520 dly_counter_0 => DLY_COUNTER(0),
6521 vsync_state_2 => \VGA_DRIVER_UNIT.VSYNC_STATE\(2),
6522 vsync_state_5 => \VGA_DRIVER_UNIT.VSYNC_STATE\(5),
6523 vsync_state_3 => \VGA_DRIVER_UNIT.VSYNC_STATE\(3),
6524 vsync_state_6 => \VGA_DRIVER_UNIT.VSYNC_STATE\(6),
6525 vsync_state_4 => \VGA_DRIVER_UNIT.VSYNC_STATE\(4),
6526 vsync_state_1 => \VGA_DRIVER_UNIT.VSYNC_STATE\(1),
6527 vsync_state_0 => \VGA_DRIVER_UNIT.VSYNC_STATE\(0),
6528 hsync_state_2 => \VGA_DRIVER_UNIT.HSYNC_STATE\(2),
6529 hsync_state_4 => \VGA_DRIVER_UNIT.HSYNC_STATE\(4),
6530 hsync_state_0 => \VGA_DRIVER_UNIT.HSYNC_STATE\(0),
6531 hsync_state_5 => \VGA_DRIVER_UNIT.HSYNC_STATE\(5),
6532 hsync_state_1 => \VGA_DRIVER_UNIT.HSYNC_STATE\(1),
6533 hsync_state_3 => \VGA_DRIVER_UNIT.HSYNC_STATE\(3),
6534 hsync_state_6 => \VGA_DRIVER_UNIT.HSYNC_STATE\(6),
6535 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6536 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6537 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6538 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6539 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6540 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6541 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6542 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6543 column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6544 column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6545 vsync_counter_9 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(9),
6546 vsync_counter_8 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(8),
6547 vsync_counter_7 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(7),
6548 vsync_counter_6 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(6),
6549 vsync_counter_5 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(5),
6550 vsync_counter_4 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(4),
6551 vsync_counter_3 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(3),
6552 vsync_counter_2 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(2),
6553 vsync_counter_1 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(1),
6554 vsync_counter_0 => \VGA_DRIVER_UNIT.VSYNC_COUNTER\(0),
6555 hsync_counter_9 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(9),
6556 hsync_counter_8 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(8),
6557 hsync_counter_7 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(7),
6558 hsync_counter_6 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(6),
6559 hsync_counter_5 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(5),
6560 hsync_counter_4 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(4),
6561 hsync_counter_3 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(3),
6562 hsync_counter_2 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(2),
6563 hsync_counter_1 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(1),
6564 hsync_counter_0 => \VGA_DRIVER_UNIT.HSYNC_COUNTER\(0),
6565 d_set_vsync_counter => \VGA_DRIVER_UNIT.D_SET_VSYNC_COUNTER\,
6566 v_sync => \VGA_DRIVER_UNIT.V_SYNC\,
6567 h_sync => \VGA_DRIVER_UNIT.H_SYNC\,
6568 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
6569 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
6570 reset_pin_c => RESET_PIN_C,
6571 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6572 d_set_hsync_counter => \VGA_DRIVER_UNIT.D_SET_HSYNC_COUNTER\,
6573 clk_pin_c => CLK_PIN_C);
6574 VGA_CONTROL_UNIT: vga_control port map (
6575 line_counter_sig_0 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(0),
6576 line_counter_sig_2 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(2),
6577 line_counter_sig_1 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(1),
6578 line_counter_sig_3 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(3),
6579 line_counter_sig_6 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(6),
6580 line_counter_sig_5 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(5),
6581 line_counter_sig_4 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(4),
6582 line_counter_sig_7 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(7),
6583 line_counter_sig_8 => \VGA_DRIVER_UNIT.LINE_COUNTER_SIG\(8),
6584 column_counter_sig_0 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(0),
6585 column_counter_sig_1 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(1),
6586 column_counter_sig_2 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(2),
6587 column_counter_sig_8 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(8),
6588 column_counter_sig_3 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(3),
6589 column_counter_sig_5 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(5),
6590 column_counter_sig_4 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(4),
6591 column_counter_sig_9 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(9),
6592 column_counter_sig_7 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(7),
6593 column_counter_sig_6 => \VGA_DRIVER_UNIT.COLUMN_COUNTER_SIG\(6),
6594 toggle_counter_sig_0 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(0),
6595 toggle_counter_sig_1 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(1),
6596 toggle_counter_sig_2 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(2),
6597 toggle_counter_sig_3 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(3),
6598 toggle_counter_sig_4 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(4),
6599 toggle_counter_sig_5 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(5),
6600 toggle_counter_sig_6 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(6),
6601 toggle_counter_sig_7 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(7),
6602 toggle_counter_sig_8 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(8),
6603 toggle_counter_sig_9 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(9),
6604 toggle_counter_sig_10 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(10),
6605 toggle_counter_sig_11 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(11),
6606 toggle_counter_sig_12 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(12),
6607 toggle_counter_sig_13 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(13),
6608 toggle_counter_sig_14 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(14),
6609 toggle_counter_sig_15 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(15),
6610 toggle_counter_sig_16 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(16),
6611 toggle_counter_sig_17 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(17),
6612 toggle_counter_sig_18 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(18),
6613 toggle_counter_sig_19 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(19),
6614 toggle_counter_sig_20 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(20),
6615 toggle_counter_sig_21 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(21),
6616 toggle_counter_sig_22 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(22),
6617 toggle_counter_sig_23 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(23),
6618 toggle_counter_sig_24 => \VGA_CONTROL_UNIT.TOGGLE_COUNTER_SIG\(24),
6619 h_enable_sig => \VGA_DRIVER_UNIT.H_ENABLE_SIG\,
6620 g => \VGA_CONTROL_UNIT.G\,
6621 b => \VGA_CONTROL_UNIT.B\,
6622 v_enable_sig => \VGA_DRIVER_UNIT.V_ENABLE_SIG\,
6623 r => \VGA_CONTROL_UNIT.R\,
6624 toggle_sig => \VGA_CONTROL_UNIT.TOGGLE_SIG\,
6625 un6_dly_counter_0_x => \DELAY_RESET_NEXT.UN6_DLY_COUNTER_0_X\,
6626 clk_pin_c => CLK_PIN_C);
6627 N_1 <= CLK_PIN_INTERNAL;
6628 N_2 <= RESET_PIN_INTERNAL;
6637 N_92_0 <= HSYNC_PINZ;
6638 N_93_0 <= VSYNC_PINZ;
6639 N_94_0 <= SEVEN_SEG_PINZ(0);
6640 N_95_0 <= SEVEN_SEG_PINZ(1);
6641 N_96_0 <= SEVEN_SEG_PINZ(2);
6642 N_97_0 <= SEVEN_SEG_PINZ(3);
6643 N_98_0 <= SEVEN_SEG_PINZ(4);
6644 N_99_0 <= SEVEN_SEG_PINZ(5);
6645 N_100_0 <= SEVEN_SEG_PINZ(6);
6646 N_101_0 <= SEVEN_SEG_PINZ(7);
6647 N_102_0 <= SEVEN_SEG_PINZ(8);
6648 N_103_0 <= SEVEN_SEG_PINZ(9);
6649 N_104_0 <= SEVEN_SEG_PINZ(10);
6650 N_105_0 <= SEVEN_SEG_PINZ(11);
6651 N_106_0 <= SEVEN_SEG_PINZ(12);
6652 N_107_0 <= SEVEN_SEG_PINZ(13);
6653 N_108_0 <= D_HSYNCZ;
6654 N_109_0 <= D_VSYNCZ;
6655 N_110_0 <= D_COLUMN_COUNTERZ(0);
6656 N_111_0 <= D_COLUMN_COUNTERZ(1);
6657 N_112_0 <= D_COLUMN_COUNTERZ(2);
6658 N_113_0 <= D_COLUMN_COUNTERZ(3);
6659 N_114_0 <= D_COLUMN_COUNTERZ(4);
6660 N_115_0 <= D_COLUMN_COUNTERZ(5);
6661 N_116_0 <= D_COLUMN_COUNTERZ(6);
6662 N_117_0 <= D_COLUMN_COUNTERZ(7);
6663 N_118 <= D_COLUMN_COUNTERZ(8);
6664 N_119 <= D_COLUMN_COUNTERZ(9);
6665 N_120 <= D_LINE_COUNTERZ(0);
6666 N_121 <= D_LINE_COUNTERZ(1);
6667 N_122 <= D_LINE_COUNTERZ(2);
6668 N_123 <= D_LINE_COUNTERZ(3);
6669 N_124 <= D_LINE_COUNTERZ(4);
6670 N_125 <= D_LINE_COUNTERZ(5);
6671 N_126 <= D_LINE_COUNTERZ(6);
6672 N_127 <= D_LINE_COUNTERZ(7);
6673 N_128 <= D_LINE_COUNTERZ(8);
6674 N_129 <= D_SET_COLUMN_COUNTERZ;
6675 N_130 <= D_SET_LINE_COUNTERZ;
6676 N_131 <= D_HSYNC_COUNTERZ(0);
6677 N_132 <= D_HSYNC_COUNTERZ(1);
6678 N_133 <= D_HSYNC_COUNTERZ(2);
6679 N_134 <= D_HSYNC_COUNTERZ(3);
6680 N_135 <= D_HSYNC_COUNTERZ(4);
6681 N_136 <= D_HSYNC_COUNTERZ(5);
6682 N_137 <= D_HSYNC_COUNTERZ(6);
6683 N_138 <= D_HSYNC_COUNTERZ(7);
6684 N_139 <= D_HSYNC_COUNTERZ(8);
6685 N_140 <= D_HSYNC_COUNTERZ(9);
6686 N_141 <= D_VSYNC_COUNTERZ(0);
6687 N_142 <= D_VSYNC_COUNTERZ(1);
6688 N_143 <= D_VSYNC_COUNTERZ(2);
6689 N_144 <= D_VSYNC_COUNTERZ(3);
6690 N_145 <= D_VSYNC_COUNTERZ(4);
6691 N_146 <= D_VSYNC_COUNTERZ(5);
6692 N_147 <= D_VSYNC_COUNTERZ(6);
6693 N_148 <= D_VSYNC_COUNTERZ(7);
6694 N_149 <= D_VSYNC_COUNTERZ(8);
6695 N_150 <= D_VSYNC_COUNTERZ(9);
6696 N_151 <= D_SET_HSYNC_COUNTERZ;
6697 N_152 <= D_SET_VSYNC_COUNTERZ;
6698 N_153 <= D_H_ENABLEZ;
6699 N_154 <= D_V_ENABLEZ;
6703 N_158 <= D_HSYNC_STATEZ(6);
6704 N_159 <= D_HSYNC_STATEZ(5);
6705 N_160 <= D_HSYNC_STATEZ(4);
6706 N_161 <= D_HSYNC_STATEZ(3);
6707 N_162 <= D_HSYNC_STATEZ(2);
6708 N_163 <= D_HSYNC_STATEZ(1);
6709 N_164 <= D_HSYNC_STATEZ(0);
6710 N_165 <= D_VSYNC_STATEZ(6);
6711 N_166 <= D_VSYNC_STATEZ(5);
6712 N_167 <= D_VSYNC_STATEZ(4);
6713 N_168 <= D_VSYNC_STATEZ(3);
6714 N_169 <= D_VSYNC_STATEZ(2);
6715 N_170 <= D_VSYNC_STATEZ(1);
6716 N_171 <= D_VSYNC_STATEZ(0);
6717 N_172 <= D_STATE_CLKZ;
6719 N_174 <= D_TOGGLE_COUNTERZ(0);
6720 N_175 <= D_TOGGLE_COUNTERZ(1);
6721 N_176 <= D_TOGGLE_COUNTERZ(2);
6722 N_177 <= D_TOGGLE_COUNTERZ(3);
6723 N_178 <= D_TOGGLE_COUNTERZ(4);
6724 N_179 <= D_TOGGLE_COUNTERZ(5);
6725 N_180 <= D_TOGGLE_COUNTERZ(6);
6726 N_181 <= D_TOGGLE_COUNTERZ(7);
6727 N_182 <= D_TOGGLE_COUNTERZ(8);
6728 N_183 <= D_TOGGLE_COUNTERZ(9);
6729 N_184 <= D_TOGGLE_COUNTERZ(10);
6730 N_185 <= D_TOGGLE_COUNTERZ(11);
6731 N_186 <= D_TOGGLE_COUNTERZ(12);
6732 N_187 <= D_TOGGLE_COUNTERZ(13);
6733 N_188 <= D_TOGGLE_COUNTERZ(14);
6734 N_189 <= D_TOGGLE_COUNTERZ(15);
6735 N_190 <= D_TOGGLE_COUNTERZ(16);
6736 N_191 <= D_TOGGLE_COUNTERZ(17);
6737 N_192 <= D_TOGGLE_COUNTERZ(18);
6738 N_193 <= D_TOGGLE_COUNTERZ(19);
6739 N_194 <= D_TOGGLE_COUNTERZ(20);
6740 N_195 <= D_TOGGLE_COUNTERZ(21);
6741 N_196 <= D_TOGGLE_COUNTERZ(22);
6742 N_197 <= D_TOGGLE_COUNTERZ(23);
6743 N_198 <= D_TOGGLE_COUNTERZ(24);
6752 hsync_pin <= N_92_0;
6753 vsync_pin <= N_93_0;
6754 seven_seg_pin(0) <= N_94_0;
6755 seven_seg_pin(1) <= N_95_0;
6756 seven_seg_pin(2) <= N_96_0;
6757 seven_seg_pin(3) <= N_97_0;
6758 seven_seg_pin(4) <= N_98_0;
6759 seven_seg_pin(5) <= N_99_0;
6760 seven_seg_pin(6) <= N_100_0;
6761 seven_seg_pin(7) <= N_101_0;
6762 seven_seg_pin(8) <= N_102_0;
6763 seven_seg_pin(9) <= N_103_0;
6764 seven_seg_pin(10) <= N_104_0;
6765 seven_seg_pin(11) <= N_105_0;
6766 seven_seg_pin(12) <= N_106_0;
6767 seven_seg_pin(13) <= N_107_0;
6770 d_column_counter(0) <= N_110_0;
6771 d_column_counter(1) <= N_111_0;
6772 d_column_counter(2) <= N_112_0;
6773 d_column_counter(3) <= N_113_0;
6774 d_column_counter(4) <= N_114_0;
6775 d_column_counter(5) <= N_115_0;
6776 d_column_counter(6) <= N_116_0;
6777 d_column_counter(7) <= N_117_0;
6778 d_column_counter(8) <= N_118;
6779 d_column_counter(9) <= N_119;
6780 d_line_counter(0) <= N_120;
6781 d_line_counter(1) <= N_121;
6782 d_line_counter(2) <= N_122;
6783 d_line_counter(3) <= N_123;
6784 d_line_counter(4) <= N_124;
6785 d_line_counter(5) <= N_125;
6786 d_line_counter(6) <= N_126;
6787 d_line_counter(7) <= N_127;
6788 d_line_counter(8) <= N_128;
6789 d_set_column_counter <= N_129;
6790 d_set_line_counter <= N_130;
6791 d_hsync_counter(0) <= N_131;
6792 d_hsync_counter(1) <= N_132;
6793 d_hsync_counter(2) <= N_133;
6794 d_hsync_counter(3) <= N_134;
6795 d_hsync_counter(4) <= N_135;
6796 d_hsync_counter(5) <= N_136;
6797 d_hsync_counter(6) <= N_137;
6798 d_hsync_counter(7) <= N_138;
6799 d_hsync_counter(8) <= N_139;
6800 d_hsync_counter(9) <= N_140;
6801 d_vsync_counter(0) <= N_141;
6802 d_vsync_counter(1) <= N_142;
6803 d_vsync_counter(2) <= N_143;
6804 d_vsync_counter(3) <= N_144;
6805 d_vsync_counter(4) <= N_145;
6806 d_vsync_counter(5) <= N_146;
6807 d_vsync_counter(6) <= N_147;
6808 d_vsync_counter(7) <= N_148;
6809 d_vsync_counter(8) <= N_149;
6810 d_vsync_counter(9) <= N_150;
6811 d_set_hsync_counter <= N_151;
6812 d_set_vsync_counter <= N_152;
6813 d_h_enable <= N_153;
6814 d_v_enable <= N_154;
6818 d_hsync_state(6) <= N_158;
6819 d_hsync_state(5) <= N_159;
6820 d_hsync_state(4) <= N_160;
6821 d_hsync_state(3) <= N_161;
6822 d_hsync_state(2) <= N_162;
6823 d_hsync_state(1) <= N_163;
6824 d_hsync_state(0) <= N_164;
6825 d_vsync_state(6) <= N_165;
6826 d_vsync_state(5) <= N_166;
6827 d_vsync_state(4) <= N_167;
6828 d_vsync_state(3) <= N_168;
6829 d_vsync_state(2) <= N_169;
6830 d_vsync_state(1) <= N_170;
6831 d_vsync_state(0) <= N_171;
6832 d_state_clk <= N_172;
6834 d_toggle_counter(0) <= N_174;
6835 d_toggle_counter(1) <= N_175;
6836 d_toggle_counter(2) <= N_176;
6837 d_toggle_counter(3) <= N_177;
6838 d_toggle_counter(4) <= N_178;
6839 d_toggle_counter(5) <= N_179;
6840 d_toggle_counter(6) <= N_180;
6841 d_toggle_counter(7) <= N_181;
6842 d_toggle_counter(8) <= N_182;
6843 d_toggle_counter(9) <= N_183;
6844 d_toggle_counter(10) <= N_184;
6845 d_toggle_counter(11) <= N_185;
6846 d_toggle_counter(12) <= N_186;
6847 d_toggle_counter(13) <= N_187;
6848 d_toggle_counter(14) <= N_188;
6849 d_toggle_counter(15) <= N_189;
6850 d_toggle_counter(16) <= N_190;
6851 d_toggle_counter(17) <= N_191;
6852 d_toggle_counter(18) <= N_192;
6853 d_toggle_counter(19) <= N_193;
6854 d_toggle_counter(20) <= N_194;
6855 d_toggle_counter(21) <= N_195;
6856 d_toggle_counter(22) <= N_196;
6857 d_toggle_counter(23) <= N_197;
6858 d_toggle_counter(24) <= N_198;
6859 CLK_PIN_INTERNAL <= clk_pin;
6860 RESET_PIN_INTERNAL <= reset_pin;