immediate : std_logic_vector(WORD_WIDTH-1 downto 0);
+ displacement : gp_register_t;
+
jmptype : std_logic_vector(1 downto 0);
high_low, fill, signext, bp: std_logic;
op_group : op_info_t;
op_detail : op_opt_t;
brpr : std_logic;
+
+ displacement : gp_register_t;
src1 : gp_register_t;
src2 : gp_register_t;
dec_op_inst.saddr1 <= (others => '0');
dec_op_inst.saddr2 <= (others => '0');
dec_op_inst.daddr <= (others => '0');
-
+ dec_op_inst.displacement <= (others => '0');
elsif rising_edge(clk) then
rtw_rec <= rtw_rec_nxt;
dec_op_inst_nxt.saddr2 <= instr_spl.reg_src2_addr;
dec_op_inst_nxt.daddr <= instr_spl.reg_dest_addr; --(others => '0');
dec_op_inst_nxt.op_group <= instr_spl.op_group;
+ dec_op_inst_nxt.displacement <= instr_spl.displacement;
end process;
instr_s.signext := '0';
instr_s.bp := '0';
instr_s.op_detail := (others => '0');
+ instr_s.displacement := (others => '0');
instr_s.op_group := ADDSUB_OP;
if (instr_s.opcode = "01111" or instr_s.opcode = "10001" or instr_s.opcode = "10011" or instr_s.opcode = "10101") then
--when "01111" => --stw
- instr_s.reg_src1_addr := instruction(22 downto 19); -- register value
- instr_s.reg_src2_addr := instruction(18 downto 15); -- mem addr
- instr_s.immediate(14 downto 0) := instruction(14 downto 0);
+ instr_s.reg_src2_addr := instruction(22 downto 19); -- register value
+ instr_s.reg_src1_addr := instruction(18 downto 15); -- mem addr
+ instr_s.displacement(14 downto 0) := instruction(14 downto 0);
end if;
-- when "10001" => --sth