projects
/
calu.git
/ blob
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
history
|
raw
|
HEAD
Fixed some bugs.
[calu.git]
/
cpu
/
src
/
extension_pkg.vhd
1
library IEEE;
2
3
use IEEE.std_logic_1164.all;
4
use IEEE.numeric_std.all;
5
6
use work.common_pkg.all;
7
8
9
package extension_pkg is
10
11
type extmod_rec is record
12
clk : std_logic;
13
reset : std_logic;
14
sel : std_logic;
15
16
wr_en : std_logic;
17
byte_en : std_logic_vector(gp_register_t'length/byte_t'length-1 downto 0);
18
data : gp_register_t;
19
addr : gp_register_t;
20
end record;
21
22
23
end package extension_pkg;