library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.common_pkg.all; package extension_pkg is type extmod_rec is record clk : std_logic; reset : std_logic; sel : std_logic; wr_en : std_logic; byte_en : std_logic_vector(gp_register_t'length/byte_t'length-1 downto 0); data : gp_register_t; addr : gp_register_t; end record; end package extension_pkg;