cscope 15 /media/bigdata/Documents/uni/projekt_flexray/flashorn/91460_flash_programming_demo_mb91465k-v10/SRC 0000505034 @Flash.c 8  ~"Fsh.h " 9  ~"mb91465k.h " 11  gIFg ; 13 #agm i CODE = IRAM , =CODE 16  $FLASH_PWreHfWdMode () 20 #agm asm 21 ST RP ,@- R15 22 `STM0 ( R4 , R5 ) 23 `STM1 ( R12 ) 24 LDI #0 x01 , R4 ; S FLASH to 16B ad / wre Mode 25 LDI #0 x04 , R5 ; Go 4 times through day `lo (64 MHz CLKB ) 26 LDI #0 xBF60 , R12 27 CALL @ R12 28 `LDM1 ( R12 ) 29 `LDM0 ( R4 , R5 ) 30 LD @ R15 +, RP 31 #agm dasm 36 FMWT_ATD = 1; 37 FMWT_WEXH = 0; 38 FMWT_WTC = 8; 39 } } 41  $FLASH_PRdMode () 45 #agm asm 46 ST RP ,@- R15 47 `STM0 ( R4 , R5 ) 48 `STM1 ( R12 ) 49 LDI #0 x00 , R4 ; S FLASH to 32B ad / wre Mode 50 LDI #0 x04 , R5 ; Go 4 times through day `lo (64 MHz CLKB ) 51 LDI #0 xBF60 , R12 52 CALL @ R12 53 `LDM1 ( R12 ) 54 `LDM0 ( R4 , R5 ) 55 LD @ R15 +, RP 56 #agm dasm 61 FMWT_ATD = 1; 62 FMWT_EQ = 3; 63 FMWT_WTC = 4; 64 } } 67  $FLASH_SeE ( dr ) 69  ag = 0; 70 vީ vue = 0; 73 IFg = `FLASH_SaveDibIruFg (); 76 `FLASH_PWreHfWdMode (); 78 dr |= 0x0003; 81 * hq_1 = 0x00AA; 82 * hq_2 = 0x0055; 83 * hq_1 = 0x0080; 84 * hq_1 = 0x00AA; 85 * hq_2 = 0x0055; 86 *(*) dr = 0x0030; 89  !*(*) dr & SETIMR ) ) 92 HWWD_CL = 0; 95 if `FLASH_CheckPdgIru () ) 98 `FLASH_SudSeE ( dr ); 101 `FLASH_PRdMode (); 104 `FLASH_ReeIruFg ( IFg ); 107  `FLASH_CheckPdgIru ( HWWD_CL = 0; 110 IFg = `FLASH_SaveDibIruFg (); 113 `FLASH_PWreHfWdMode (); 116 `FLASH_ResumeSeE ( dr ); 121  ag == 0 ) 124 HWWD_CL = 0; 127 if `FLASH_CheckPdgIru () ) 130 `FLASH_SudSeE ( dr ); 133 `FLASH_PRdMode (); 136 `FLASH_ReeIruFg ( IFg ); 139  `FLASH_CheckPdgIru ( HWWD_CL = 0; 142 IFg = `FLASH_SaveDibIruFg (); 145 `FLASH_PWreHfWdMode (); 148 `FLASH_ResumeSeE ( dr ); 153 if*(*) dr & DPOLL ) ) 155 ag = 1; 157 if*(*) dr & TLOVER ) ) 159 if*(*) dr & DPOLL ) ) 161 ag = 1; 166 `FLASH_RdRet (); 168 ag = 2; 175 `FLASH_ReeIruFg ( IFg ); 178 `FLASH_PRdMode (); 180  ag ; 181 } } 183  $FLASH_SeBnkCheck ( ddr ,  size ) 185  cou ; 186  emy_ag = 0; 187  addr = ddr ; 190 FMCS_FIXE = 0; 192  cou = 0; cou < size ; count ++) 195 HWWD_CL = 0; 196 if*(*) addr !0xFFFFFFFF ) emy_ag = 1; 197 addr += 4; 201 FMCS_FIXE = 1; 203 if emy_ag != 0 ) 209 } } 211  $FLASH_WreHfWd ( adr ,  da ) 213  ag = 0; 216 IFg = `FLASH_SaveDibIruFg (); 219 `FLASH_PWreHfWdMode (); 222 * hq_1 = 0x00AA; 223 * hq_2 = 0x0055; 224 * hq_1 = 0x00A0; 225 *((vީ*) adr da ; 228  ag == 0 ) 231 HWWD_CL = 0; 233 if*(vީ*) adr & DPOLL ) =( da & DPOLL) ) 235 ag = 1; 237 if*(vީ*) adr & TLOVER ) == TLOVER ) 239 if*(vީ*) adr & DPOLL ) =( da & DPOLL) ) 241 ag = 1; 246 * hq_1 = 0x00F0; 248 ag = 2; 254 `FLASH_PRdMode (); 257 `FLASH_ReeIruFg ( IFg ); 259  ag ; 260 } } 263  $FLASH_RdRet () 265 * hq_1 = 0x00F0; 268 } } 270 #agm asm 271 g_FLASH_SaveDibIruFg : 272 $STM0 ( R0 ) 273 MOV PS , R4 274 LDI #0 x00000010 , R0 275 AND R0 , R4 ; Ste Orig Fg 276 ANDCCR #0 xFFFFFFEF ; Cˬ Iru Fg 277 $LDM0 ( R0 ) 278 RET 279 #agm dasm 282 #agm asm 283 _FLASH_ReeIruFg : 284 $STM0 ( R0 ) 285 MOV PS , R0 ; G cut PS 286 OR R4 , R0 ; S Fg as ved 287 MOV R0 , PS ; Wre back PS 288 $LDM0 ( R0 ) 289 RET 290 #agm dasm 293  $FLASH_SudSeE ( ddr ) 296 *(vީ*) ddr = 0x00B0; 299 !(*(*) ddr & DPOLL && (*(*)dd & SETIMR )) 301 HWWD_CL =0; 305 } } 307  $FLASH_ResumeSeE ( ddr ) 310 *(vީ*) ddr = 0x0030; 313 (*(*) ddr & DPOLL && !(*(*)dd & SETIMR )) 315 HWWD_CL =0; 319 } } 321  $FLASH_CheckPdgIru () 324 if( TMCSR0_UF )  1; 328 } } @Flash.h 8 #ide __FLASH_H__ 9  #__FLASH_H__ ) 11  ~"MB91465K.H " 13  #hq_1 ((vީ*)0x000A1557) ) 14  #hq_2 ((vީ*)0x000A0AAF) ) 17  #DPOLL 0x0080 ) 18  #TLOVER 0x0020 ) 19  #SETIMR 0x0008 ) 21  FLASH_PWreHfWdMode (); 22  FLASH_PRdMode (); 23  FLASH_WreHfWd ( adr ,  da ); 24  FLASH_SeE ( c_adr ); 25  FLASH_ChE (); 26  FLASH_SeBnkCheck ( ddr ,  size ); 27  FLASH_RdRet (); 28  FLASH_SudSeE ( ddr ); 29  FLASH_ResumeSeE ( ddr ); 30  FLASH_SaveDibIruFg (); 31  FLASH_ReeIruFg ( ag ); 32  FLASH_CheckPdgIru (); @MAIN.c 15  ~"mb91465k.h " 16  ~"ves.h " 17  ~"RLT.h " 18  ~"Fsh.h " 39  $ma () 41  r = 0; 42  glob_r = 0; 43  i ; 46 CSCFG_MONCKI = 1; 47 CMCFG = 0x0D; 49 `__EI (); 50 `__t_ (31); 51 `InIrqLevs (); 53 PORTEN = 0x3; 58 DDR27 = 0xFF; 59 PDR27 = 0x00; 62 `RLT_InlizeTim (0, RLT_RUMMODE_RELOAD , RLT_CLOCKMODE_DIV32 , RLT_TRIGGER_SOFTWARE , RLT_OUTOUTMODE_HIGHLEVEL ); 63 `RLT_SRdVue (0,0x1388); 64 `RLT_EbIru (0); 65 `RLT_TriggTim (0); 68 `InUt4 (); 71 `Puts4 (" \n\n"); 72 `Puts4 ("\n\n********** Welcomeo FUJITSU FLASH Programming Demo **********\n"); 75 `Puts4 ("Blank Check of FLASH Sectort 0xA0000 ... "); 76 r = `FLASH_SeBnkCheck (0xA0000, 0x4000); 77 if r == 1 ) 79 `Puts4 ("done.\n"); 83 `Puts4 ("failed.\n"); 87 i =0; 88 `Puts4 ("\nCurrent Content of FLASHt 0xA0000 ... 0xA001F:\n"); 89  i < 0x20) 91 `Puts4 ("0x"); `Puthex4 *(*)(0xA0000 + i ), 2); Puts4(" "); 92 i ++; 93 if( i % 0x10=0 ) `Puts4 ("\n"); 95 `Puts4 ("\n"); 98 `Puts4 ("Sector Erase of 0xA0000 ... "); 99 r = `FLASH_SeE (0xA0000); 100 r = `FLASH_SeE (0xA0004); 101 if r == 1 ) 103 `Puts4 ("done.\n"); 107 glob_r = 1; 108 `Puts4 ("failed.\n"); 112 `Puts4 ("Blank Check of FLASH Sectort 0xA0000 ... "); 113 r = `FLASH_SeBnkCheck (0xA0000, 0x4000); 114 if r == 1 ) 116 `Puts4 ("done.\n"); 120 glob_r = 1; 121 `Puts4 ("failed.\n"); 125 i =0; 126 `Puts4 ("\nCurrent Content of FLASHt 0xA0000 ... 0xA001F:\n"); 127  i < 0x20) 129 `Puts4 ("0x"); `Puthex4 *(*)(0xA0000 + i ), 2); Puts4(" "); 130 i ++; 131 if( i % 0x10=0 ) `Puts4 ("\n"); 133 `Puts4 ("\n"); 136 `Puts4 ("Write 0x55AAo 0xA0002 ... "); 137 r = `FLASH_WreHfWd (0xA0002,0x55AA); 138 if r == 1 ) 140 `Puts4 ("done.\n"); 144 glob_r = 1; 145 `Puts4 ("failed.\n"); 149 `Puts4 ("Write 0x33CCo 0xA0004 ... "); 150 r = `FLASH_WreHfWd (0xA0004,0x33CC); 151 if r == 1 ) 153 `Puts4 ("done.\n"); 157 glob_r = 1; 158 `Puts4 ("failed.\n"); 162 i =0; 163 `Puts4 ("\nCurrent Content of FLASHt 0xA0000 ... 0xA001F:\n"); 164  i < 0x20) 166 `Puts4 ("0x"); `Puthex4 *(*)(0xA0000 + i ), 2); Puts4(" "); 167 i ++; 168 if( i % 0x10=0 ) `Puts4 ("\n"); 170 `Puts4 ("\n"); 174 if glob_r != 0 ) 176 `Puts4 ("\n********* FLASH Programming Demo failed **********\n"); 180 `Puts4 ("\n********* FLASH Programming Demo done **********\n"); 186 HWWD_CL = 0; 195 } } @RLT.c 8  ~"RLT.h " 10  $RLT_InlizeTim ( chl ,  runmode ,  ockmode ,  iggmode ,  ouutmode ) 12  tvue = 0x00; 15 tvue |( ockmode << 10| ( iggmode << 7| (( ouutmode & 0x01<< 5| (( runmode & 0x01) << 4) | 0x02 ); 18  chl ) 22 TMCSR0 = tvue ; 27 TMCSR1 = tvue ; 32 TMCSR2 = tvue ; 37 TMCSR3 = tvue ; 42 TMCSR4 = tvue ; 47 TMCSR5 = tvue ; 52 TMCSR6 = tvue ; 57 TMCSR7 = tvue ; 61 } } 63  $RLT_SRdVue ( chl ,  vue ) 65  chl ) 69 TMRLR0 = vue ; 74 TMRLR1 = vue ; 79 TMRLR2 = vue ; 84 TMRLR3 = vue ; 89 TMRLR4 = vue ; 94 TMRLR5 = vue ; 99 TMRLR6 = vue ; 104 TMRLR7 = vue ; 108 } } 110  $RLT_TriggTim ( chl ) 112  chl ) 116 TMCSR0_TRG = 1; 121 TMCSR1_TRG = 1; 126 TMCSR2_TRG = 1; 131 TMCSR3_TRG = 1; 136 TMCSR4_TRG = 1; 141 TMCSR5_TRG = 1; 146 TMCSR6_TRG = 1; 151 TMCSR7_TRG = 1; 155 } } 157  $RLT_EbIru ( chl ) 159  chl ) 164 TMCSR0_UF = 0; 167 TMCSR0_INTE = 1; 173 TMCSR1_UF = 0; 176 TMCSR1_INTE = 1; 182 TMCSR2_UF = 0; 185 TMCSR2_INTE = 1; 191 TMCSR3_UF = 0; 194 TMCSR3_INTE = 1; 200 TMCSR4_UF = 0; 203 TMCSR4_INTE = 1; 209 TMCSR5_UF = 0; 212 TMCSR5_INTE = 1; 218 TMCSR6_UF = 0; 221 TMCSR6_INTE = 1; 227 TMCSR7_UF = 0; 230 TMCSR7_INTE = 1; 234 } } 236 __u  $RLT_Chl0_ISR () 239 TMCSR0_UF = 0; 242 PDR27 ++; 243 } } 245 __u  $RLT_Chl1_ISR () 248 TMCSR1_UF = 0; 251 } } 253 __u  $RLT_Chl2_ISR () 256 TMCSR2_UF = 0; 259 } } 261 __u  $RLT_Chl3_ISR () 264 TMCSR3_UF = 0; 267 } } 269 __u  $RLT_Chl4_ISR () 272 TMCSR4_UF = 0; 275 } } 277 __u  $RLT_Chl5_ISR () 280 TMCSR5_UF = 0; 283 } } 285 __u  $RLT_Chl6_ISR () 288 TMCSR6_UF = 0; 291 } } 293 __u  $RLT_Chl7_ISR () 296 TMCSR7_UF = 0; 299 } } @RLT.h 8 #ide __RLT_H__ 9  #__RLT_H__ ) 11  ~"MB91465K.h " 13  #RLT_RUNMODE_ONESHOT 0x00 ) 14  #RLT_RUMMODE_RELOAD 0x01 ) 16  #RLT_CLOCKMODE_DIV2 0x00 ) 17  #RLT_CLOCKMODE_DIV8 0x01 ) 18  #RLT_CLOCKMODE_DIV32 0x02 ) 19  #RLT_CLOCKMODE_EXT 0x03 ) 20  #RLT_CLOCKMODE_DIV64 0x05 ) 21  #RLT_CLOCKMODE_DIV128 0x06 ) 23  #RLT_TRIGGER_SOFTWARE 0x00 ) 24  #RLT_TRIGGER_EXT_FALLINGEDGE 0x01 ) 25  #RLT_TRIGGER_EXT_RISINGEDGE 0x02 ) 26  #RLT_TRIGGER_BOTHEDGES 0x03 ) 28  #RLT_OUTOUTMODE_HIGHLEVEL 0x00 ) 29  #RLT_OUTPUTMODE_LOWLEVEL 0x01 ) 31  RLT_InlizeTim ( chl ,  runmode ,  ockmode ,  iggmode ,  ouutmode ); 32  RLT_SRdVue ( chl ,  vue ); 33  RLT_EbIru ( chl ); 34  RLT_TriggTim ( chl ); 36 __u  RLT_Chl0_ISR (); 37 __u  RLT_Chl1_ISR (); 38 __u  RLT_Chl2_ISR (); 39 __u  RLT_Chl3_ISR (); 40 __u  RLT_Chl4_ISR (); 41 __u  RLT_Chl5_ISR (); 42 __u  RLT_Chl6_ISR (); 43 __u  RLT_Chl7_ISR (); @mb91465k.h 32 #ifde __IO_DEFINE 33  #__IO_EXTERN ) 35  #__IO_EXTERN vީe ) 37 #ifde __IO_DEFINE 38 #agm asm 39 . GLOBAL _pdr14 , _pdr15 , _pdr16 , _pdr17 , _pdr18 , _pdr19 40 . GLOBAL _pdr20 , _pdr21 , _pdr22 , _pdr24 , _pdr26 , _pdr27 41 . GLOBAL _pdr28 , _pdr29 , _er0 , _0 , _vr0 , _er1 42 . GLOBAL _1 , _vr1 , _di , _hr , _rbsync , _s00 43 . GLOBAL _smr00 , _s00 , _rdr00 , _tdr00 , _es00 , _ec00 44 . GLOBAL _s01 , _smr01 , _s01 , _rdr01 , _tdr01 , _es01 45 . GLOBAL _ec01 , _s02 , _smr02 , _s02 , _rdr02 , _tdr02 46 . GLOBAL _es02 , _ec02 , _s03 , _smr03 , _s03 , _rdr03 47 . GLOBAL _tdr03 , _es03 , _ec03 , _s04 , _smr04 , _s04 48 . GLOBAL _rdr04 , _tdr04 , _es04 , _ec04 , _f04 , _f04 49 . GLOBAL _bgr00 , _bgr100 , _bgr000 , _bgr01 , _bgr101 , _bgr001 50 . GLOBAL _bgr02 , _bgr102 , _bgr002 , _bgr03 , _bgr103 , _bgr003 51 . GLOBAL _bgr04 , _bgr104 , _bgr004 , _ib0 , _ib0 , _ba0 52 . GLOBAL _bah0 , _b0 , _mk0 , _mkh0 , _mkl0 , _ismk0 53 . GLOBAL _isba0 , _id0 , _ic0 , _g10 , _g20 , _g11 54 . GLOBAL _g21 , _g12 , _g22 , _mr00 , _pc00 , _pdut00 55 . GLOBAL _p00 , _ph00 , _pl00 , _mr01 , _pc01 , _pdut01 56 . GLOBAL _p01 , _ph01 , _pl01 , _mr02 , _pc02 , _pdut02 57 . GLOBAL _p02 , _ph02 , _pl02 , _mr03 , _pc03 , _pdut03 58 . GLOBAL _p03 , _ph03 , _pl03 , _mr04 , _pc04 , _pdut04 59 . GLOBAL _p04 , _ph04 , _pl04 , _mr05 , _pc05 , _pdut05 60 . GLOBAL _p05 , _ph05 , _pl05 , _mr06 , _pc06 , _pdut06 61 . GLOBAL _p06 , _ph06 , _pl06 , _mr07 , _pc07 , _pdut07 62 . GLOBAL _p07 , _ph07 , _pl07 , _mr08 , _pc08 , _pdut08 63 . GLOBAL _p08 , _ph08 , _pl08 , _mr09 , _pc09 , _pdut09 64 . GLOBAL _p09 , _ph09 , _pl09 , _mr10 , _pc10 , _pdut10 65 . GLOBAL _p10 , _ph10 , _pl10 , _mr11 , _pc11 , _pdut11 66 . GLOBAL _p11 , _ph11 , _pl11 , _ics01 , _ics23 , _0 67 . GLOBAL _1 , _2 , _3 , _ocs01 , _ocs23 , _oc0 68 . GLOBAL _oc1 , _oc2 , _oc3 , _adh , _adl , _ad 69 . GLOBAL _adcs1 , _adcs0 , _adcs , _ad1 , _ad0 , _ad 70 . GLOBAL _ad1 , _ad0 , _ad , _adsch , _adech , _tmr0 71 . GLOBAL _tmr0 , _tmc0 , _tmch0 , _tmcl0 , _tmr1 , _tmr1 72 . GLOBAL _tmc1 , _tmch1 , _tmcl1 , _tmr2 , _tmr2 , _tmc2 73 . GLOBAL _tmch2 , _tmcl2 , _tmr3 , _tmr3 , _tmc3 , _tmch3 74 . GLOBAL _tmcl3 , _tmr4 , _tmr4 , _tmc4 , _tmch4 , _tmcl4 75 . GLOBAL _tmr5 , _tmr5 , _tmc5 , _tmch5 , _tmcl5 , _tmr6 76 . GLOBAL _tmr6 , _tmc6 , _tmch6 , _tmcl6 , _tmr7 , _tmr7 77 . GLOBAL _tmc7 , _tmch7 , _tmcl7 , _tcdt0 , _tccs0 , _tcdt1 78 . GLOBAL _tccs1 , _tcdt2 , _tccs2 , _tcdt3 , _tccs3 , _dma0 79 . GLOBAL _dmacb0 , _dma1 , _dmacb1 , _dma2 , _dmacb2 , _dma3 80 . GLOBAL _dmacb3 , _dma4 , _dmacb4 , _dma , _ics45 , _ics67 81 . GLOBAL _4 , _5 , _6 , _7 , _ocs45 , _ocs67 82 . GLOBAL _oc4 , _oc5 , _oc6 , _oc7 , _tcdt4 , _tccs4 83 . GLOBAL _tcdt5 , _tccs5 , _tcdt6 , _tccs6 , _tcdt7 , _tccs7 84 . GLOBAL _roms , _bsd0 , _bsd1 , _bsdc , _br , _i00 85 . GLOBAL _i01 , _i02 , _i03 , _i04 , _i05 , _i06 86 . GLOBAL _i07 , _i08 , _i09 , _i10 , _i11 , _i12 87 . GLOBAL _i13 , _i14 , _i15 , _i16 , _i17 , _i18 88 . GLOBAL _i19 , _i20 , _i21 , _i22 , _i23 , _i24 89 . GLOBAL _i25 , _i26 , _i27 , _i28 , _i29 , _i30 90 . GLOBAL _i31 , _i32 , _i33 , _i34 , _i35 , _i36 91 . GLOBAL _i37 , _i38 , _i39 , _i40 , _i41 , _i42 92 . GLOBAL _i43 , _i44 , _i45 , _i46 , _i47 , _i48 93 . GLOBAL _i49 , _i50 , _i51 , _i52 , _i53 , _i54 94 . GLOBAL _i55 , _i56 , _i57 , _i58 , _i59 , _i60 95 . GLOBAL _i61 , _i62 , _i63 , _rr , _ , _tb 96 . GLOBAL _br , _kr , _w , _divr0 , _divr1 , _ldivm 97 . GLOBAL _ldivn , _ldivg , _lmulg , _l , _oscc1 , _oscs1 98 . GLOBAL _oscc2 , _oscs2 , _p܋n , _wtr , _wt , _wtbr 99 . GLOBAL _wthr , _wtmr , _wt , _csv , _csv , _cscfg 100 . GLOBAL _cmcfg , _cu , _cutd , _cu1 , _cu2 , _cm 101 . GLOBAL _cm , _cmt1 , _cmt2 , _Ż , _nckd , _lvl 102 . GLOBAL _lvd , _hwwde , _hwwd , _osh , _osl , _wph 103 . GLOBAL _wpl , _osc , _gl , _gr , _modr , _pdrd14 104 . GLOBAL _pdrd15 , _pdrd16 , _pdrd17 , _pdrd18 , _pdrd19 , _pdrd20 105 . GLOBAL _pdrd21 , _pdrd22 , _pdrd24 , _pdrd26 , _pdrd27 , _pdrd28 106 . GLOBAL _pdrd29 , _ddr14 , _ddr15 , _ddr16 , _ddr17 , _ddr18 107 . GLOBAL _ddr19 , _ddr20 , _ddr21 , _ddr22 , _ddr24 , _ddr26 108 . GLOBAL _ddr27 , _ddr28 , _ddr29 , _p14 , _p15 , _p16 109 . GLOBAL _p17 , _p18 , _p19 , _p20 , _p21 , _p22 110 . GLOBAL _p24 , _p26 , _p27 , _p28 , _p29 , _14 111 . GLOBAL _15 , _16 , _17 , _18 , _19 , _20 112 . GLOBAL _21 , _22 , _24 , _26 , _27 , _29 113 . GLOBAL _podr14 , _podr15 , _podr16 , _podr17 , _podr18 , _podr19 114 . GLOBAL _podr20 , _podr21 , _podr22 , _podr24 , _podr26 , _podr27 115 . GLOBAL _podr28 , _podr29 , _pr14 , _pr15 , _pr16 , _pr17 116 . GLOBAL _pr18 , _pr19 , _pr20 , _pr21 , _pr22 , _pr24 117 . GLOBAL _pr26 , _pr27 , _pr28 , _pr29 , _r14 , _r15 118 . GLOBAL _r16 , _r17 , _r18 , _r19 , _r20 , _r21 119 . GLOBAL _r22 , _r24 , _r26 , _r27 , _r28 , _r29 120 . GLOBAL _14 , _15 , _16 , _17 , _18 , _19 121 . GLOBAL _20 , _21 , _22 , _24 , _26 , _27 122 . GLOBAL _28 , _29 , _14 , _15 , _16 , _17 123 . GLOBAL _18 , _19 , _20 , _21 , _22 , _24 124 . GLOBAL _26 , _27 , _28 , _29 , _dma0 , _dmada0 125 . GLOBAL _dma1 , _dmada1 , _dma2 , _dmada2 , _dma3 , _dmada3 126 . GLOBAL _dma4 , _dmada4 , _fmcs , _fm , _fch , _fmwt 127 . GLOBAL _fmwt2 , _fmps , _fmac , _fcha0 , _fcha1 , _fs0 128 . GLOBAL _fs1 , _r4 , _r4 , _rt4 , _b4 , _4 129 . GLOBAL _r4 , _b4 , _be4 , _if1eq4 , _if1cmsk4 , _if1msk124 130 . GLOBAL _if1msk24 , _if1msk14 , _if1b124 , _if1b24 , _if1b14 , _if1mr4 131 . GLOBAL _if1d124 , _if1d14 , _if1d24 , _if1dtb124 , _if1dtb14 , _if1dtb24 132 . GLOBAL _if1d_swp124 , _if1d_swp24 , _if1d_swp14 , _if1dtb_swp124 , _if1dtb_swp24 , _if1dtb_swp14 133 . GLOBAL _if2eq4 , _if2cmsk4 , _if2msk124 , _if2msk24 , _if2msk14 , _if2b124 134 . GLOBAL _if2b24 , _if2b14 , _if2mr4 , _if2d124 , _if2d14 , _if2d24 135 . GLOBAL _if2dtb124 , _if2dtb14 , _if2dtb24 , _if2d_swp124 , _if2d_swp24 , _if2d_swp14 136 . GLOBAL _if2dtb_swp124 , _if2dtb_swp24 , _if2dtb_swp14 , _eqr124 , _eqr24 , _eqr14 137 . GLOBAL _eqr344 , _wdt124 , _wdt24 , _wdt14 , _nd124 , _nd24 138 . GLOBAL _nd14 , _msgv124 , _msgv24 , _msgv14 , _b , _b 139 . GLOBAL _bc , _bc , _bq , _b0 , _b1 , _bad0 140 . GLOBAL _bad1 , _bad2 , _bad3 , _bad4 , _bad5 , _bad6 141 . GLOBAL _bad7 , _fsv1 , _bsv1 , _fsv2 , _bsv2 143 _pdr14 . EQU 0x00000E 144 PDR14 . EQU 0x00000E 145 _pdr15 . EQU 0x00000F 146 PDR15 . EQU 0x00000F 147 _pdr16 . EQU 0x000010 148 PDR16 . EQU 0x000010 149 _pdr17 . EQU 0x000011 150 PDR17 . EQU 0x000011 151 _pdr18 . EQU 0x000012 152 PDR18 . EQU 0x000012 153 _pdr19 . EQU 0x000013 154 PDR19 . EQU 0x000013 155 _pdr20 . EQU 0x000014 156 PDR20 . EQU 0x000014 157 _pdr21 . EQU 0x000015 158 PDR21 . EQU 0x000015 159 _pdr22 . EQU 0x000016 160 PDR22 . EQU 0x000016 161 _pdr24 . EQU 0x000018 162 PDR24 . EQU 0x000018 163 _pdr26 . EQU 0x00001A 164 PDR26 . EQU 0x00001A 165 _pdr27 . EQU 0x00001B 166 PDR27 . EQU 0x00001B 167 _pdr28 . EQU 0x00001C 168 PDR28 . EQU 0x00001C 169 _pdr29 . EQU 0x00001D 170 PDR29 . EQU 0x00001D 171 _er0 . EQU 0x000030 172 EIRR0 . EQU 0x000030 173 _0 . EQU 0x000031 174 ENIR0 . EQU 0x000031 175 _vr0 . EQU 0x000032 176 ELVR0 . EQU 0x000032 177 _er1 . EQU 0x000034 178 EIRR1 . EQU 0x000034 179 _1 . EQU 0x000035 180 ENIR1 . EQU 0x000035 181 _vr1 . EQU 0x000036 182 ELVR1 . EQU 0x000036 183 _di . EQU 0x000038 184 DICR . EQU 0x000038 185 _hr . EQU 0x000039 186 HRCL . EQU 0x000039 187 _rbsync . EQU 0x00003A 188 RBSYNC . EQU 0x00003A 189 _s00 . EQU 0x000040 190 SCR00 . EQU 0x000040 191 _smr00 . EQU 0x000041 192 SMR00 . EQU 0x000041 193 _s00 . EQU 0x000042 194 SSR00 . EQU 0x000042 195 _rdr00 . EQU 0x000043 196 RDR00 . EQU 0x000043 197 _tdr00 . EQU 0x000043 198 TDR00 . EQU 0x000043 199 _es00 . EQU 0x000044 200 ESCR00 . EQU 0x000044 201 _ec00 . EQU 0x000045 202 ECCR00 . EQU 0x000045 203 _s01 . EQU 0x000048 204 SCR01 . EQU 0x000048 205 _smr01 . EQU 0x000049 206 SMR01 . EQU 0x000049 207 _s01 . EQU 0x00004A 208 SSR01 . EQU 0x00004A 209 _rdr01 . EQU 0x00004B 210 RDR01 . EQU 0x00004B 211 _tdr01 . EQU 0x00004B 212 TDR01 . EQU 0x00004B 213 _es01 . EQU 0x00004C 214 ESCR01 . EQU 0x00004C 215 _ec01 . EQU 0x00004D 216 ECCR01 . EQU 0x00004D 217 _s02 . EQU 0x000050 218 SCR02 . EQU 0x000050 219 _smr02 . EQU 0x000051 220 SMR02 . EQU 0x000051 221 _s02 . EQU 0x000052 222 SSR02 . EQU 0x000052 223 _rdr02 . EQU 0x000053 224 RDR02 . EQU 0x000053 225 _tdr02 . EQU 0x000053 226 TDR02 . EQU 0x000053 227 _es02 . EQU 0x000054 228 ESCR02 . EQU 0x000054 229 _ec02 . EQU 0x000055 230 ECCR02 . EQU 0x000055 231 _s03 . EQU 0x000058 232 SCR03 . EQU 0x000058 233 _smr03 . EQU 0x000059 234 SMR03 . EQU 0x000059 235 _s03 . EQU 0x00005A 236 SSR03 . EQU 0x00005A 237 _rdr03 . EQU 0x00005B 238 RDR03 . EQU 0x00005B 239 _tdr03 . EQU 0x00005B 240 TDR03 . EQU 0x00005B 241 _es03 . EQU 0x00005C 242 ESCR03 . EQU 0x00005C 243 _ec03 . EQU 0x00005D 244 ECCR03 . EQU 0x00005D 245 _s04 . EQU 0x000060 246 SCR04 . EQU 0x000060 247 _smr04 . EQU 0x000061 248 SMR04 . EQU 0x000061 249 _s04 . EQU 0x000062 250 SSR04 . EQU 0x000062 251 _rdr04 . EQU 0x000063 252 RDR04 . EQU 0x000063 253 _tdr04 . EQU 0x000063 254 TDR04 . EQU 0x000063 255 _es04 . EQU 0x000064 256 ESCR04 . EQU 0x000064 257 _ec04 . EQU 0x000065 258 ECCR04 . EQU 0x000065 259 _f04 . EQU 0x000066 260 FSR04 . EQU 0x000066 261 _f04 . EQU 0x000067 262 FCR04 . EQU 0x000067 263 _bgr00 . EQU 0x000080 264 BGR00 . EQU 0x000080 265 _bgr100 . EQU 0x000080 266 BGR100 . EQU 0x000080 267 _bgr000 . EQU 0x000081 268 BGR000 . EQU 0x000081 269 _bgr01 . EQU 0x000082 270 BGR01 . EQU 0x000082 271 _bgr101 . EQU 0x000082 272 BGR101 . EQU 0x000082 273 _bgr001 . EQU 0x000083 274 BGR001 . EQU 0x000083 275 _bgr02 . EQU 0x000084 276 BGR02 . EQU 0x000084 277 _bgr102 . EQU 0x000084 278 BGR102 . EQU 0x000084 279 _bgr002 . EQU 0x000085 280 BGR002 . EQU 0x000085 281 _bgr03 . EQU 0x000086 282 BGR03 . EQU 0x000086 283 _bgr103 . EQU 0x000086 284 BGR103 . EQU 0x000086 285 _bgr003 . EQU 0x000087 286 BGR003 . EQU 0x000087 287 _bgr04 . EQU 0x000088 288 BGR04 . EQU 0x000088 289 _bgr104 . EQU 0x000088 290 BGR104 . EQU 0x000088 291 _bgr004 . EQU 0x000089 292 BGR004 . EQU 0x000089 293 _ib0 . EQU 0x0000D0 294 IBCR0 . EQU 0x0000D0 295 _ib0 . EQU 0x0000D1 296 IBSR0 . EQU 0x0000D1 297 _ba0 . EQU 0x0000D2 298 ITBA0 . EQU 0x0000D2 299 _bah0 . EQU 0x0000D2 300 ITBAH0 . EQU 0x0000D2 301 _b0 . EQU 0x0000D3 302 ITBAL0 . EQU 0x0000D3 303 _mk0 . EQU 0x0000D4 304 ITMK0 . EQU 0x0000D4 305 _mkh0 . EQU 0x0000D4 306 ITMKH0 . EQU 0x0000D4 307 _mkl0 . EQU 0x0000D5 308 ITMKL0 . EQU 0x0000D5 309 _ismk0 . EQU 0x0000D6 310 ISMK0 . EQU 0x0000D6 311 _isba0 . EQU 0x0000D7 312 ISBA0 . EQU 0x0000D7 313 _id0 . EQU 0x0000D9 314 IDAR0 . EQU 0x0000D9 315 _ic0 . EQU 0x0000DA 316 ICCR0 . EQU 0x0000DA 317 _g10 . EQU 0x000100 318 GCN10 . EQU 0x000100 319 _g20 . EQU 0x000103 320 GCN20 . EQU 0x000103 321 _g11 . EQU 0x000104 322 GCN11 . EQU 0x000104 323 _g21 . EQU 0x000107 324 GCN21 . EQU 0x000107 325 _g12 . EQU 0x000108 326 GCN12 . EQU 0x000108 327 _g22 . EQU 0x00010B 328 GCN22 . EQU 0x00010B 329 _mr00 . EQU 0x000110 330 PTMR00 . EQU 0x000110 331 _pc00 . EQU 0x000112 332 PCSR00 . EQU 0x000112 333 _pdut00 . EQU 0x000114 334 PDUT00 . EQU 0x000114 335 _p00 . EQU 0x000116 336 PCN00 . EQU 0x000116 337 _ph00 . EQU 0x000116 338 PCNH00 . EQU 0x000116 339 _pl00 . EQU 0x000117 340 PCNL00 . EQU 0x000117 341 _mr01 . EQU 0x000118 342 PTMR01 . EQU 0x000118 343 _pc01 . EQU 0x00011A 344 PCSR01 . EQU 0x00011A 345 _pdut01 . EQU 0x00011C 346 PDUT01 . EQU 0x00011C 347 _p01 . EQU 0x00011E 348 PCN01 . EQU 0x00011E 349 _ph01 . EQU 0x00011E 350 PCNH01 . EQU 0x00011E 351 _pl01 . EQU 0x00011F 352 PCNL01 . EQU 0x00011F 353 _mr02 . EQU 0x000120 354 PTMR02 . EQU 0x000120 355 _pc02 . EQU 0x000122 356 PCSR02 . EQU 0x000122 357 _pdut02 . EQU 0x000124 358 PDUT02 . EQU 0x000124 359 _p02 . EQU 0x000126 360 PCN02 . EQU 0x000126 361 _ph02 . EQU 0x000126 362 PCNH02 . EQU 0x000126 363 _pl02 . EQU 0x000127 364 PCNL02 . EQU 0x000127 365 _mr03 . EQU 0x000128 366 PTMR03 . EQU 0x000128 367 _pc03 . EQU 0x00012A 368 PCSR03 . EQU 0x00012A 369 _pdut03 . EQU 0x00012C 370 PDUT03 . EQU 0x00012C 371 _p03 . EQU 0x00012E 372 PCN03 . EQU 0x00012E 373 _ph03 . EQU 0x00012E 374 PCNH03 . EQU 0x00012E 375 _pl03 . EQU 0x00012F 376 PCNL03 . EQU 0x00012F 377 _mr04 . EQU 0x000130 378 PTMR04 . EQU 0x000130 379 _pc04 . EQU 0x000132 380 PCSR04 . EQU 0x000132 381 _pdut04 . EQU 0x000134 382 PDUT04 . EQU 0x000134 383 _p04 . EQU 0x000136 384 PCN04 . EQU 0x000136 385 _ph04 . EQU 0x000136 386 PCNH04 . EQU 0x000136 387 _pl04 . EQU 0x000137 388 PCNL04 . EQU 0x000137 389 _mr05 . EQU 0x000138 390 PTMR05 . EQU 0x000138 391 _pc05 . EQU 0x00013A 392 PCSR05 . EQU 0x00013A 393 _pdut05 . EQU 0x00013C 394 PDUT05 . EQU 0x00013C 395 _p05 . EQU 0x00013E 396 PCN05 . EQU 0x00013E 397 _ph05 . EQU 0x00013E 398 PCNH05 . EQU 0x00013E 399 _pl05 . EQU 0x00013F 400 PCNL05 . EQU 0x00013F 401 _mr06 . EQU 0x000140 402 PTMR06 . EQU 0x000140 403 _pc06 . EQU 0x000142 404 PCSR06 . EQU 0x000142 405 _pdut06 . EQU 0x000144 406 PDUT06 . EQU 0x000144 407 _p06 . EQU 0x000146 408 PCN06 . EQU 0x000146 409 _ph06 . EQU 0x000146 410 PCNH06 . EQU 0x000146 411 _pl06 . EQU 0x000147 412 PCNL06 . EQU 0x000147 413 _mr07 . EQU 0x000148 414 PTMR07 . EQU 0x000148 415 _pc07 . EQU 0x00014A 416 PCSR07 . EQU 0x00014A 417 _pdut07 . EQU 0x00014C 418 PDUT07 . EQU 0x00014C 419 _p07 . EQU 0x00014E 420 PCN07 . EQU 0x00014E 421 _ph07 . EQU 0x00014E 422 PCNH07 . EQU 0x00014E 423 _pl07 . EQU 0x00014F 424 PCNL07 . EQU 0x00014F 425 _mr08 . EQU 0x000150 426 PTMR08 . EQU 0x000150 427 _pc08 . EQU 0x000152 428 PCSR08 . EQU 0x000152 429 _pdut08 . EQU 0x000154 430 PDUT08 . EQU 0x000154 431 _p08 . EQU 0x000156 432 PCN08 . EQU 0x000156 433 _ph08 . EQU 0x000156 434 PCNH08 . EQU 0x000156 435 _pl08 . EQU 0x000157 436 PCNL08 . EQU 0x000157 437 _mr09 . EQU 0x000158 438 PTMR09 . EQU 0x000158 439 _pc09 . EQU 0x00015A 440 PCSR09 . EQU 0x00015A 441 _pdut09 . EQU 0x00015C 442 PDUT09 . EQU 0x00015C 443 _p09 . EQU 0x00015E 444 PCN09 . EQU 0x00015E 445 _ph09 . EQU 0x00015E 446 PCNH09 . EQU 0x00015E 447 _pl09 . EQU 0x00015F 448 PCNL09 . EQU 0x00015F 449 _mr10 . EQU 0x000160 450 PTMR10 . EQU 0x000160 451 _pc10 . EQU 0x000162 452 PCSR10 . EQU 0x000162 453 _pdut10 . EQU 0x000164 454 PDUT10 . EQU 0x000164 455 _p10 . EQU 0x000166 456 PCN10 . EQU 0x000166 457 _ph10 . EQU 0x000166 458 PCNH10 . EQU 0x000166 459 _pl10 . EQU 0x000167 460 PCNL10 . EQU 0x000167 461 _mr11 . EQU 0x000168 462 PTMR11 . EQU 0x000168 463 _pc11 . EQU 0x00016A 464 PCSR11 . EQU 0x00016A 465 _pdut11 . EQU 0x00016C 466 PDUT11 . EQU 0x00016C 467 _p11 . EQU 0x00016E 468 PCN11 . EQU 0x00016E 469 _ph11 . EQU 0x00016E 470 PCNH11 . EQU 0x00016E 471 _pl11 . EQU 0x00016F 472 PCNL11 . EQU 0x00016F 473 _ics01 . EQU 0x000181 474 ICS01 . EQU 0x000181 475 _ics23 . EQU 0x000183 476 ICS23 . EQU 0x000183 477 _0 . EQU 0x000184 478 IPCP0 . EQU 0x000184 479 _1 . EQU 0x000186 480 IPCP1 . EQU 0x000186 481 _2 . EQU 0x000188 482 IPCP2 . EQU 0x000188 483 _3 . EQU 0x00018A 484 IPCP3 . EQU 0x00018A 485 _ocs01 . EQU 0x00018C 486 OCS01 . EQU 0x00018C 487 _ocs23 . EQU 0x00018E 488 OCS23 . EQU 0x00018E 489 _oc0 . EQU 0x000190 490 OCCP0 . EQU 0x000190 491 _oc1 . EQU 0x000192 492 OCCP1 . EQU 0x000192 493 _oc2 . EQU 0x000194 494 OCCP2 . EQU 0x000194 495 _oc3 . EQU 0x000196 496 OCCP3 . EQU 0x000196 497 _adh . EQU 0x0001A0 498 ADERH . EQU 0x0001A0 499 _adl . EQU 0x0001A2 500 ADERL . EQU 0x0001A2 501 _ad . EQU 0x0001A0 502 ADER . EQU 0x0001A0 503 _adcs1 . EQU 0x0001A4 504 ADCS1 . EQU 0x0001A4 505 _adcs0 . EQU 0x0001A5 506 ADCS0 . EQU 0x0001A5 507 _adcs . EQU 0x0001A4 508 ADCS . EQU 0x0001A4 509 _ad1 . EQU 0x0001A6 510 ADCR1 . EQU 0x0001A6 511 _ad0 . EQU 0x0001A7 512 ADCR0 . EQU 0x0001A7 513 _ad . EQU 0x0001A6 514 ADCR . EQU 0x0001A6 515 _ad1 . EQU 0x0001A8 516 ADCT1 . EQU 0x0001A8 517 _ad0 . EQU 0x0001A9 518 ADCT0 . EQU 0x0001A9 519 _ad . EQU 0x0001A8 520 ADCT . EQU 0x0001A8 521 _adsch . EQU 0x0001AA 522 ADSCH . EQU 0x0001AA 523 _adech . EQU 0x0001AB 524 ADECH . EQU 0x0001AB 525 _tmr0 . EQU 0x0001B0 526 TMRLR0 . EQU 0x0001B0 527 _tmr0 . EQU 0x0001B2 528 TMR0 . EQU 0x0001B2 529 _tmc0 . EQU 0x0001B6 530 TMCSR0 . EQU 0x0001B6 531 _tmch0 . EQU 0x0001B6 532 TMCSRH0 . EQU 0x0001B6 533 _tmcl0 . EQU 0x0001B7 534 TMCSRL0 . EQU 0x0001B7 535 _tmr1 . EQU 0x0001B8 536 TMRLR1 . EQU 0x0001B8 537 _tmr1 . EQU 0x0001BA 538 TMR1 . EQU 0x0001BA 539 _tmc1 . EQU 0x0001BE 540 TMCSR1 . EQU 0x0001BE 541 _tmch1 . EQU 0x0001BE 542 TMCSRH1 . EQU 0x0001BE 543 _tmcl1 . EQU 0x0001BF 544 TMCSRL1 . EQU 0x0001BF 545 _tmr2 . EQU 0x0001C0 546 TMRLR2 . EQU 0x0001C0 547 _tmr2 . EQU 0x0001C2 548 TMR2 . EQU 0x0001C2 549 _tmc2 . EQU 0x0001C6 550 TMCSR2 . EQU 0x0001C6 551 _tmch2 . EQU 0x0001C6 552 TMCSRH2 . EQU 0x0001C6 553 _tmcl2 . EQU 0x0001C7 554 TMCSRL2 . EQU 0x0001C7 555 _tmr3 . EQU 0x0001C8 556 TMRLR3 . EQU 0x0001C8 557 _tmr3 . EQU 0x0001CA 558 TMR3 . EQU 0x0001CA 559 _tmc3 . EQU 0x0001CE 560 TMCSR3 . EQU 0x0001CE 561 _tmch3 . EQU 0x0001CE 562 TMCSRH3 . EQU 0x0001CE 563 _tmcl3 . EQU 0x0001CF 564 TMCSRL3 . EQU 0x0001CF 565 _tmr4 . EQU 0x0001D0 566 TMRLR4 . EQU 0x0001D0 567 _tmr4 . EQU 0x0001D2 568 TMR4 . EQU 0x0001D2 569 _tmc4 . EQU 0x0001D6 570 TMCSR4 . EQU 0x0001D6 571 _tmch4 . EQU 0x0001D6 572 TMCSRH4 . EQU 0x0001D6 573 _tmcl4 . EQU 0x0001D7 574 TMCSRL4 . EQU 0x0001D7 575 _tmr5 . EQU 0x0001D8 576 TMRLR5 . EQU 0x0001D8 577 _tmr5 . EQU 0x0001DA 578 TMR5 . EQU 0x0001DA 579 _tmc5 . EQU 0x0001DE 580 TMCSR5 . EQU 0x0001DE 581 _tmch5 . EQU 0x0001DE 582 TMCSRH5 . EQU 0x0001DE 583 _tmcl5 . EQU 0x0001DF 584 TMCSRL5 . EQU 0x0001DF 585 _tmr6 . EQU 0x0001E0 586 TMRLR6 . EQU 0x0001E0 587 _tmr6 . EQU 0x0001E2 588 TMR6 . EQU 0x0001E2 589 _tmc6 . EQU 0x0001E6 590 TMCSR6 . EQU 0x0001E6 591 _tmch6 . EQU 0x0001E6 592 TMCSRH6 . EQU 0x0001E6 593 _tmcl6 . EQU 0x0001E7 594 TMCSRL6 . EQU 0x0001E7 595 _tmr7 . EQU 0x0001E8 596 TMRLR7 . EQU 0x0001E8 597 _tmr7 . EQU 0x0001EA 598 TMR7 . EQU 0x0001EA 599 _tmc7 . EQU 0x0001EE 600 TMCSR7 . EQU 0x0001EE 601 _tmch7 . EQU 0x0001EE 602 TMCSRH7 . EQU 0x0001EE 603 _tmcl7 . EQU 0x0001EF 604 TMCSRL7 . EQU 0x0001EF 605 _tcdt0 . EQU 0x0001F0 606 TCDT0 . EQU 0x0001F0 607 _tccs0 . EQU 0x0001F3 608 TCCS0 . EQU 0x0001F3 609 _tcdt1 . EQU 0x0001F4 610 TCDT1 . EQU 0x0001F4 611 _tccs1 . EQU 0x0001F7 612 TCCS1 . EQU 0x0001F7 613 _tcdt2 . EQU 0x0001F8 614 TCDT2 . EQU 0x0001F8 615 _tccs2 . EQU 0x0001FB 616 TCCS2 . EQU 0x0001FB 617 _tcdt3 . EQU 0x0001FC 618 TCDT3 . EQU 0x0001FC 619 _tccs3 . EQU 0x0001FF 620 TCCS3 . EQU 0x0001FF 621 _dma0 . EQU 0x000200 622 DMACA0 . EQU 0x000200 623 _dmacb0 . EQU 0x000204 624 DMACB0 . EQU 0x000204 625 _dma1 . EQU 0x000208 626 DMACA1 . EQU 0x000208 627 _dmacb1 . EQU 0x00020C 628 DMACB1 . EQU 0x00020C 629 _dma2 . EQU 0x000210 630 DMACA2 . EQU 0x000210 631 _dmacb2 . EQU 0x000214 632 DMACB2 . EQU 0x000214 633 _dma3 . EQU 0x000218 634 DMACA3 . EQU 0x000218 635 _dmacb3 . EQU 0x00021C 636 DMACB3 . EQU 0x00021C 637 _dma4 . EQU 0x000220 638 DMACA4 . EQU 0x000220 639 _dmacb4 . EQU 0x000224 640 DMACB4 . EQU 0x000224 641 _dma . EQU 0x000240 642 DMACR . EQU 0x000240 643 _ics45 . EQU 0x0002D1 644 ICS45 . EQU 0x0002D1 645 _ics67 . EQU 0x0002D3 646 ICS67 . EQU 0x0002D3 647 _4 . EQU 0x0002D4 648 IPCP4 . EQU 0x0002D4 649 _5 . EQU 0x0002D6 650 IPCP5 . EQU 0x0002D6 651 _6 . EQU 0x0002D8 652 IPCP6 . EQU 0x0002D8 653 _7 . EQU 0x0002DA 654 IPCP7 . EQU 0x0002DA 655 _ocs45 . EQU 0x0002DC 656 OCS45 . EQU 0x0002DC 657 _ocs67 . EQU 0x0002DE 658 OCS67 . EQU 0x0002DE 659 _oc4 . EQU 0x0002E0 660 OCCP4 . EQU 0x0002E0 661 _oc5 . EQU 0x0002E2 662 OCCP5 . EQU 0x0002E2 663 _oc6 . EQU 0x0002E4 664 OCCP6 . EQU 0x0002E4 665 _oc7 . EQU 0x0002E6 666 OCCP7 . EQU 0x0002E6 667 _tcdt4 . EQU 0x0002F0 668 TCDT4 . EQU 0x0002F0 669 _tccs4 . EQU 0x0002F3 670 TCCS4 . EQU 0x0002F3 671 _tcdt5 . EQU 0x0002F4 672 TCDT5 . EQU 0x0002F4 673 _tccs5 . EQU 0x0002F7 674 TCCS5 . EQU 0x0002F7 675 _tcdt6 . EQU 0x0002F8 676 TCDT6 . EQU 0x0002F8 677 _tccs6 . EQU 0x0002FB 678 TCCS6 . EQU 0x0002FB 679 _tcdt7 . EQU 0x0002FC 680 TCDT7 . EQU 0x0002FC 681 _tccs7 . EQU 0x0002FF 682 TCCS7 . EQU 0x0002FF 683 _roms . EQU 0x000390 684 ROMS . EQU 0x000390 685 _bsd0 . EQU 0x0003F0 686 BSD0 . EQU 0x0003F0 687 _bsd1 . EQU 0x0003F4 688 BSD1 . EQU 0x0003F4 689 _bsdc . EQU 0x0003F8 690 BSDC . EQU 0x0003F8 691 _br . EQU 0x0003FC 692 BSRR . EQU 0x0003FC 693 _i00 . EQU 0x000440 694 ICR00 . EQU 0x000440 695 _i01 . EQU 0x000441 696 ICR01 . EQU 0x000441 697 _i02 . EQU 0x000442 698 ICR02 . EQU 0x000442 699 _i03 . EQU 0x000443 700 ICR03 . EQU 0x000443 701 _i04 . EQU 0x000444 702 ICR04 . EQU 0x000444 703 _i05 . EQU 0x000445 704 ICR05 . EQU 0x000445 705 _i06 . EQU 0x000446 706 ICR06 . EQU 0x000446 707 _i07 . EQU 0x000447 708 ICR07 . EQU 0x000447 709 _i08 . EQU 0x000448 710 ICR08 . EQU 0x000448 711 _i09 . EQU 0x000449 712 ICR09 . EQU 0x000449 713 _i10 . EQU 0x00044A 714 ICR10 . EQU 0x00044A 715 _i11 . EQU 0x00044B 716 ICR11 . EQU 0x00044B 717 _i12 . EQU 0x00044C 718 ICR12 . EQU 0x00044C 719 _i13 . EQU 0x00044D 720 ICR13 . EQU 0x00044D 721 _i14 . EQU 0x00044E 722 ICR14 . EQU 0x00044E 723 _i15 . EQU 0x00044F 724 ICR15 . EQU 0x00044F 725 _i16 . EQU 0x000450 726 ICR16 . EQU 0x000450 727 _i17 . EQU 0x000451 728 ICR17 . EQU 0x000451 729 _i18 . EQU 0x000452 730 ICR18 . EQU 0x000452 731 _i19 . EQU 0x000453 732 ICR19 . EQU 0x000453 733 _i20 . EQU 0x000454 734 ICR20 . EQU 0x000454 735 _i21 . EQU 0x000455 736 ICR21 . EQU 0x000455 737 _i22 . EQU 0x000456 738 ICR22 . EQU 0x000456 739 _i23 . EQU 0x000457 740 ICR23 . EQU 0x000457 741 _i24 . EQU 0x000458 742 ICR24 . EQU 0x000458 743 _i25 . EQU 0x000459 744 ICR25 . EQU 0x000459 745 _i26 . EQU 0x00045A 746 ICR26 . EQU 0x00045A 747 _i27 . EQU 0x00045B 748 ICR27 . EQU 0x00045B 749 _i28 . EQU 0x00045C 750 ICR28 . EQU 0x00045C 751 _i29 . EQU 0x00045D 752 ICR29 . EQU 0x00045D 753 _i30 . EQU 0x00045E 754 ICR30 . EQU 0x00045E 755 _i31 . EQU 0x00045F 756 ICR31 . EQU 0x00045F 757 _i32 . EQU 0x000460 758 ICR32 . EQU 0x000460 759 _i33 . EQU 0x000461 760 ICR33 . EQU 0x000461 761 _i34 . EQU 0x000462 762 ICR34 . EQU 0x000462 763 _i35 . EQU 0x000463 764 ICR35 . EQU 0x000463 765 _i36 . EQU 0x000464 766 ICR36 . EQU 0x000464 767 _i37 . EQU 0x000465 768 ICR37 . EQU 0x000465 769 _i38 . EQU 0x000466 770 ICR38 . EQU 0x000466 771 _i39 . EQU 0x000467 772 ICR39 . EQU 0x000467 773 _i40 . EQU 0x000468 774 ICR40 . EQU 0x000468 775 _i41 . EQU 0x000469 776 ICR41 . EQU 0x000469 777 _i42 . EQU 0x00046A 778 ICR42 . EQU 0x00046A 779 _i43 . EQU 0x00046B 780 ICR43 . EQU 0x00046B 781 _i44 . EQU 0x00046C 782 ICR44 . EQU 0x00046C 783 _i45 . EQU 0x00046D 784 ICR45 . EQU 0x00046D 785 _i46 . EQU 0x00046E 786 ICR46 . EQU 0x00046E 787 _i47 . EQU 0x00046F 788 ICR47 . EQU 0x00046F 789 _i48 . EQU 0x000470 790 ICR48 . EQU 0x000470 791 _i49 . EQU 0x000471 792 ICR49 . EQU 0x000471 793 _i50 . EQU 0x000472 794 ICR50 . EQU 0x000472 795 _i51 . EQU 0x000473 796 ICR51 . EQU 0x000473 797 _i52 . EQU 0x000474 798 ICR52 . EQU 0x000474 799 _i53 . EQU 0x000475 800 ICR53 . EQU 0x000475 801 _i54 . EQU 0x000476 802 ICR54 . EQU 0x000476 803 _i55 . EQU 0x000477 804 ICR55 . EQU 0x000477 805 _i56 . EQU 0x000478 806 ICR56 . EQU 0x000478 807 _i57 . EQU 0x000479 808 ICR57 . EQU 0x000479 809 _i58 . EQU 0x00047A 810 ICR58 . EQU 0x00047A 811 _i59 . EQU 0x00047B 812 ICR59 . EQU 0x00047B 813 _i60 . EQU 0x00047C 814 ICR60 . EQU 0x00047C 815 _i61 . EQU 0x00047D 816 ICR61 . EQU 0x00047D 817 _i62 . EQU 0x00047E 818 ICR62 . EQU 0x00047E 819 _i63 . EQU 0x00047F 820 ICR63 . EQU 0x00047F 821 _rr . EQU 0x000480 822 RSRR . EQU 0x000480 823 _ . EQU 0x000481 824 STCR . EQU 0x000481 825 _tb . EQU 0x000482 826 TBCR . EQU 0x000482 827 _br . EQU 0x000483 828 CTBR . EQU 0x000483 829 _kr . EQU 0x000484 830 CLKR . EQU 0x000484 831 _w . EQU 0x000485 832 WPR . EQU 0x000485 833 _divr0 . EQU 0x000486 834 DIVR0 . EQU 0x000486 835 _divr1 . EQU 0x000487 836 DIVR1 . EQU 0x000487 837 _ldivm . EQU 0x00048C 838 PLLDIVM . EQU 0x00048C 839 _ldivn . EQU 0x00048D 840 PLLDIVN . EQU 0x00048D 841 _ldivg . EQU 0x00048E 842 PLLDIVG . EQU 0x00048E 843 _lmulg . EQU 0x00048F 844 PLLMULG . EQU 0x00048F 845 _l . EQU 0x000490 846 PLLCTRL . EQU 0x000490 847 _oscc1 . EQU 0x000494 848 OSCC1 . EQU 0x000494 849 _oscs1 . EQU 0x000495 850 OSCS1 . EQU 0x000495 851 _oscc2 . EQU 0x000496 852 OSCC2 . EQU 0x000496 853 _oscs2 . EQU 0x000497 854 OSCS2 . EQU 0x000497 855 _p܋n . EQU 0x000498 856 PORTEN . EQU 0x000498 857 _wtr . EQU 0x0004A1 858 WTCER . EQU 0x0004A1 859 _wt . EQU 0x0004A2 860 WTCR . EQU 0x0004A2 861 _wtbr . EQU 0x0004A4 862 WTBR . EQU 0x0004A4 863 _wthr . EQU 0x0004A8 864 WTHR . EQU 0x0004A8 865 _wtmr . EQU 0x0004A9 866 WTMR . EQU 0x0004A9 867 _wt . EQU 0x0004AA 868 WTSR . EQU 0x0004AA 869 _csv . EQU 0x0004AC 870 CSVTR . EQU 0x0004AC 871 _csv . EQU 0x0004AD 872 CSVCR . EQU 0x0004AD 873 _cscfg . EQU 0x0004AE 874 CSCFG . EQU 0x0004AE 875 _cmcfg . EQU 0x0004AF 876 CMCFG . EQU 0x0004AF 877 _cu . EQU 0x0004B0 878 CUCR . EQU 0x0004B0 879 _cutd . EQU 0x0004B2 880 CUTD . EQU 0x0004B2 881 _cu1 . EQU 0x0004B4 882 CUTR1 . EQU 0x0004B4 883 _cu2 . EQU 0x0004B6 884 CUTR2 . EQU 0x0004B6 885 _cm . EQU 0x0004B8 886 CMPR . EQU 0x0004B8 887 _cm . EQU 0x0004BB 888 CMCR . EQU 0x0004BB 889 _cmt1 . EQU 0x0004BC 890 CMT1 . EQU 0x0004BC 891 _cmt2 . EQU 0x0004BE 892 CMT2 . EQU 0x0004BE 893 _Ż . EQU 0x0004C0 894 CANPRE . EQU 0x0004C0 895 _nckd . EQU 0x0004C1 896 CANCKD . EQU 0x0004C1 897 _lvl . EQU 0x0004C4 898 LVSEL . EQU 0x0004C4 899 _lvd . EQU 0x0004C5 900 LVDET . EQU 0x0004C5 901 _hwwde . EQU 0x0004C6 902 HWWDE . EQU 0x0004C6 903 _hwwd . EQU 0x0004C7 904 HWWD . EQU 0x0004C7 905 _osh . EQU 0x0004C8 906 OSCRH . EQU 0x0004C8 907 _osl . EQU 0x0004C9 908 OSCRL . EQU 0x0004C9 909 _wph . EQU 0x0004CA 910 WPCRH . EQU 0x0004CA 911 _wpl . EQU 0x0004CB 912 WPCRL . EQU 0x0004CB 913 _osc . EQU 0x0004CC 914 OSCCR . EQU 0x0004CC 915 _gl . EQU 0x0004CE 916 REGSEL . EQU 0x0004CE 917 _gr . EQU 0x0004CF 918 REGCTR . EQU 0x0004CF 919 _modr . EQU 0x0007FD 920 MODR . EQU 0x0007FD 921 _pdrd14 . EQU 0x000D0E 922 PDRD14 . EQU 0x000D0E 923 _pdrd15 . EQU 0x000D0F 924 PDRD15 . EQU 0x000D0F 925 _pdrd16 . EQU 0x000D10 926 PDRD16 . EQU 0x000D10 927 _pdrd17 . EQU 0x000D11 928 PDRD17 . EQU 0x000D11 929 _pdrd18 . EQU 0x000D12 930 PDRD18 . EQU 0x000D12 931 _pdrd19 . EQU 0x000D13 932 PDRD19 . EQU 0x000D13 933 _pdrd20 . EQU 0x000D14 934 PDRD20 . EQU 0x000D14 935 _pdrd21 . EQU 0x000D15 936 PDRD21 . EQU 0x000D15 937 _pdrd22 . EQU 0x000D16 938 PDRD22 . EQU 0x000D16 939 _pdrd24 . EQU 0x000D18 940 PDRD24 . EQU 0x000D18 941 _pdrd26 . EQU 0x000D1A 942 PDRD26 . EQU 0x000D1A 943 _pdrd27 . EQU 0x000D1B 944 PDRD27 . EQU 0x000D1B 945 _pdrd28 . EQU 0x000D1C 946 PDRD28 . EQU 0x000D1C 947 _pdrd29 . EQU 0x000D1D 948 PDRD29 . EQU 0x000D1D 949 _ddr14 . EQU 0x000D4E 950 DDR14 . EQU 0x000D4E 951 _ddr15 . EQU 0x000D4F 952 DDR15 . EQU 0x000D4F 953 _ddr16 . EQU 0x000D50 954 DDR16 . EQU 0x000D50 955 _ddr17 . EQU 0x000D51 956 DDR17 . EQU 0x000D51 957 _ddr18 . EQU 0x000D52 958 DDR18 . EQU 0x000D52 959 _ddr19 . EQU 0x000D53 960 DDR19 . EQU 0x000D53 961 _ddr20 . EQU 0x000D54 962 DDR20 . EQU 0x000D54 963 _ddr21 . EQU 0x000D55 964 DDR21 . EQU 0x000D55 965 _ddr22 . EQU 0x000D56 966 DDR22 . EQU 0x000D56 967 _ddr24 . EQU 0x000D58 968 DDR24 . EQU 0x000D58 969 _ddr26 . EQU 0x000D5A 970 DDR26 . EQU 0x000D5A 971 _ddr27 . EQU 0x000D5B 972 DDR27 . EQU 0x000D5B 973 _ddr28 . EQU 0x000D5C 974 DDR28 . EQU 0x000D5C 975 _ddr29 . EQU 0x000D5D 976 DDR29 . EQU 0x000D5D 977 _p14 . EQU 0x000D8E 978 PFR14 . EQU 0x000D8E 979 _p15 . EQU 0x000D8F 980 PFR15 . EQU 0x000D8F 981 _p16 . EQU 0x000D90 982 PFR16 . EQU 0x000D90 983 _p17 . EQU 0x000D91 984 PFR17 . EQU 0x000D91 985 _p18 . EQU 0x000D92 986 PFR18 . EQU 0x000D92 987 _p19 . EQU 0x000D93 988 PFR19 . EQU 0x000D93 989 _p20 . EQU 0x000D94 990 PFR20 . EQU 0x000D94 991 _p21 . EQU 0x000D95 992 PFR21 . EQU 0x000D95 993 _p22 . EQU 0x000D96 994 PFR22 . EQU 0x000D96 995 _p24 . EQU 0x000D98 996 PFR24 . EQU 0x000D98 997 _p26 . EQU 0x000D9A 998 PFR26 . EQU 0x000D9A 999 _p27 . EQU 0x000D9B 1000 PFR27 . EQU 0x000D9B 1001 _p28 . EQU 0x000D9C 1002 PFR28 . EQU 0x000D9C 1003 _p29 . EQU 0x000D9D 1004 PFR29 . EQU 0x000D9D 1005 _14 . EQU 0x000DCE 1006 EPFR14 . EQU 0x000DCE 1007 _15 . EQU 0x000DCF 1008 EPFR15 . EQU 0x000DCF 1009 _16 . EQU 0x000DD0 1010 EPFR16 . EQU 0x000DD0 1011 _17 . EQU 0x000DD1 1012 EPFR17 . EQU 0x000DD1 1013 _18 . EQU 0x000DD2 1014 EPFR18 . EQU 0x000DD2 1015 _19 . EQU 0x000DD3 1016 EPFR19 . EQU 0x000DD3 1017 _20 . EQU 0x000DD4 1018 EPFR20 . EQU 0x000DD4 1019 _21 . EQU 0x000DD5 1020 EPFR21 . EQU 0x000DD5 1021 _22 . EQU 0x000DD6 1022 EPFR22 . EQU 0x000DD6 1023 _24 . EQU 0x000DD8 1024 EPFR24 . EQU 0x000DD8 1025 _26 . EQU 0x000DDA 1026 EPFR26 . EQU 0x000DDA 1027 _27 . EQU 0x000DDB 1028 EPFR27 . EQU 0x000DDB 1029 _29 . EQU 0x000DDD 1030 EPFR29 . EQU 0x000DDD 1031 _podr14 . EQU 0x000E0E 1032 PODR14 . EQU 0x000E0E 1033 _podr15 . EQU 0x000E0F 1034 PODR15 . EQU 0x000E0F 1035 _podr16 . EQU 0x000E10 1036 PODR16 . EQU 0x000E10 1037 _podr17 . EQU 0x000E11 1038 PODR17 . EQU 0x000E11 1039 _podr18 . EQU 0x000E12 1040 PODR18 . EQU 0x000E12 1041 _podr19 . EQU 0x000E13 1042 PODR19 . EQU 0x000E13 1043 _podr20 . EQU 0x000E14 1044 PODR20 . EQU 0x000E14 1045 _podr21 . EQU 0x000E15 1046 PODR21 . EQU 0x000E15 1047 _podr22 . EQU 0x000E16 1048 PODR22 . EQU 0x000E16 1049 _podr24 . EQU 0x000E18 1050 PODR24 . EQU 0x000E18 1051 _podr26 . EQU 0x000E1A 1052 PODR26 . EQU 0x000E1A 1053 _podr27 . EQU 0x000E1B 1054 PODR27 . EQU 0x000E1B 1055 _podr28 . EQU 0x000E1C 1056 PODR28 . EQU 0x000E1C 1057 _podr29 . EQU 0x000E1D 1058 PODR29 . EQU 0x000E1D 1059 _pr14 . EQU 0x000E4E 1060 PILR14 . EQU 0x000E4E 1061 _pr15 . EQU 0x000E4F 1062 PILR15 . EQU 0x000E4F 1063 _pr16 . EQU 0x000E50 1064 PILR16 . EQU 0x000E50 1065 _pr17 . EQU 0x000E51 1066 PILR17 . EQU 0x000E51 1067 _pr18 . EQU 0x000E52 1068 PILR18 . EQU 0x000E52 1069 _pr19 . EQU 0x000E53 1070 PILR19 . EQU 0x000E53 1071 _pr20 . EQU 0x000E54 1072 PILR20 . EQU 0x000E54 1073 _pr21 . EQU 0x000E55 1074 PILR21 . EQU 0x000E55 1075 _pr22 . EQU 0x000E56 1076 PILR22 . EQU 0x000E56 1077 _pr24 . EQU 0x000E58 1078 PILR24 . EQU 0x000E58 1079 _pr26 . EQU 0x000E5A 1080 PILR26 . EQU 0x000E5A 1081 _pr27 . EQU 0x000E5B 1082 PILR27 . EQU 0x000E5B 1083 _pr28 . EQU 0x000E5C 1084 PILR28 . EQU 0x000E5C 1085 _pr29 . EQU 0x000E5D 1086 PILR29 . EQU 0x000E5D 1087 _r14 . EQU 0x000E8E 1088 EPILR14 . EQU 0x000E8E 1089 _r15 . EQU 0x000E8F 1090 EPILR15 . EQU 0x000E8F 1091 _r16 . EQU 0x000E90 1092 EPILR16 . EQU 0x000E90 1093 _r17 . EQU 0x000E91 1094 EPILR17 . EQU 0x000E91 1095 _r18 . EQU 0x000E92 1096 EPILR18 . EQU 0x000E92 1097 _r19 . EQU 0x000E93 1098 EPILR19 . EQU 0x000E93 1099 _r20 . EQU 0x000E94 1100 EPILR20 . EQU 0x000E94 1101 _r21 . EQU 0x000E95 1102 EPILR21 . EQU 0x000E95 1103 _r22 . EQU 0x000E96 1104 EPILR22 . EQU 0x000E96 1105 _r24 . EQU 0x000E98 1106 EPILR24 . EQU 0x000E98 1107 _r26 . EQU 0x000E9A 1108 EPILR26 . EQU 0x000E9A 1109 _r27 . EQU 0x000E9B 1110 EPILR27 . EQU 0x000E9B 1111 _r28 . EQU 0x000E9C 1112 EPILR28 . EQU 0x000E9C 1113 _r29 . EQU 0x000E9D 1114 EPILR29 . EQU 0x000E9D 1115 _14 . EQU 0x000ECE 1116 PPER14 . EQU 0x000ECE 1117 _15 . EQU 0x000ECF 1118 PPER15 . EQU 0x000ECF 1119 _16 . EQU 0x000ED0 1120 PPER16 . EQU 0x000ED0 1121 _17 . EQU 0x000ED1 1122 PPER17 . EQU 0x000ED1 1123 _18 . EQU 0x000ED1 1124 PPER18 . EQU 0x000ED1 1125 _19 . EQU 0x000ED2 1126 PPER19 . EQU 0x000ED2 1127 _20 . EQU 0x000ED4 1128 PPER20 . EQU 0x000ED4 1129 _21 . EQU 0x000ED5 1130 PPER21 . EQU 0x000ED5 1131 _22 . EQU 0x000ED6 1132 PPER22 . EQU 0x000ED6 1133 _24 . EQU 0x000ED8 1134 PPER24 . EQU 0x000ED8 1135 _26 . EQU 0x000EDA 1136 PPER26 . EQU 0x000EDA 1137 _27 . EQU 0x000EDB 1138 PPER27 . EQU 0x000EDB 1139 _28 . EQU 0x000EDC 1140 PPER28 . EQU 0x000EDC 1141 _29 . EQU 0x000EDD 1142 PPER29 . EQU 0x000EDD 1143 _14 . EQU 0x000F0E 1144 PPCR14 . EQU 0x000F0E 1145 _15 . EQU 0x000F0F 1146 PPCR15 . EQU 0x000F0F 1147 _16 . EQU 0x000F10 1148 PPCR16 . EQU 0x000F10 1149 _17 . EQU 0x000F11 1150 PPCR17 . EQU 0x000F11 1151 _18 . EQU 0x000F12 1152 PPCR18 . EQU 0x000F12 1153 _19 . EQU 0x000F13 1154 PPCR19 . EQU 0x000F13 1155 _20 . EQU 0x000F14 1156 PPCR20 . EQU 0x000F14 1157 _21 . EQU 0x000F15 1158 PPCR21 . EQU 0x000F15 1159 _22 . EQU 0x000F16 1160 PPCR22 . EQU 0x000F16 1161 _24 . EQU 0x000F18 1162 PPCR24 . EQU 0x000F18 1163 _26 . EQU 0x000F1A 1164 PPCR26 . EQU 0x000F1A 1165 _27 . EQU 0x000F1B 1166 PPCR27 . EQU 0x000F1B 1167 _28 . EQU 0x000F1C 1168 PPCR28 . EQU 0x000F1C 1169 _29 . EQU 0x000F1D 1170 PPCR29 . EQU 0x000F1D 1171 _dma0 . EQU 0x001000 1172 DMASA0 . EQU 0x001000 1173 _dmada0 . EQU 0x001004 1174 DMADA0 . EQU 0x001004 1175 _dma1 . EQU 0x001008 1176 DMASA1 . EQU 0x001008 1177 _dmada1 . EQU 0x00100C 1178 DMADA1 . EQU 0x00100C 1179 _dma2 . EQU 0x001010 1180 DMASA2 . EQU 0x001010 1181 _dmada2 . EQU 0x001014 1182 DMADA2 . EQU 0x001014 1183 _dma3 . EQU 0x001018 1184 DMASA3 . EQU 0x001018 1185 _dmada3 . EQU 0x00101C 1186 DMADA3 . EQU 0x00101C 1187 _dma4 . EQU 0x001020 1188 DMASA4 . EQU 0x001020 1189 _dmada4 . EQU 0x001024 1190 DMADA4 . EQU 0x001024 1191 _fmcs . EQU 0x007000 1192 FMCS . EQU 0x007000 1193 _fm . EQU 0x007001 1194 FMCR . EQU 0x007001 1195 _fch . EQU 0x007002 1196 FCHCR . EQU 0x007002 1197 _fmwt . EQU 0x007004 1198 FMWT . EQU 0x007004 1199 _fmwt2 . EQU 0x007006 1200 FMWT2 . EQU 0x007006 1201 _fmps . EQU 0x007007 1202 FMPS . EQU 0x007007 1203 _fmac . EQU 0x007008 1204 FMAC . EQU 0x007008 1205 _fcha0 . EQU 0x00700C 1206 FCHA0 . EQU 0x00700C 1207 _fcha1 . EQU 0x007010 1208 FCHA1 . EQU 0x007010 1209 _fs0 . EQU 0x007100 1210 FSCR0 . EQU 0x007100 1211 _fs1 . EQU 0x007104 1212 FSCR1 . EQU 0x007104 1213 _r4 . EQU 0x00C400 1214 CTRLR4 . EQU 0x00C400 1215 _r4 . EQU 0x00C402 1216 STATR4 . EQU 0x00C402 1217 _rt4 . EQU 0x00C404 1218 ERRCNT4 . EQU 0x00C404 1219 _b4 . EQU 0x00C406 1220 BTR4 . EQU 0x00C406 1221 _4 . EQU 0x00C408 1222 INTR4 . EQU 0x00C408 1223 _r4 . EQU 0x00C40A 1224 TESTR4 . EQU 0x00C40A 1225 _b4 . EQU 0x00C40C 1226 BRPER4 . EQU 0x00C40C 1227 _be4 . EQU 0x00C40C 1228 BRPE4 . EQU 0x00C40C 1229 _if1eq4 . EQU 0x00C410 1230 IF1CREQ4 . EQU 0x00C410 1231 _if1cmsk4 . EQU 0x00C412 1232 IF1CMSK4 . EQU 0x00C412 1233 _if1msk124 . EQU 0x00C414 1234 IF1MSK124 . EQU 0x00C414 1235 _if1msk24 . EQU 0x00C414 1236 IF1MSK24 . EQU 0x00C414 1237 _if1msk14 . EQU 0x00C416 1238 IF1MSK14 . EQU 0x00C416 1239 _if1b124 . EQU 0x00C418 1240 IF1ARB124 . EQU 0x00C418 1241 _if1b24 . EQU 0x00C418 1242 IF1ARB24 . EQU 0x00C418 1243 _if1b14 . EQU 0x00C41A 1244 IF1ARB14 . EQU 0x00C41A 1245 _if1mr4 . EQU 0x00C41C 1246 IF1MCTR4 . EQU 0x00C41C 1247 _if1d124 . EQU 0x00C420 1248 IF1DTA124 . EQU 0x00C420 1249 _if1d14 . EQU 0x00C420 1250 IF1DTA14 . EQU 0x00C420 1251 _if1d24 . EQU 0x00C422 1252 IF1DTA24 . EQU 0x00C422 1253 _if1dtb124 . EQU 0x00C424 1254 IF1DTB124 . EQU 0x00C424 1255 _if1dtb14 . EQU 0x00C424 1256 IF1DTB14 . EQU 0x00C424 1257 _if1dtb24 . EQU 0x00C426 1258 IF1DTB24 . EQU 0x00C426 1259 _if1d_swp124 . EQU 0x00C430 1260 IF1DTA_SWP124 . EQU 0x00C430 1261 _if1d_swp24 . EQU 0x00C430 1262 IF1DTA_SWP24 . EQU 0x00C430 1263 _if1d_swp14 . EQU 0x00C432 1264 IF1DTA_SWP14 . EQU 0x00C432 1265 _if1dtb_swp124 . EQU 0x00C434 1266 IF1DTB_SWP124 . EQU 0x00C434 1267 _if1dtb_swp24 . EQU 0x00C434 1268 IF1DTB_SWP24 . EQU 0x00C434 1269 _if1dtb_swp14 . EQU 0x00C436 1270 IF1DTB_SWP14 . EQU 0x00C436 1271 _if2eq4 . EQU 0x00C440 1272 IF2CREQ4 . EQU 0x00C440 1273 _if2cmsk4 . EQU 0x00C442 1274 IF2CMSK4 . EQU 0x00C442 1275 _if2msk124 . EQU 0x00C444 1276 IF2MSK124 . EQU 0x00C444 1277 _if2msk24 . EQU 0x00C444 1278 IF2MSK24 . EQU 0x00C444 1279 _if2msk14 . EQU 0x00C446 1280 IF2MSK14 . EQU 0x00C446 1281 _if2b124 . EQU 0x00C448 1282 IF2ARB124 . EQU 0x00C448 1283 _if2b24 . EQU 0x00C448 1284 IF2ARB24 . EQU 0x00C448 1285 _if2b14 . EQU 0x00C44A 1286 IF2ARB14 . EQU 0x00C44A 1287 _if2mr4 . EQU 0x00C44C 1288 IF2MCTR4 . EQU 0x00C44C 1289 _if2d124 . EQU 0x00C450 1290 IF2DTA124 . EQU 0x00C450 1291 _if2d14 . EQU 0x00C450 1292 IF2DTA14 . EQU 0x00C450 1293 _if2d24 . EQU 0x00C452 1294 IF2DTA24 . EQU 0x00C452 1295 _if2dtb124 . EQU 0x00C454 1296 IF2DTB124 . EQU 0x00C454 1297 _if2dtb14 . EQU 0x00C454 1298 IF2DTB14 . EQU 0x00C454 1299 _if2dtb24 . EQU 0x00C456 1300 IF2DTB24 . EQU 0x00C456 1301 _if2d_swp124 . EQU 0x00C460 1302 IF2DTA_SWP124 . EQU 0x00C460 1303 _if2d_swp24 . EQU 0x00C460 1304 IF2DTA_SWP24 . EQU 0x00C460 1305 _if2d_swp14 . EQU 0x00C462 1306 IF2DTA_SWP14 . EQU 0x00C462 1307 _if2dtb_swp124 . EQU 0x00C464 1308 IF2DTB_SWP124 . EQU 0x00C464 1309 _if2dtb_swp24 . EQU 0x00C464 1310 IF2DTB_SWP24 . EQU 0x00C464 1311 _if2dtb_swp14 . EQU 0x00C466 1312 IF2DTB_SWP14 . EQU 0x00C466 1313 _eqr124 . EQU 0x00C480 1314 TREQR124 . EQU 0x00C480 1315 _eqr24 . EQU 0x00C480 1316 TREQR24 . EQU 0x00C480 1317 _eqr14 . EQU 0x00C482 1318 TREQR14 . EQU 0x00C482 1319 _eqr344 . EQU 0x00C484 1320 TREQR344 . EQU 0x00C484 1321 _wdt124 . EQU 0x00C490 1322 NEWDT124 . EQU 0x00C490 1323 _wdt24 . EQU 0x00C490 1324 NEWDT24 . EQU 0x00C490 1325 _wdt14 . EQU 0x00C492 1326 NEWDT14 . EQU 0x00C492 1327 _nd124 . EQU 0x00C4A0 1328 INTPND124 . EQU 0x00C4A0 1329 _nd24 . EQU 0x00C4A0 1330 INTPND24 . EQU 0x00C4A0 1331 _nd14 . EQU 0x00C4A2 1332 INTPND14 . EQU 0x00C4A2 1333 _msgv124 . EQU 0x00C4B0 1334 MSGVAL124 . EQU 0x00C4B0 1335 _msgv24 . EQU 0x00C4B0 1336 MSGVAL24 . EQU 0x00C4B0 1337 _msgv14 . EQU 0x00C4B2 1338 MSGVAL14 . EQU 0x00C4B2 1339 _b . EQU 0x00F000 1340 BCTRL . EQU 0x00F000 1341 _b . EQU 0x00F004 1342 BSTAT . EQU 0x00F004 1343 _bc . EQU 0x00F008 1344 BIAC . EQU 0x00F008 1345 _bc . EQU 0x00F00C 1346 BOAC . EQU 0x00F00C 1347 _bq . EQU 0x00F010 1348 BIRQ . EQU 0x00F010 1349 _b0 . EQU 0x00F020 1350 BCR0 . EQU 0x00F020 1351 _b1 . EQU 0x00F024 1352 BCR1 . EQU 0x00F024 1353 _bad0 . EQU 0x00F080 1354 BAD0 . EQU 0x00F080 1355 _bad1 . EQU 0x00F084 1356 BAD1 . EQU 0x00F084 1357 _bad2 . EQU 0x00F088 1358 BAD2 . EQU 0x00F088 1359 _bad3 . EQU 0x00F08C 1360 BAD3 . EQU 0x00F08C 1361 _bad4 . EQU 0x00F090 1362 BAD4 . EQU 0x00F090 1363 _bad5 . EQU 0x00F094 1364 BAD5 . EQU 0x00F094 1365 _bad6 . EQU 0x00F098 1366 BAD6 . EQU 0x00F098 1367 _bad7 . EQU 0x00F09C 1368 BAD7 . EQU 0x00F09C 1369 _fsv1 . EQU 0x148000 1370 FSV1 . EQU 0x148000 1371 _bsv1 . EQU 0x148004 1372 BSV1 . EQU 0x148004 1373 _fsv2 . EQU 0x148008 1374 FSV2 . EQU 0x148008 1375 _bsv2 . EQU 0x14800C 1376 BSV2 . EQU 0x14800C 1377 #agm dasm 1380 #ide _MB91XXX_H 1381  #_MB91XXX_H ) 1383 #ifde __FASM__ 1384 #agm asm 1385 . IMPORT _pdr14 , _pdr15 , _pdr16 , _pdr17 , _pdr18 , _pdr19 1386 . IMPORT _pdr20 , _pdr21 , _pdr22 , _pdr24 , _pdr26 , _pdr27 1387 . IMPORT _pdr28 , _pdr29 , _er0 , _0 , _vr0 , _er1 1388 . IMPORT _1 , _vr1 , _di , _hr , _rbsync , _s00 1389 . IMPORT _smr00 , _s00 , _rdr00 , _tdr00 , _es00 , _ec00 1390 . IMPORT _s01 , _smr01 , _s01 , _rdr01 , _tdr01 , _es01 1391 . IMPORT _ec01 , _s02 , _smr02 , _s02 , _rdr02 , _tdr02 1392 . IMPORT _es02 , _ec02 , _s03 , _smr03 , _s03 , _rdr03 1393 . IMPORT _tdr03 , _es03 , _ec03 , _s04 , _smr04 , _s04 1394 . IMPORT _rdr04 , _tdr04 , _es04 , _ec04 , _f04 , _f04 1395 . IMPORT _bgr00 , _bgr100 , _bgr000 , _bgr01 , _bgr101 , _bgr001 1396 . IMPORT _bgr02 , _bgr102 , _bgr002 , _bgr03 , _bgr103 , _bgr003 1397 . IMPORT _bgr04 , _bgr104 , _bgr004 , _ib0 , _ib0 , _ba0 1398 . IMPORT _bah0 , _b0 , _mk0 , _mkh0 , _mkl0 , _ismk0 1399 . IMPORT _isba0 , _id0 , _ic0 , _g10 , _g20 , _g11 1400 . IMPORT _g21 , _g12 , _g22 , _mr00 , _pc00 , _pdut00 1401 . IMPORT _p00 , _ph00 , _pl00 , _mr01 , _pc01 , _pdut01 1402 . IMPORT _p01 , _ph01 , _pl01 , _mr02 , _pc02 , _pdut02 1403 . IMPORT _p02 , _ph02 , _pl02 , _mr03 , _pc03 , _pdut03 1404 . IMPORT _p03 , _ph03 , _pl03 , _mr04 , _pc04 , _pdut04 1405 . IMPORT _p04 , _ph04 , _pl04 , _mr05 , _pc05 , _pdut05 1406 . IMPORT _p05 , _ph05 , _pl05 , _mr06 , _pc06 , _pdut06 1407 . IMPORT _p06 , _ph06 , _pl06 , _mr07 , _pc07 , _pdut07 1408 . IMPORT _p07 , _ph07 , _pl07 , _mr08 , _pc08 , _pdut08 1409 . IMPORT _p08 , _ph08 , _pl08 , _mr09 , _pc09 , _pdut09 1410 . IMPORT _p09 , _ph09 , _pl09 , _mr10 , _pc10 , _pdut10 1411 . IMPORT _p10 , _ph10 , _pl10 , _mr11 , _pc11 , _pdut11 1412 . IMPORT _p11 , _ph11 , _pl11 , _ics01 , _ics23 , _0 1413 . IMPORT _1 , _2 , _3 , _ocs01 , _ocs23 , _oc0 1414 . IMPORT _oc1 , _oc2 , _oc3 , _adh , _adl , _ad 1415 . IMPORT _adcs1 , _adcs0 , _adcs , _ad1 , _ad0 , _ad 1416 . IMPORT _ad1 , _ad0 , _ad , _adsch , _adech , _tmr0 1417 . IMPORT _tmr0 , _tmc0 , _tmch0 , _tmcl0 , _tmr1 , _tmr1 1418 . IMPORT _tmc1 , _tmch1 , _tmcl1 , _tmr2 , _tmr2 , _tmc2 1419 . IMPORT _tmch2 , _tmcl2 , _tmr3 , _tmr3 , _tmc3 , _tmch3 1420 . IMPORT _tmcl3 , _tmr4 , _tmr4 , _tmc4 , _tmch4 , _tmcl4 1421 . IMPORT _tmr5 , _tmr5 , _tmc5 , _tmch5 , _tmcl5 , _tmr6 1422 . IMPORT _tmr6 , _tmc6 , _tmch6 , _tmcl6 , _tmr7 , _tmr7 1423 . IMPORT _tmc7 , _tmch7 , _tmcl7 , _tcdt0 , _tccs0 , _tcdt1 1424 . IMPORT _tccs1 , _tcdt2 , _tccs2 , _tcdt3 , _tccs3 , _dma0 1425 . IMPORT _dmacb0 , _dma1 , _dmacb1 , _dma2 , _dmacb2 , _dma3 1426 . IMPORT _dmacb3 , _dma4 , _dmacb4 , _dma , _ics45 , _ics67 1427 . IMPORT _4 , _5 , _6 , _7 , _ocs45 , _ocs67 1428 . IMPORT _oc4 , _oc5 , _oc6 , _oc7 , _tcdt4 , _tccs4 1429 . IMPORT _tcdt5 , _tccs5 , _tcdt6 , _tccs6 , _tcdt7 , _tccs7 1430 . IMPORT _roms , _bsd0 , _bsd1 , _bsdc , _br , _i00 1431 . IMPORT _i01 , _i02 , _i03 , _i04 , _i05 , _i06 1432 . IMPORT _i07 , _i08 , _i09 , _i10 , _i11 , _i12 1433 . IMPORT _i13 , _i14 , _i15 , _i16 , _i17 , _i18 1434 . IMPORT _i19 , _i20 , _i21 , _i22 , _i23 , _i24 1435 . IMPORT _i25 , _i26 , _i27 , _i28 , _i29 , _i30 1436 . IMPORT _i31 , _i32 , _i33 , _i34 , _i35 , _i36 1437 . IMPORT _i37 , _i38 , _i39 , _i40 , _i41 , _i42 1438 . IMPORT _i43 , _i44 , _i45 , _i46 , _i47 , _i48 1439 . IMPORT _i49 , _i50 , _i51 , _i52 , _i53 , _i54 1440 . IMPORT _i55 , _i56 , _i57 , _i58 , _i59 , _i60 1441 . IMPORT _i61 , _i62 , _i63 , _rr , _ , _tb 1442 . IMPORT _br , _kr , _w , _divr0 , _divr1 , _ldivm 1443 . IMPORT _ldivn , _ldivg , _lmulg , _l , _oscc1 , _oscs1 1444 . IMPORT _oscc2 , _oscs2 , _p܋n , _wtr , _wt , _wtbr 1445 . IMPORT _wthr , _wtmr , _wt , _csv , _csv , _cscfg 1446 . IMPORT _cmcfg , _cu , _cutd , _cu1 , _cu2 , _cm 1447 . IMPORT _cm , _cmt1 , _cmt2 , _Ż , _nckd , _lvl 1448 . IMPORT _lvd , _hwwde , _hwwd , _osh , _osl , _wph 1449 . IMPORT _wpl , _osc , _gl , _gr , _modr , _pdrd14 1450 . IMPORT _pdrd15 , _pdrd16 , _pdrd17 , _pdrd18 , _pdrd19 , _pdrd20 1451 . IMPORT _pdrd21 , _pdrd22 , _pdrd24 , _pdrd26 , _pdrd27 , _pdrd28 1452 . IMPORT _pdrd29 , _ddr14 , _ddr15 , _ddr16 , _ddr17 , _ddr18 1453 . IMPORT _ddr19 , _ddr20 , _ddr21 , _ddr22 , _ddr24 , _ddr26 1454 . IMPORT _ddr27 , _ddr28 , _ddr29 , _p14 , _p15 , _p16 1455 . IMPORT _p17 , _p18 , _p19 , _p20 , _p21 , _p22 1456 . IMPORT _p24 , _p26 , _p27 , _p28 , _p29 , _14 1457 . IMPORT _15 , _16 , _17 , _18 , _19 , _20 1458 . IMPORT _21 , _22 , _24 , _26 , _27 , _29 1459 . IMPORT _podr14 , _podr15 , _podr16 , _podr17 , _podr18 , _podr19 1460 . IMPORT _podr20 , _podr21 , _podr22 , _podr24 , _podr26 , _podr27 1461 . IMPORT _podr28 , _podr29 , _pr14 , _pr15 , _pr16 , _pr17 1462 . IMPORT _pr18 , _pr19 , _pr20 , _pr21 , _pr22 , _pr24 1463 . IMPORT _pr26 , _pr27 , _pr28 , _pr29 , _r14 , _r15 1464 . IMPORT _r16 , _r17 , _r18 , _r19 , _r20 , _r21 1465 . IMPORT _r22 , _r24 , _r26 , _r27 , _r28 , _r29 1466 . IMPORT _14 , _15 , _16 , _17 , _18 , _19 1467 . IMPORT _20 , _21 , _22 , _24 , _26 , _27 1468 . IMPORT _28 , _29 , _14 , _15 , _16 , _17 1469 . IMPORT _18 , _19 , _20 , _21 , _22 , _24 1470 . IMPORT _26 , _27 , _28 , _29 , _dma0 , _dmada0 1471 . IMPORT _dma1 , _dmada1 , _dma2 , _dmada2 , _dma3 , _dmada3 1472 . IMPORT _dma4 , _dmada4 , _fmcs , _fm , _fch , _fmwt 1473 . IMPORT _fmwt2 , _fmps , _fmac , _fcha0 , _fcha1 , _fs0 1474 . IMPORT _fs1 , _r4 , _r4 , _rt4 , _b4 , _4 1475 . IMPORT _r4 , _b4 , _be4 , _if1eq4 , _if1cmsk4 , _if1msk124 1476 . IMPORT _if1msk24 , _if1msk14 , _if1b124 , _if1b24 , _if1b14 , _if1mr4 1477 . IMPORT _if1d124 , _if1d14 , _if1d24 , _if1dtb124 , _if1dtb14 , _if1dtb24 1478 . IMPORT _if1d_swp124 , _if1d_swp24 , _if1d_swp14 , _if1dtb_swp124 , _if1dtb_swp24 , _if1dtb_swp14 1479 . IMPORT _if2eq4 , _if2cmsk4 , _if2msk124 , _if2msk24 , _if2msk14 , _if2b124 1480 . IMPORT _if2b24 , _if2b14 , _if2mr4 , _if2d124 , _if2d14 , _if2d24 1481 . IMPORT _if2dtb124 , _if2dtb14 , _if2dtb24 , _if2d_swp124 , _if2d_swp24 , _if2d_swp14 1482 . IMPORT _if2dtb_swp124 , _if2dtb_swp24 , _if2dtb_swp14 , _eqr124 , _eqr24 , _eqr14 1483 . IMPORT _eqr344 , _wdt124 , _wdt24 , _wdt14 , _nd124 , _nd24 1484 . IMPORT _nd14 , _msgv124 , _msgv24 , _msgv14 , _b , _b 1485 . IMPORT _bc , _bc , _bq , _b0 , _b1 , _bad0 1486 . IMPORT _bad1 , _bad2 , _bad3 , _bad4 , _bad5 , _bad6 1487 . IMPORT _bad7 , _fsv1 , _bsv1 , _fsv2 , _bsv2 1488 #agm dasm 1514  tIO_BYTE ; 1515  tIO_WORD ; 1516  tIO_LWORD ; 1517 cڡ  tIO_WORD_READ ; 1520 IO_BYTE mby ; 1522 IO_BYTE m_D7 :1; 1523 IO_BYTE m_D6 :1; 1524 IO_BYTE m_D5 :1; 1525 IO_BYTE m_D4 :1; 1526 IO_BYTE m_D3 :1; 1527 IO_BYTE m_D2 :1; 1528 IO_BYTE m_D1 :1; 1529 IO_BYTE m_D0 :1; 1530 } mb ; 1531 } tPDR14STR ; 1533 IO_BYTE mby ; 1535 IO_BYTE m_D7 :1; 1536 IO_BYTE m_D6 :1; 1537 IO_BYTE m_D5 :1; 1538 IO_BYTE m_D4 :1; 1539 IO_BYTE m_D3 :1; 1540 IO_BYTE m_D2 :1; 1541 IO_BYTE m_D1 :1; 1542 IO_BYTE m_D0 :1; 1543 } mb ; 1544 } tPDR15STR ; 1546 IO_BYTE mby ; 1548 IO_BYTE m_D7 :1; 1549 IO_BYTE m_D6 :1; 1550 IO_BYTE m_D5 :1; 1551 IO_BYTE m_D4 :1; 1552 IO_BYTE m_D3 :1; 1553 IO_BYTE m_D2 :1; 1554 IO_BYTE m_D1 :1; 1555 IO_BYTE m_D0 :1; 1556 } mb ; 1557 } tPDR16STR ; 1559 IO_BYTE mby ; 1561 IO_BYTE m_D7 :1; 1562 IO_BYTE m_D6 :1; 1563 IO_BYTE m_D5 :1; 1564 IO_BYTE m_D4 :1; 1565 IO_BYTE m_D3 :1; 1566 IO_BYTE m_D2 :1; 1567 IO_BYTE m_D1 :1; 1568 IO_BYTE m_D0 :1; 1569 } mb ; 1570 } tPDR17STR ; 1572 IO_BYTE mby ; 1574 mIO_BYTE :1; 1575 IO_BYTE m_D6 :1; 1576 mIO_BYTE :1; 1577 mIO_BYTE :1; 1578 mIO_BYTE :1; 1579 IO_BYTE m_D2 :1; 1580 mIO_BYTE :1; 1581 mIO_BYTE :1; 1582 } mb ; 1583 } tPDR18STR ; 1585 IO_BYTE mby ; 1587 mIO_BYTE :1; 1588 IO_BYTE m_D6 :1; 1589 mIO_BYTE :1; 1590 mIO_BYTE :1; 1591 mIO_BYTE :1; 1592 IO_BYTE m_D2 :1; 1593 IO_BYTE m_D1 :1; 1594 IO_BYTE m_D0 :1; 1595 } mb ; 1596 } tPDR19STR ; 1598 IO_BYTE mby ; 1600 IO_BYTE m_D7 :1; 1601 IO_BYTE m_D6 :1; 1602 IO_BYTE m_D5 :1; 1603 IO_BYTE m_D4 :1; 1604 IO_BYTE m_D3 :1; 1605 IO_BYTE m_D2 :1; 1606 IO_BYTE m_D1 :1; 1607 IO_BYTE m_D0 :1; 1608 } mb ; 1609 } tPDR20STR ; 1611 IO_BYTE mby ; 1613 IO_BYTE m_D7 :1; 1614 IO_BYTE m_D6 :1; 1615 IO_BYTE m_D5 :1; 1616 IO_BYTE m_D4 :1; 1617 IO_BYTE m_D3 :1; 1618 IO_BYTE m_D2 :1; 1619 IO_BYTE m_D1 :1; 1620 IO_BYTE m_D0 :1; 1621 } mb ; 1622 } tPDR21STR ; 1624 IO_BYTE mby ; 1626 mIO_BYTE :1; 1627 mIO_BYTE :1; 1628 IO_BYTE m_D5 :1; 1629 IO_BYTE m_D4 :1; 1630 mIO_BYTE :1; 1631 mIO_BYTE :1; 1632 IO_BYTE m_D1 :1; 1633 IO_BYTE m_D0 :1; 1634 } mb ; 1635 } tPDR22STR ; 1637 IO_BYTE mby ; 1639 IO_BYTE m_D7 :1; 1640 IO_BYTE m_D6 :1; 1641 IO_BYTE m_D5 :1; 1642 IO_BYTE m_D4 :1; 1643 IO_BYTE m_D3 :1; 1644 IO_BYTE m_D2 :1; 1645 IO_BYTE m_D1 :1; 1646 IO_BYTE m_D0 :1; 1647 } mb ; 1648 } tPDR24STR ; 1650 IO_BYTE mby ; 1652 mIO_BYTE :1; 1653 mIO_BYTE :1; 1654 mIO_BYTE :1; 1655 mIO_BYTE :1; 1656 mIO_BYTE :1; 1657 mIO_BYTE :1; 1658 IO_BYTE m_D1 :1; 1659 IO_BYTE m_D0 :1; 1660 } mb ; 1661 } tPDR26STR ; 1663 IO_BYTE mby ; 1665 IO_BYTE m_D7 :1; 1666 IO_BYTE m_D6 :1; 1667 IO_BYTE m_D5 :1; 1668 IO_BYTE m_D4 :1; 1669 IO_BYTE m_D3 :1; 1670 IO_BYTE m_D2 :1; 1671 IO_BYTE m_D1 :1; 1672 IO_BYTE m_D0 :1; 1673 } mb ; 1674 } tPDR27STR ; 1676 IO_BYTE mby ; 1678 IO_BYTE m_D7 :1; 1679 IO_BYTE m_D6 :1; 1680 IO_BYTE m_D5 :1; 1681 IO_BYTE m_D4 :1; 1682 IO_BYTE m_D3 :1; 1683 IO_BYTE m_D2 :1; 1684 IO_BYTE m_D1 :1; 1685 IO_BYTE m_D0 :1; 1686 } mb ; 1687 } tPDR28STR ; 1689 IO_BYTE mby ; 1691 IO_BYTE m_D7 :1; 1692 IO_BYTE m_D6 :1; 1693 IO_BYTE m_D5 :1; 1694 IO_BYTE m_D4 :1; 1695 IO_BYTE m_D3 :1; 1696 IO_BYTE m_D2 :1; 1697 IO_BYTE m_D1 :1; 1698 IO_BYTE m_D0 :1; 1699 } mb ; 1700 } tPDR29STR ; 1702 IO_BYTE mby ; 1704 IO_BYTE m_ER7 :1; 1705 IO_BYTE m_ER6 :1; 1706 IO_BYTE m_ER5 :1; 1707 IO_BYTE m_ER4 :1; 1708 IO_BYTE m_ER3 :1; 1709 IO_BYTE m_ER2 :1; 1710 IO_BYTE m_ER1 :1; 1711 IO_BYTE m_ER0 :1; 1712 } mb ; 1713 } tEIRR0STR ; 1715 IO_BYTE mby ; 1717 IO_BYTE m_EN7 :1; 1718 IO_BYTE m_EN6 :1; 1719 IO_BYTE m_EN5 :1; 1720 IO_BYTE m_EN4 :1; 1721 IO_BYTE m_EN3 :1; 1722 IO_BYTE m_EN2 :1; 1723 IO_BYTE m_EN1 :1; 1724 IO_BYTE m_EN0 :1; 1725 } mb ; 1726 } tENIR0STR ; 1728 IO_WORD mwd ; 1730 IO_WORD m_LB7 :1; 1731 IO_WORD m_LA7 :1; 1732 IO_WORD m_LB6 :1; 1733 IO_WORD m_LA6 :1; 1734 IO_WORD m_LB5 :1; 1735 IO_WORD m_LA5 :1; 1736 IO_WORD m_LB4 :1; 1737 IO_WORD m_LA4 :1; 1738 IO_WORD m_LB3 :1; 1739 IO_WORD m_LA3 :1; 1740 IO_WORD m_LB2 :1; 1741 IO_WORD m_LA2 :1; 1742 IO_WORD m_LB1 :1; 1743 IO_WORD m_LA1 :1; 1744 IO_WORD m_LB0 :1; 1745 IO_WORD m_LA0 :1; 1746 } mb ; 1747 } tELVR0STR ; 1749 IO_BYTE mby ; 1751 IO_BYTE m_ER15 :1; 1752 IO_BYTE m_ER14 :1; 1753 IO_BYTE m_ER13 :1; 1754 IO_BYTE m_ER12 :1; 1755 IO_BYTE m_ER11 :1; 1756 IO_BYTE m_ER10 :1; 1757 IO_BYTE m_ER9 :1; 1758 IO_BYTE m_ER8 :1; 1759 } mb ; 1760 } tEIRR1STR ; 1762 IO_BYTE mby ; 1764 IO_BYTE m_EN15 :1; 1765 IO_BYTE m_EN14 :1; 1766 IO_BYTE m_EN13 :1; 1767 IO_BYTE m_EN12 :1; 1768 IO_BYTE m_EN11 :1; 1769 IO_BYTE m_EN10 :1; 1770 IO_BYTE m_EN9 :1; 1771 IO_BYTE m_EN8 :1; 1772 } mb ; 1773 } tENIR1STR ; 1775 IO_WORD mwd ; 1777 IO_WORD m_LB15 :1; 1778 IO_WORD m_LA15 :1; 1779 IO_WORD m_LB14 :1; 1780 IO_WORD m_LA14 :1; 1781 IO_WORD m_LB13 :1; 1782 IO_WORD m_LA13 :1; 1783 IO_WORD m_LB12 :1; 1784 IO_WORD m_LA12 :1; 1785 IO_WORD m_LB11 :1; 1786 IO_WORD m_LA11 :1; 1787 IO_WORD m_LB10 :1; 1788 IO_WORD m_LA10 :1; 1789 IO_WORD m_LB9 :1; 1790 IO_WORD m_LA9 :1; 1791 IO_WORD m_LB8 :1; 1792 IO_WORD m_LA8 :1; 1793 } mb ; 1794 } tELVR1STR ; 1796 IO_BYTE mby ; 1798 mIO_BYTE :1; 1799 mIO_BYTE :1; 1800 mIO_BYTE :1; 1801 mIO_BYTE :1; 1802 mIO_BYTE :1; 1803 mIO_BYTE :1; 1804 mIO_BYTE :1; 1805 IO_BYTE m_DLYI :1; 1806 } mb ; 1807 } tDICRSTR ; 1809 IO_BYTE mby ; 1811 IO_BYTE m_MHALTI :1; 1812 mIO_BYTE :1; 1813 mIO_BYTE :1; 1814 IO_BYTE m_LVL4 :1; 1815 IO_BYTE m_LVL3 :1; 1816 IO_BYTE m_LVL2 :1; 1817 IO_BYTE m_LVL1 :1; 1818 IO_BYTE m_LVL0 :1; 1819 } mb ; 1821 mIO_BYTE :1; 1822 mIO_BYTE :1; 1823 mIO_BYTE :1; 1824 IO_BYTE m_LVL :5; 1825 } mbc ; 1826 } tHRCLSTR ; 1828 IO_BYTE mby ; 1830 IO_BYTE m_PEN :1; 1831 IO_BYTE m_P :1; 1832 IO_BYTE m_SBL :1; 1833 IO_BYTE m_CL :1; 1834 IO_BYTE m_AD :1; 1835 IO_BYTE m_CRE :1; 1836 IO_BYTE m_RXE :1; 1837 IO_BYTE m_TXE :1; 1838 } mb ; 1839 } tSCR00STR ; 1841 IO_BYTE mby ; 1843 IO_BYTE m_MD1 :1; 1844 IO_BYTE m_MD0 :1; 1845 IO_BYTE m_OTO :1; 1846 IO_BYTE m_EXT :1; 1847 IO_BYTE m_REST :1; 1848 IO_BYTE m_UPCL :1; 1849 IO_BYTE m_SCKE :1; 1850 IO_BYTE m_SOE :1; 1851 } mb ; 1853 IO_BYTE m_MD :2; 1854 } mbc ; 1855 } tSMR00STR ; 1857 IO_BYTE mby ; 1859 IO_BYTE m_PE :1; 1860 IO_BYTE m_ORE :1; 1861 IO_BYTE m_FRE :1; 1862 IO_BYTE m_RDRF :1; 1863 IO_BYTE m_TDRE :1; 1864 IO_BYTE m_BDS :1; 1865 IO_BYTE m_RIE :1; 1866 IO_BYTE m_TIE :1; 1867 } mb ; 1868 } tSSR00STR ; 1870 IO_BYTE mby ; 1872 IO_BYTE m_LBIE :1; 1873 IO_BYTE m_LBD :1; 1874 IO_BYTE m_LBL1 :1; 1875 IO_BYTE m_LBL0 :1; 1876 IO_BYTE m_SOPE :1; 1877 IO_BYTE m_SIOP :1; 1878 IO_BYTE m_CCO :1; 1879 IO_BYTE m_SCES :1; 1880 } mb ; 1882 mIO_BYTE :1; 1883 mIO_BYTE :1; 1884 IO_BYTE m_LBL :2; 1885 } mbc ; 1886 } tESCR00STR ; 1888 IO_BYTE mby ; 1890 IO_BYTE m_INV :1; 1891 IO_BYTE m_LBR :1; 1892 IO_BYTE m_MS :1; 1893 IO_BYTE m_SCDE :1; 1894 IO_BYTE m_SSM :1; 1895 IO_BYTE m_BIE :1; 1896 IO_BYTE m_RBI :1; 1897 IO_BYTE m_TBI :1; 1898 } mb ; 1899 } tECCR00STR ; 1901 IO_BYTE mby ; 1903 IO_BYTE m_PEN :1; 1904 IO_BYTE m_P :1; 1905 IO_BYTE m_SBL :1; 1906 IO_BYTE m_CL :1; 1907 IO_BYTE m_AD :1; 1908 IO_BYTE m_CRE :1; 1909 IO_BYTE m_RXE :1; 1910 IO_BYTE m_TXE :1; 1911 } mb ; 1912 } tSCR01STR ; 1914 IO_BYTE mby ; 1916 IO_BYTE m_MD1 :1; 1917 IO_BYTE m_MD0 :1; 1918 IO_BYTE m_OTO :1; 1919 IO_BYTE m_EXT :1; 1920 IO_BYTE m_REST :1; 1921 IO_BYTE m_UPCL :1; 1922 IO_BYTE m_SCKE :1; 1923 IO_BYTE m_SOE :1; 1924 } mb ; 1926 IO_BYTE m_MD :2; 1927 } mbc ; 1928 } tSMR01STR ; 1930 IO_BYTE mby ; 1932 IO_BYTE m_PE :1; 1933 IO_BYTE m_ORE :1; 1934 IO_BYTE m_FRE :1; 1935 IO_BYTE m_RDRF :1; 1936 IO_BYTE m_TDRE :1; 1937 IO_BYTE m_BDS :1; 1938 IO_BYTE m_RIE :1; 1939 IO_BYTE m_TIE :1; 1940 } mb ; 1941 } tSSR01STR ; 1943 IO_BYTE mby ; 1945 IO_BYTE m_LBIE :1; 1946 IO_BYTE m_LBD :1; 1947 IO_BYTE m_LBL1 :1; 1948 IO_BYTE m_LBL0 :1; 1949 IO_BYTE m_SOPE :1; 1950 IO_BYTE m_SIOP :1; 1951 IO_BYTE m_CCO :1; 1952 IO_BYTE m_SCES :1; 1953 } mb ; 1955 mIO_BYTE :1; 1956 mIO_BYTE :1; 1957 IO_BYTE m_LBL :2; 1958 } mbc ; 1959 } tESCR01STR ; 1961 IO_BYTE mby ; 1963 IO_BYTE m_INV :1; 1964 IO_BYTE m_LBR :1; 1965 IO_BYTE m_MS :1; 1966 IO_BYTE m_SCDE :1; 1967 IO_BYTE m_SSM :1; 1968 IO_BYTE m_BIE :1; 1969 IO_BYTE m_RBI :1; 1970 IO_BYTE m_TBI :1; 1971 } mb ; 1972 } tECCR01STR ; 1974 IO_BYTE mby ; 1976 IO_BYTE m_PEN :1; 1977 IO_BYTE m_P :1; 1978 IO_BYTE m_SBL :1; 1979 IO_BYTE m_CL :1; 1980 IO_BYTE m_AD :1; 1981 IO_BYTE m_CRE :1; 1982 IO_BYTE m_RXE :1; 1983 IO_BYTE m_TXE :1; 1984 } mb ; 1985 } tSCR02STR ; 1987 IO_BYTE mby ; 1989 IO_BYTE m_MD1 :1; 1990 IO_BYTE m_MD0 :1; 1991 IO_BYTE m_OTO :1; 1992 IO_BYTE m_EXT :1; 1993 IO_BYTE m_REST :1; 1994 IO_BYTE m_UPCL :1; 1995 IO_BYTE m_SCKE :1; 1996 IO_BYTE m_SOE :1; 1997 } mb ; 1999 IO_BYTE m_MD :2; 2000 } mbc ; 2001 } tSMR02STR ; 2003 IO_BYTE mby ; 2005 IO_BYTE m_PE :1; 2006 IO_BYTE m_ORE :1; 2007 IO_BYTE m_FRE :1; 2008 IO_BYTE m_RDRF :1; 2009 IO_BYTE m_TDRE :1; 2010 IO_BYTE m_BDS :1; 2011 IO_BYTE m_RIE :1; 2012 IO_BYTE m_TIE :1; 2013 } mb ; 2014 } tSSR02STR ; 2016 IO_BYTE mby ; 2018 IO_BYTE m_LBIE :1; 2019 IO_BYTE m_LBD :1; 2020 IO_BYTE m_LBL1 :1; 2021 IO_BYTE m_LBL0 :1; 2022 IO_BYTE m_SOPE :1; 2023 IO_BYTE m_SIOP :1; 2024 IO_BYTE m_CCO :1; 2025 IO_BYTE m_SCES :1; 2026 } mb ; 2028 mIO_BYTE :1; 2029 mIO_BYTE :1; 2030 IO_BYTE m_LBL :2; 2031 } mbc ; 2032 } tESCR02STR ; 2034 IO_BYTE mby ; 2036 IO_BYTE m_INV :1; 2037 IO_BYTE m_LBR :1; 2038 IO_BYTE m_MS :1; 2039 IO_BYTE m_SCDE :1; 2040 IO_BYTE m_SSM :1; 2041 IO_BYTE m_BIE :1; 2042 IO_BYTE m_RBI :1; 2043 IO_BYTE m_TBI :1; 2044 } mb ; 2045 } tECCR02STR ; 2047 IO_BYTE mby ; 2049 IO_BYTE m_PEN :1; 2050 IO_BYTE m_P :1; 2051 IO_BYTE m_SBL :1; 2052 IO_BYTE m_CL :1; 2053 IO_BYTE m_AD :1; 2054 IO_BYTE m_CRE :1; 2055 IO_BYTE m_RXE :1; 2056 IO_BYTE m_TXE :1; 2057 } mb ; 2058 } tSCR03STR ; 2060 IO_BYTE mby ; 2062 IO_BYTE m_MD1 :1; 2063 IO_BYTE m_MD0 :1; 2064 IO_BYTE m_OTO :1; 2065 IO_BYTE m_EXT :1; 2066 IO_BYTE m_REST :1; 2067 IO_BYTE m_UPCL :1; 2068 IO_BYTE m_SCKE :1; 2069 IO_BYTE m_SOE :1; 2070 } mb ; 2072 IO_BYTE m_MD :2; 2073 } mbc ; 2074 } tSMR03STR ; 2076 IO_BYTE mby ; 2078 IO_BYTE m_PE :1; 2079 IO_BYTE m_ORE :1; 2080 IO_BYTE m_FRE :1; 2081 IO_BYTE m_RDRF :1; 2082 IO_BYTE m_TDRE :1; 2083 IO_BYTE m_BDS :1; 2084 IO_BYTE m_RIE :1; 2085 IO_BYTE m_TIE :1; 2086 } mb ; 2087 } tSSR03STR ; 2089 IO_BYTE mby ; 2091 IO_BYTE m_LBIE :1; 2092 IO_BYTE m_LBD :1; 2093 IO_BYTE m_LBL1 :1; 2094 IO_BYTE m_LBL0 :1; 2095 IO_BYTE m_SOPE :1; 2096 IO_BYTE m_SIOP :1; 2097 IO_BYTE m_CCO :1; 2098 IO_BYTE m_SCES :1; 2099 } mb ; 2101 mIO_BYTE :1; 2102 mIO_BYTE :1; 2103 IO_BYTE m_LBL :2; 2104 } mbc ; 2105 } tESCR03STR ; 2107 IO_BYTE mby ; 2109 IO_BYTE m_INV :1; 2110 IO_BYTE m_LBR :1; 2111 IO_BYTE m_MS :1; 2112 IO_BYTE m_SCDE :1; 2113 IO_BYTE m_SSM :1; 2114 IO_BYTE m_BIE :1; 2115 IO_BYTE m_RBI :1; 2116 IO_BYTE m_TBI :1; 2117 } mb ; 2118 } tECCR03STR ; 2120 IO_BYTE mby ; 2122 IO_BYTE m_PEN :1; 2123 IO_BYTE m_P :1; 2124 IO_BYTE m_SBL :1; 2125 IO_BYTE m_CL :1; 2126 IO_BYTE m_AD :1; 2127 IO_BYTE m_CRE :1; 2128 IO_BYTE m_RXE :1; 2129 IO_BYTE m_TXE :1; 2130 } mb ; 2131 } tSCR04STR ; 2133 IO_BYTE mby ; 2135 IO_BYTE m_MD1 :1; 2136 IO_BYTE m_MD0 :1; 2137 IO_BYTE m_OTO :1; 2138 IO_BYTE m_EXT :1; 2139 IO_BYTE m_REST :1; 2140 IO_BYTE m_UPCL :1; 2141 IO_BYTE m_SCKE :1; 2142 IO_BYTE m_SOE :1; 2143 } mb ; 2145 IO_BYTE m_MD :2; 2146 } mbc ; 2147 } tSMR04STR ; 2149 IO_BYTE mby ; 2151 IO_BYTE m_PE :1; 2152 IO_BYTE m_ORE :1; 2153 IO_BYTE m_FRE :1; 2154 IO_BYTE m_RDRF :1; 2155 IO_BYTE m_TDRE :1; 2156 IO_BYTE m_BDS :1; 2157 IO_BYTE m_RIE :1; 2158 IO_BYTE m_TIE :1; 2159 } mb ; 2160 } tSSR04STR ; 2162 IO_BYTE mby ; 2164 IO_BYTE m_LBIE :1; 2165 IO_BYTE m_LBD :1; 2166 IO_BYTE m_LBL1 :1; 2167 IO_BYTE m_LBL0 :1; 2168 IO_BYTE m_SOPE :1; 2169 IO_BYTE m_SIOP :1; 2170 IO_BYTE m_CCO :1; 2171 IO_BYTE m_SCES :1; 2172 } mb ; 2174 mIO_BYTE :1; 2175 mIO_BYTE :1; 2176 IO_BYTE m_LBL :2; 2177 } mbc ; 2178 } tESCR04STR ; 2180 IO_BYTE mby ; 2182 IO_BYTE m_INV :1; 2183 IO_BYTE m_LBR :1; 2184 IO_BYTE m_MS :1; 2185 IO_BYTE m_SCDE :1; 2186 IO_BYTE m_SSM :1; 2187 IO_BYTE m_BIE :1; 2188 IO_BYTE m_RBI :1; 2189 IO_BYTE m_TBI :1; 2190 } mb ; 2191 } tECCR04STR ; 2193 IO_BYTE mby ; 2195 IO_BYTE m_RXL3 :1; 2196 IO_BYTE m_RXL2 :1; 2197 IO_BYTE m_RXL1 :1; 2198 IO_BYTE m_RXL0 :1; 2199 mIO_BYTE :1; 2200 IO_BYTE m_ERX :1; 2201 IO_BYTE m_ETX :1; 2202 IO_BYTE m_SVD :1; 2203 } mb ; 2205 IO_BYTE m_RXL :4; 2206 } mbc ; 2207 } tFCR04STR ; 2209 IO_BYTE mby ; 2211 IO_BYTE m_BER :1; 2212 IO_BYTE m_BEIE :1; 2213 IO_BYTE m_SCC :1; 2214 IO_BYTE m_MSS :1; 2215 IO_BYTE m_ACK :1; 2216 IO_BYTE m_GCAA :1; 2217 IO_BYTE m_INTE :1; 2218 IO_BYTE m_INT :1; 2219 } mb ; 2220 } tIBCR0STR ; 2222 IO_BYTE mby ; 2224 IO_BYTE m_BB :1; 2225 IO_BYTE m_RSC :1; 2226 IO_BYTE m_AL :1; 2227 IO_BYTE m_LRB :1; 2228 IO_BYTE m_TRX :1; 2229 IO_BYTE m_AAS :1; 2230 IO_BYTE m_GCA :1; 2231 IO_BYTE m_ADT :1; 2232 } mb ; 2233 } tIBSR0STR ; 2235 IO_WORD mwd ; 2237 mIO_WORD :1; 2238 mIO_WORD :1; 2239 mIO_WORD :1; 2240 mIO_WORD :1; 2241 mIO_WORD :1; 2242 mIO_WORD :1; 2243 IO_WORD m_TA9 :1; 2244 IO_WORD m_TA8 :1; 2245 IO_WORD m_TA7 :1; 2246 IO_WORD m_TA6 :1; 2247 IO_WORD m_TA5 :1; 2248 IO_WORD m_TA4 :1; 2249 IO_WORD m_TA3 :1; 2250 IO_WORD m_TA2 :1; 2251 IO_WORD m_TA1 :1; 2252 IO_WORD m_TA0 :1; 2253 } mb ; 2254 } tITBA0STR ; 2256 IO_BYTE mby ; 2258 mIO_BYTE :1; 2259 mIO_BYTE :1; 2260 mIO_BYTE :1; 2261 mIO_BYTE :1; 2262 mIO_BYTE :1; 2263 mIO_BYTE :1; 2264 IO_BYTE m_TA9 :1; 2265 IO_BYTE m_TA8 :1; 2266 } mb ; 2267 } tITBAH0STR ; 2269 IO_BYTE mby ; 2271 IO_BYTE m_TA7 :1; 2272 IO_BYTE m_TA6 :1; 2273 IO_BYTE m_TA5 :1; 2274 IO_BYTE m_TA4 :1; 2275 IO_BYTE m_TA3 :1; 2276 IO_BYTE m_TA2 :1; 2277 IO_BYTE m_TA1 :1; 2278 IO_BYTE m_TA0 :1; 2279 } mb ; 2280 } tITBAL0STR ; 2282 IO_WORD mwd ; 2284 IO_WORD m_ENTB :1; 2285 IO_WORD m_RAL :1; 2286 mIO_WORD :1; 2287 mIO_WORD :1; 2288 mIO_WORD :1; 2289 mIO_WORD :1; 2290 IO_WORD m_TM9 :1; 2291 IO_WORD m_TM8 :1; 2292 IO_WORD m_TM7 :1; 2293 IO_WORD m_TM6 :1; 2294 IO_WORD m_TM5 :1; 2295 IO_WORD m_TM4 :1; 2296 IO_WORD m_TM3 :1; 2297 IO_WORD m_TM2 :1; 2298 IO_WORD m_TM1 :1; 2299 IO_WORD m_TM0 :1; 2300 } mb ; 2301 } tITMK0STR ; 2303 IO_BYTE mby ; 2305 IO_BYTE m_ENTB :1; 2306 IO_BYTE m_RAL :1; 2307 mIO_BYTE :1; 2308 mIO_BYTE :1; 2309 mIO_BYTE :1; 2310 mIO_BYTE :1; 2311 IO_BYTE m_TM9 :1; 2312 IO_BYTE m_TM8 :1; 2313 } mb ; 2314 } tITMKH0STR ; 2316 IO_BYTE mby ; 2318 IO_BYTE m_TM7 :1; 2319 IO_BYTE m_TM6 :1; 2320 IO_BYTE m_TM5 :1; 2321 IO_BYTE m_TM4 :1; 2322 IO_BYTE m_TM3 :1; 2323 IO_BYTE m_TM2 :1; 2324 IO_BYTE m_TM1 :1; 2325 IO_BYTE m_TM0 :1; 2326 } mb ; 2327 } tITMKL0STR ; 2329 IO_BYTE mby ; 2331 IO_BYTE m_ENSB :1; 2332 IO_BYTE m_SM6 :1; 2333 IO_BYTE m_SM5 :1; 2334 IO_BYTE m_SM4 :1; 2335 IO_BYTE m_SM3 :1; 2336 IO_BYTE m_SM2 :1; 2337 IO_BYTE m_SM1 :1; 2338 IO_BYTE m_SM0 :1; 2339 } mb ; 2340 } tISMK0STR ; 2342 IO_BYTE mby ; 2344 mIO_BYTE :1; 2345 IO_BYTE m_SA6 :1; 2346 IO_BYTE m_SA5 :1; 2347 IO_BYTE m_SA4 :1; 2348 IO_BYTE m_SA3 :1; 2349 IO_BYTE m_SA2 :1; 2350 IO_BYTE m_SA1 :1; 2351 IO_BYTE m_SA0 :1; 2352 } mb ; 2353 } tISBA0STR ; 2355 IO_BYTE mby ; 2357 IO_BYTE m_D7 :1; 2358 IO_BYTE m_D6 :1; 2359 IO_BYTE m_D5 :1; 2360 IO_BYTE m_D4 :1; 2361 IO_BYTE m_D3 :1; 2362 IO_BYTE m_D2 :1; 2363 IO_BYTE m_D1 :1; 2364 IO_BYTE m_D0 :1; 2365 } mb ; 2366 } tIDAR0STR ; 2368 IO_BYTE mby ; 2370 mIO_BYTE :1; 2371 IO_BYTE m_NSF :1; 2372 IO_BYTE m_EN :1; 2373 IO_BYTE m_CS4 :1; 2374 IO_BYTE m_CS3 :1; 2375 IO_BYTE m_CS2 :1; 2376 IO_BYTE m_CS1 :1; 2377 IO_BYTE m_CS0 :1; 2378 } mb ; 2380 mIO_BYTE :1; 2381 mIO_BYTE :1; 2382 mIO_BYTE :1; 2383 IO_BYTE m_CS :5; 2384 } mbc ; 2385 } tICCR0STR ; 2387 IO_WORD mwd ; 2389 IO_WORD m_TSEL33 :1; 2390 IO_WORD m_TSEL32 :1; 2391 IO_WORD m_TSEL31 :1; 2392 IO_WORD m_TSEL30 :1; 2393 IO_WORD m_TSEL23 :1; 2394 IO_WORD m_TSEL22 :1; 2395 IO_WORD m_TSEL21 :1; 2396 IO_WORD m_TSEL20 :1; 2397 IO_WORD m_TSEL13 :1; 2398 IO_WORD m_TSEL12 :1; 2399 IO_WORD m_TSEL11 :1; 2400 IO_WORD m_TSEL10 :1; 2401 IO_WORD m_TSEL03 :1; 2402 IO_WORD m_TSEL02 :1; 2403 IO_WORD m_TSEL01 :1; 2404 IO_WORD m_TSEL00 :1; 2405 } mb ; 2406 } tGCN10STR ; 2408 IO_BYTE mby ; 2410 mIO_BYTE :1; 2411 mIO_BYTE :1; 2412 mIO_BYTE :1; 2413 mIO_BYTE :1; 2414 IO_BYTE m_EN3 :1; 2415 IO_BYTE m_EN2 :1; 2416 IO_BYTE m_EN1 :1; 2417 IO_BYTE m_EN0 :1; 2418 } mb ; 2419 } tGCN20STR ; 2421 IO_WORD mwd ; 2423 IO_WORD m_TSEL33 :1; 2424 IO_WORD m_TSEL32 :1; 2425 IO_WORD m_TSEL31 :1; 2426 IO_WORD m_TSEL30 :1; 2427 IO_WORD m_TSEL23 :1; 2428 IO_WORD m_TSEL22 :1; 2429 IO_WORD m_TSEL21 :1; 2430 IO_WORD m_TSEL20 :1; 2431 IO_WORD m_TSEL13 :1; 2432 IO_WORD m_TSEL12 :1; 2433 IO_WORD m_TSEL11 :1; 2434 IO_WORD m_TSEL10 :1; 2435 IO_WORD m_TSEL03 :1; 2436 IO_WORD m_TSEL02 :1; 2437 IO_WORD m_TSEL01 :1; 2438 IO_WORD m_TSEL00 :1; 2439 } mb ; 2440 } tGCN11STR ; 2442 IO_BYTE mby ; 2444 mIO_BYTE :1; 2445 mIO_BYTE :1; 2446 mIO_BYTE :1; 2447 mIO_BYTE :1; 2448 IO_BYTE m_EN3 :1; 2449 IO_BYTE m_EN2 :1; 2450 IO_BYTE m_EN1 :1; 2451 IO_BYTE m_EN0 :1; 2452 } mb ; 2453 } tGCN21STR ; 2455 IO_WORD mwd ; 2457 IO_WORD m_TSEL33 :1; 2458 IO_WORD m_TSEL32 :1; 2459 IO_WORD m_TSEL31 :1; 2460 IO_WORD m_TSEL30 :1; 2461 IO_WORD m_TSEL23 :1; 2462 IO_WORD m_TSEL22 :1; 2463 IO_WORD m_TSEL21 :1; 2464 IO_WORD m_TSEL20 :1; 2465 IO_WORD m_TSEL13 :1; 2466 IO_WORD m_TSEL12 :1; 2467 IO_WORD m_TSEL11 :1; 2468 IO_WORD m_TSEL10 :1; 2469 IO_WORD m_TSEL03 :1; 2470 IO_WORD m_TSEL02 :1; 2471 IO_WORD m_TSEL01 :1; 2472 IO_WORD m_TSEL00 :1; 2473 } mb ; 2474 } tGCN12STR ; 2476 IO_BYTE mby ; 2478 mIO_BYTE :1; 2479 mIO_BYTE :1; 2480 mIO_BYTE :1; 2481 mIO_BYTE :1; 2482 IO_BYTE m_EN3 :1; 2483 IO_BYTE m_EN2 :1; 2484 IO_BYTE m_EN1 :1; 2485 IO_BYTE m_EN0 :1; 2486 } mb ; 2487 } tGCN22STR ; 2489 IO_WORD mwd ; 2491 IO_WORD m_CNTE :1; 2492 IO_WORD m_STGR :1; 2493 IO_WORD m_MDSE :1; 2494 IO_WORD m_RTRG :1; 2495 IO_WORD m_CKS1 :1; 2496 IO_WORD m_CKS0 :1; 2497 IO_WORD m_PGMS :1; 2498 mIO_WORD :1; 2499 IO_WORD m_EGS1 :1; 2500 IO_WORD m_EGS0 :1; 2501 IO_WORD m_IREN :1; 2502 IO_WORD m_IRQF :1; 2503 IO_WORD m_IRS1 :1; 2504 IO_WORD m_IRS0 :1; 2505 mIO_WORD :1; 2506 IO_WORD m_OSEL :1; 2507 } mb ; 2509 mIO_WORD :1; 2510 mIO_WORD :1; 2511 mIO_WORD :1; 2512 mIO_WORD :1; 2513 IO_WORD m_CKS :2; 2514 mIO_WORD :1; 2515 mIO_WORD :1; 2516 IO_WORD m_EGS :2; 2517 mIO_WORD :1; 2518 mIO_WORD :1; 2519 IO_WORD m_IRS :2; 2520 } mbc ; 2521 } tPCN00STR ; 2523 IO_BYTE mby ; 2525 IO_BYTE m_CNTE :1; 2526 IO_BYTE m_STGR :1; 2527 IO_BYTE m_MDSE :1; 2528 IO_BYTE m_RTRG :1; 2529 IO_BYTE m_CKS1 :1; 2530 IO_BYTE m_CKS0 :1; 2531 IO_BYTE m_PGMS :1; 2532 mIO_BYTE :1; 2533 } mb ; 2535 mIO_BYTE :1; 2536 mIO_BYTE :1; 2537 mIO_BYTE :1; 2538 mIO_BYTE :1; 2539 IO_BYTE m_CKS :2; 2540 } mbc ; 2541 } tPCNH00STR ; 2543 IO_BYTE mby ; 2545 IO_BYTE m_EGS1 :1; 2546 IO_BYTE m_EGS0 :1; 2547 IO_BYTE m_IREN :1; 2548 IO_BYTE m_IRQF :1; 2549 IO_BYTE m_IRS1 :1; 2550 IO_BYTE m_IRS0 :1; 2551 mIO_BYTE :1; 2552 IO_BYTE m_OSEL :1; 2553 } mb ; 2555 IO_BYTE m_EGS :2; 2556 mIO_BYTE :1; 2557 mIO_BYTE :1; 2558 IO_BYTE m_IRS :2; 2559 } mbc ; 2560 } tPCNL00STR ; 2562 IO_WORD mwd ; 2564 IO_WORD m_CNTE :1; 2565 IO_WORD m_STGR :1; 2566 IO_WORD m_MDSE :1; 2567 IO_WORD m_RTRG :1; 2568 IO_WORD m_CKS1 :1; 2569 IO_WORD m_CKS0 :1; 2570 IO_WORD m_PGMS :1; 2571 mIO_WORD :1; 2572 IO_WORD m_EGS1 :1; 2573 IO_WORD m_EGS0 :1; 2574 IO_WORD m_IREN :1; 2575 IO_WORD m_IRQF :1; 2576 IO_WORD m_IRS1 :1; 2577 IO_WORD m_IRS0 :1; 2578 mIO_WORD :1; 2579 IO_WORD m_OSEL :1; 2580 } mb ; 2582 mIO_WORD :1; 2583 mIO_WORD :1; 2584 mIO_WORD :1; 2585 mIO_WORD :1; 2586 IO_WORD m_CKS :2; 2587 mIO_WORD :1; 2588 mIO_WORD :1; 2589 IO_WORD m_EGS :2; 2590 mIO_WORD :1; 2591 mIO_WORD :1; 2592 IO_WORD m_IRS :2; 2593 } mbc ; 2594 } tPCN01STR ; 2596 IO_BYTE mby ; 2598 IO_BYTE m_CNTE :1; 2599 IO_BYTE m_STGR :1; 2600 IO_BYTE m_MDSE :1; 2601 IO_BYTE m_RTRG :1; 2602 IO_BYTE m_CKS1 :1; 2603 IO_BYTE m_CKS0 :1; 2604 IO_BYTE m_PGMS :1; 2605 mIO_BYTE :1; 2606 } mb ; 2608 mIO_BYTE :1; 2609 mIO_BYTE :1; 2610 mIO_BYTE :1; 2611 mIO_BYTE :1; 2612 IO_BYTE m_CKS :2; 2613 } mbc ; 2614 } tPCNH01STR ; 2616 IO_BYTE mby ; 2618 IO_BYTE m_EGS1 :1; 2619 IO_BYTE m_EGS0 :1; 2620 IO_BYTE m_IREN :1; 2621 IO_BYTE m_IRQF :1; 2622 IO_BYTE m_IRS1 :1; 2623 IO_BYTE m_IRS0 :1; 2624 mIO_BYTE :1; 2625 IO_BYTE m_OSEL :1; 2626 } mb ; 2628 IO_BYTE m_EGS :2; 2629 mIO_BYTE :1; 2630 mIO_BYTE :1; 2631 IO_BYTE m_IRS :2; 2632 } mbc ; 2633 } tPCNL01STR ; 2635 IO_WORD mwd ; 2637 IO_WORD m_CNTE :1; 2638 IO_WORD m_STGR :1; 2639 IO_WORD m_MDSE :1; 2640 IO_WORD m_RTRG :1; 2641 IO_WORD m_CKS1 :1; 2642 IO_WORD m_CKS0 :1; 2643 IO_WORD m_PGMS :1; 2644 mIO_WORD :1; 2645 IO_WORD m_EGS1 :1; 2646 IO_WORD m_EGS0 :1; 2647 IO_WORD m_IREN :1; 2648 IO_WORD m_IRQF :1; 2649 IO_WORD m_IRS1 :1; 2650 IO_WORD m_IRS0 :1; 2651 mIO_WORD :1; 2652 IO_WORD m_OSEL :1; 2653 } mb ; 2655 mIO_WORD :1; 2656 mIO_WORD :1; 2657 mIO_WORD :1; 2658 mIO_WORD :1; 2659 IO_WORD m_CKS :2; 2660 mIO_WORD :1; 2661 mIO_WORD :1; 2662 IO_WORD m_EGS :2; 2663 mIO_WORD :1; 2664 mIO_WORD :1; 2665 IO_WORD m_IRS :2; 2666 } mbc ; 2667 } tPCN02STR ; 2669 IO_BYTE mby ; 2671 IO_BYTE m_CNTE :1; 2672 IO_BYTE m_STGR :1; 2673 IO_BYTE m_MDSE :1; 2674 IO_BYTE m_RTRG :1; 2675 IO_BYTE m_CKS1 :1; 2676 IO_BYTE m_CKS0 :1; 2677 IO_BYTE m_PGMS :1; 2678 mIO_BYTE :1; 2679 } mb ; 2681 mIO_BYTE :1; 2682 mIO_BYTE :1; 2683 mIO_BYTE :1; 2684 mIO_BYTE :1; 2685 IO_BYTE m_CKS :2; 2686 } mbc ; 2687 } tPCNH02STR ; 2689 IO_BYTE mby ; 2691 IO_BYTE m_EGS1 :1; 2692 IO_BYTE m_EGS0 :1; 2693 IO_BYTE m_IREN :1; 2694 IO_BYTE m_IRQF :1; 2695 IO_BYTE m_IRS1 :1; 2696 IO_BYTE m_IRS0 :1; 2697 mIO_BYTE :1; 2698 IO_BYTE m_OSEL :1; 2699 } mb ; 2701 IO_BYTE m_EGS :2; 2702 mIO_BYTE :1; 2703 mIO_BYTE :1; 2704 IO_BYTE m_IRS :2; 2705 } mbc ; 2706 } tPCNL02STR ; 2708 IO_WORD mwd ; 2710 IO_WORD m_CNTE :1; 2711 IO_WORD m_STGR :1; 2712 IO_WORD m_MDSE :1; 2713 IO_WORD m_RTRG :1; 2714 IO_WORD m_CKS1 :1; 2715 IO_WORD m_CKS0 :1; 2716 IO_WORD m_PGMS :1; 2717 mIO_WORD :1; 2718 IO_WORD m_EGS1 :1; 2719 IO_WORD m_EGS0 :1; 2720 IO_WORD m_IREN :1; 2721 IO_WORD m_IRQF :1; 2722 IO_WORD m_IRS1 :1; 2723 IO_WORD m_IRS0 :1; 2724 mIO_WORD :1; 2725 IO_WORD m_OSEL :1; 2726 } mb ; 2728 mIO_WORD :1; 2729 mIO_WORD :1; 2730 mIO_WORD :1; 2731 mIO_WORD :1; 2732 IO_WORD m_CKS :2; 2733 mIO_WORD :1; 2734 mIO_WORD :1; 2735 IO_WORD m_EGS :2; 2736 mIO_WORD :1; 2737 mIO_WORD :1; 2738 IO_WORD m_IRS :2; 2739 } mbc ; 2740 } tPCN03STR ; 2742 IO_BYTE mby ; 2744 IO_BYTE m_CNTE :1; 2745 IO_BYTE m_STGR :1; 2746 IO_BYTE m_MDSE :1; 2747 IO_BYTE m_RTRG :1; 2748 IO_BYTE m_CKS1 :1; 2749 IO_BYTE m_CKS0 :1; 2750 IO_BYTE m_PGMS :1; 2751 mIO_BYTE :1; 2752 } mb ; 2754 mIO_BYTE :1; 2755 mIO_BYTE :1; 2756 mIO_BYTE :1; 2757 mIO_BYTE :1; 2758 IO_BYTE m_CKS :2; 2759 } mbc ; 2760 } tPCNH03STR ; 2762 IO_BYTE mby ; 2764 IO_BYTE m_EGS1 :1; 2765 IO_BYTE m_EGS0 :1; 2766 IO_BYTE m_IREN :1; 2767 IO_BYTE m_IRQF :1; 2768 IO_BYTE m_IRS1 :1; 2769 IO_BYTE m_IRS0 :1; 2770 mIO_BYTE :1; 2771 IO_BYTE m_OSEL :1; 2772 } mb ; 2774 IO_BYTE m_EGS :2; 2775 mIO_BYTE :1; 2776 mIO_BYTE :1; 2777 IO_BYTE m_IRS :2; 2778 } mbc ; 2779 } tPCNL03STR ; 2781 IO_WORD mwd ; 2783 IO_WORD m_CNTE :1; 2784 IO_WORD m_STGR :1; 2785 IO_WORD m_MDSE :1; 2786 IO_WORD m_RTRG :1; 2787 IO_WORD m_CKS1 :1; 2788 IO_WORD m_CKS0 :1; 2789 IO_WORD m_PGMS :1; 2790 mIO_WORD :1; 2791 IO_WORD m_EGS1 :1; 2792 IO_WORD m_EGS0 :1; 2793 IO_WORD m_IREN :1; 2794 IO_WORD m_IRQF :1; 2795 IO_WORD m_IRS1 :1; 2796 IO_WORD m_IRS0 :1; 2797 mIO_WORD :1; 2798 IO_WORD m_OSEL :1; 2799 } mb ; 2801 mIO_WORD :1; 2802 mIO_WORD :1; 2803 mIO_WORD :1; 2804 mIO_WORD :1; 2805 IO_WORD m_CKS :2; 2806 mIO_WORD :1; 2807 mIO_WORD :1; 2808 IO_WORD m_EGS :2; 2809 mIO_WORD :1; 2810 mIO_WORD :1; 2811 IO_WORD m_IRS :2; 2812 } mbc ; 2813 } tPCN04STR ; 2815 IO_BYTE mby ; 2817 IO_BYTE m_CNTE :1; 2818 IO_BYTE m_STGR :1; 2819 IO_BYTE m_MDSE :1; 2820 IO_BYTE m_RTRG :1; 2821 IO_BYTE m_CKS1 :1; 2822 IO_BYTE m_CKS0 :1; 2823 IO_BYTE m_PGMS :1; 2824 mIO_BYTE :1; 2825 } mb ; 2827 mIO_BYTE :1; 2828 mIO_BYTE :1; 2829 mIO_BYTE :1; 2830 mIO_BYTE :1; 2831 IO_BYTE m_CKS :2; 2832 } mbc ; 2833 } tPCNH04STR ; 2835 IO_BYTE mby ; 2837 IO_BYTE m_EGS1 :1; 2838 IO_BYTE m_EGS0 :1; 2839 IO_BYTE m_IREN :1; 2840 IO_BYTE m_IRQF :1; 2841 IO_BYTE m_IRS1 :1; 2842 IO_BYTE m_IRS0 :1; 2843 mIO_BYTE :1; 2844 IO_BYTE m_OSEL :1; 2845 } mb ; 2847 IO_BYTE m_EGS :2; 2848 mIO_BYTE :1; 2849 mIO_BYTE :1; 2850 IO_BYTE m_IRS :2; 2851 } mbc ; 2852 } tPCNL04STR ; 2854 IO_WORD mwd ; 2856 IO_WORD m_CNTE :1; 2857 IO_WORD m_STGR :1; 2858 IO_WORD m_MDSE :1; 2859 IO_WORD m_RTRG :1; 2860 IO_WORD m_CKS1 :1; 2861 IO_WORD m_CKS0 :1; 2862 IO_WORD m_PGMS :1; 2863 mIO_WORD :1; 2864 IO_WORD m_EGS1 :1; 2865 IO_WORD m_EGS0 :1; 2866 IO_WORD m_IREN :1; 2867 IO_WORD m_IRQF :1; 2868 IO_WORD m_IRS1 :1; 2869 IO_WORD m_IRS0 :1; 2870 mIO_WORD :1; 2871 IO_WORD m_OSEL :1; 2872 } mb ; 2874 mIO_WORD :1; 2875 mIO_WORD :1; 2876 mIO_WORD :1; 2877 mIO_WORD :1; 2878 IO_WORD m_CKS :2; 2879 mIO_WORD :1; 2880 mIO_WORD :1; 2881 IO_WORD m_EGS :2; 2882 mIO_WORD :1; 2883 mIO_WORD :1; 2884 IO_WORD m_IRS :2; 2885 } mbc ; 2886 } tPCN05STR ; 2888 IO_BYTE mby ; 2890 IO_BYTE m_CNTE :1; 2891 IO_BYTE m_STGR :1; 2892 IO_BYTE m_MDSE :1; 2893 IO_BYTE m_RTRG :1; 2894 IO_BYTE m_CKS1 :1; 2895 IO_BYTE m_CKS0 :1; 2896 IO_BYTE m_PGMS :1; 2897 mIO_BYTE :1; 2898 } mb ; 2900 mIO_BYTE :1; 2901 mIO_BYTE :1; 2902 mIO_BYTE :1; 2903 mIO_BYTE :1; 2904 IO_BYTE m_CKS :2; 2905 } mbc ; 2906 } tPCNH05STR ; 2908 IO_BYTE mby ; 2910 IO_BYTE m_EGS1 :1; 2911 IO_BYTE m_EGS0 :1; 2912 IO_BYTE m_IREN :1; 2913 IO_BYTE m_IRQF :1; 2914 IO_BYTE m_IRS1 :1; 2915 IO_BYTE m_IRS0 :1; 2916 mIO_BYTE :1; 2917 IO_BYTE m_OSEL :1; 2918 } mb ; 2920 IO_BYTE m_EGS :2; 2921 mIO_BYTE :1; 2922 mIO_BYTE :1; 2923 IO_BYTE m_IRS :2; 2924 } mbc ; 2925 } tPCNL05STR ; 2927 IO_WORD mwd ; 2929 IO_WORD m_CNTE :1; 2930 IO_WORD m_STGR :1; 2931 IO_WORD m_MDSE :1; 2932 IO_WORD m_RTRG :1; 2933 IO_WORD m_CKS1 :1; 2934 IO_WORD m_CKS0 :1; 2935 IO_WORD m_PGMS :1; 2936 mIO_WORD :1; 2937 IO_WORD m_EGS1 :1; 2938 IO_WORD m_EGS0 :1; 2939 IO_WORD m_IREN :1; 2940 IO_WORD m_IRQF :1; 2941 IO_WORD m_IRS1 :1; 2942 IO_WORD m_IRS0 :1; 2943 mIO_WORD :1; 2944 IO_WORD m_OSEL :1; 2945 } mb ; 2947 mIO_WORD :1; 2948 mIO_WORD :1; 2949 mIO_WORD :1; 2950 mIO_WORD :1; 2951 IO_WORD m_CKS :2; 2952 mIO_WORD :1; 2953 mIO_WORD :1; 2954 IO_WORD m_EGS :2; 2955 mIO_WORD :1; 2956 mIO_WORD :1; 2957 IO_WORD m_IRS :2; 2958 } mbc ; 2959 } tPCN06STR ; 2961 IO_BYTE mby ; 2963 IO_BYTE m_CNTE :1; 2964 IO_BYTE m_STGR :1; 2965 IO_BYTE m_MDSE :1; 2966 IO_BYTE m_RTRG :1; 2967 IO_BYTE m_CKS1 :1; 2968 IO_BYTE m_CKS0 :1; 2969 IO_BYTE m_PGMS :1; 2970 mIO_BYTE :1; 2971 } mb ; 2973 mIO_BYTE :1; 2974 mIO_BYTE :1; 2975 mIO_BYTE :1; 2976 mIO_BYTE :1; 2977 IO_BYTE m_CKS :2; 2978 } mbc ; 2979 } tPCNH06STR ; 2981 IO_BYTE mby ; 2983 IO_BYTE m_EGS1 :1; 2984 IO_BYTE m_EGS0 :1; 2985 IO_BYTE m_IREN :1; 2986 IO_BYTE m_IRQF :1; 2987 IO_BYTE m_IRS1 :1; 2988 IO_BYTE m_IRS0 :1; 2989 mIO_BYTE :1; 2990 IO_BYTE m_OSEL :1; 2991 } mb ; 2993 IO_BYTE m_EGS :2; 2994 mIO_BYTE :1; 2995 mIO_BYTE :1; 2996 IO_BYTE m_IRS :2; 2997 } mbc ; 2998 } tPCNL06STR ; 3000 IO_WORD mwd ; 3002 IO_WORD m_CNTE :1; 3003 IO_WORD m_STGR :1; 3004 IO_WORD m_MDSE :1; 3005 IO_WORD m_RTRG :1; 3006 IO_WORD m_CKS1 :1; 3007 IO_WORD m_CKS0 :1; 3008 IO_WORD m_PGMS :1; 3009 mIO_WORD :1; 3010 IO_WORD m_EGS1 :1; 3011 IO_WORD m_EGS0 :1; 3012 IO_WORD m_IREN :1; 3013 IO_WORD m_IRQF :1; 3014 IO_WORD m_IRS1 :1; 3015 IO_WORD m_IRS0 :1; 3016 mIO_WORD :1; 3017 IO_WORD m_OSEL :1; 3018 } mb ; 3020 mIO_WORD :1; 3021 mIO_WORD :1; 3022 mIO_WORD :1; 3023 mIO_WORD :1; 3024 IO_WORD m_CKS :2; 3025 mIO_WORD :1; 3026 mIO_WORD :1; 3027 IO_WORD m_EGS :2; 3028 mIO_WORD :1; 3029 mIO_WORD :1; 3030 IO_WORD m_IRS :2; 3031 } mbc ; 3032 } tPCN07STR ; 3034 IO_BYTE mby ; 3036 IO_BYTE m_CNTE :1; 3037 IO_BYTE m_STGR :1; 3038 IO_BYTE m_MDSE :1; 3039 IO_BYTE m_RTRG :1; 3040 IO_BYTE m_CKS1 :1; 3041 IO_BYTE m_CKS0 :1; 3042 IO_BYTE m_PGMS :1; 3043 mIO_BYTE :1; 3044 } mb ; 3046 mIO_BYTE :1; 3047 mIO_BYTE :1; 3048 mIO_BYTE :1; 3049 mIO_BYTE :1; 3050 IO_BYTE m_CKS :2; 3051 } mbc ; 3052 } tPCNH07STR ; 3054 IO_BYTE mby ; 3056 IO_BYTE m_EGS1 :1; 3057 IO_BYTE m_EGS0 :1; 3058 IO_BYTE m_IREN :1; 3059 IO_BYTE m_IRQF :1; 3060 IO_BYTE m_IRS1 :1; 3061 IO_BYTE m_IRS0 :1; 3062 mIO_BYTE :1; 3063 IO_BYTE m_OSEL :1; 3064 } mb ; 3066 IO_BYTE m_EGS :2; 3067 mIO_BYTE :1; 3068 mIO_BYTE :1; 3069 IO_BYTE m_IRS :2; 3070 } mbc ; 3071 } tPCNL07STR ; 3073 IO_WORD mwd ; 3075 IO_WORD m_CNTE :1; 3076 IO_WORD m_STGR :1; 3077 IO_WORD m_MDSE :1; 3078 IO_WORD m_RTRG :1; 3079 IO_WORD m_CKS1 :1; 3080 IO_WORD m_CKS0 :1; 3081 IO_WORD m_PGMS :1; 3082 mIO_WORD :1; 3083 IO_WORD m_EGS1 :1; 3084 IO_WORD m_EGS0 :1; 3085 IO_WORD m_IREN :1; 3086 IO_WORD m_IRQF :1; 3087 IO_WORD m_IRS1 :1; 3088 IO_WORD m_IRS0 :1; 3089 mIO_WORD :1; 3090 IO_WORD m_OSEL :1; 3091 } mb ; 3093 mIO_WORD :1; 3094 mIO_WORD :1; 3095 mIO_WORD :1; 3096 mIO_WORD :1; 3097 IO_WORD m_CKS :2; 3098 mIO_WORD :1; 3099 mIO_WORD :1; 3100 IO_WORD m_EGS :2; 3101 mIO_WORD :1; 3102 mIO_WORD :1; 3103 IO_WORD m_IRS :2; 3104 } mbc ; 3105 } tPCN08STR ; 3107 IO_BYTE mby ; 3109 IO_BYTE m_CNTE :1; 3110 IO_BYTE m_STGR :1; 3111 IO_BYTE m_MDSE :1; 3112 IO_BYTE m_RTRG :1; 3113 IO_BYTE m_CKS1 :1; 3114 IO_BYTE m_CKS0 :1; 3115 IO_BYTE m_PGMS :1; 3116 mIO_BYTE :1; 3117 } mb ; 3119 mIO_BYTE :1; 3120 mIO_BYTE :1; 3121 mIO_BYTE :1; 3122 mIO_BYTE :1; 3123 IO_BYTE m_CKS :2; 3124 } mbc ; 3125 } tPCNH08STR ; 3127 IO_BYTE mby ; 3129 IO_BYTE m_EGS1 :1; 3130 IO_BYTE m_EGS0 :1; 3131 IO_BYTE m_IREN :1; 3132 IO_BYTE m_IRQF :1; 3133 IO_BYTE m_IRS1 :1; 3134 IO_BYTE m_IRS0 :1; 3135 mIO_BYTE :1; 3136 IO_BYTE m_OSEL :1; 3137 } mb ; 3139 IO_BYTE m_EGS :2; 3140 mIO_BYTE :1; 3141 mIO_BYTE :1; 3142 IO_BYTE m_IRS :2; 3143 } mbc ; 3144 } tPCNL08STR ; 3146 IO_WORD mwd ; 3148 IO_WORD m_CNTE :1; 3149 IO_WORD m_STGR :1; 3150 IO_WORD m_MDSE :1; 3151 IO_WORD m_RTRG :1; 3152 IO_WORD m_CKS1 :1; 3153 IO_WORD m_CKS0 :1; 3154 IO_WORD m_PGMS :1; 3155 mIO_WORD :1; 3156 IO_WORD m_EGS1 :1; 3157 IO_WORD m_EGS0 :1; 3158 IO_WORD m_IREN :1; 3159 IO_WORD m_IRQF :1; 3160 IO_WORD m_IRS1 :1; 3161 IO_WORD m_IRS0 :1; 3162 mIO_WORD :1; 3163 IO_WORD m_OSEL :1; 3164 } mb ; 3166 mIO_WORD :1; 3167 mIO_WORD :1; 3168 mIO_WORD :1; 3169 mIO_WORD :1; 3170 IO_WORD m_CKS :2; 3171 mIO_WORD :1; 3172 mIO_WORD :1; 3173 IO_WORD m_EGS :2; 3174 mIO_WORD :1; 3175 mIO_WORD :1; 3176 IO_WORD m_IRS :2; 3177 } mbc ; 3178 } tPCN09STR ; 3180 IO_BYTE mby ; 3182 IO_BYTE m_CNTE :1; 3183 IO_BYTE m_STGR :1; 3184 IO_BYTE m_MDSE :1; 3185 IO_BYTE m_RTRG :1; 3186 IO_BYTE m_CKS1 :1; 3187 IO_BYTE m_CKS0 :1; 3188 IO_BYTE m_PGMS :1; 3189 mIO_BYTE :1; 3190 } mb ; 3192 mIO_BYTE :1; 3193 mIO_BYTE :1; 3194 mIO_BYTE :1; 3195 mIO_BYTE :1; 3196 IO_BYTE m_CKS :2; 3197 } mbc ; 3198 } tPCNH09STR ; 3200 IO_BYTE mby ; 3202 IO_BYTE m_EGS1 :1; 3203 IO_BYTE m_EGS0 :1; 3204 IO_BYTE m_IREN :1; 3205 IO_BYTE m_IRQF :1; 3206 IO_BYTE m_IRS1 :1; 3207 IO_BYTE m_IRS0 :1; 3208 mIO_BYTE :1; 3209 IO_BYTE m_OSEL :1; 3210 } mb ; 3212 IO_BYTE m_EGS :2; 3213 mIO_BYTE :1; 3214 mIO_BYTE :1; 3215 IO_BYTE m_IRS :2; 3216 } mbc ; 3217 } tPCNL09STR ; 3219 IO_WORD mwd ; 3221 IO_WORD m_CNTE :1; 3222 IO_WORD m_STGR :1; 3223 IO_WORD m_MDSE :1; 3224 IO_WORD m_RTRG :1; 3225 IO_WORD m_CKS1 :1; 3226 IO_WORD m_CKS0 :1; 3227 IO_WORD m_PGMS :1; 3228 mIO_WORD :1; 3229 IO_WORD m_EGS1 :1; 3230 IO_WORD m_EGS0 :1; 3231 IO_WORD m_IREN :1; 3232 IO_WORD m_IRQF :1; 3233 IO_WORD m_IRS1 :1; 3234 IO_WORD m_IRS0 :1; 3235 mIO_WORD :1; 3236 IO_WORD m_OSEL :1; 3237 } mb ; 3239 mIO_WORD :1; 3240 mIO_WORD :1; 3241 mIO_WORD :1; 3242 mIO_WORD :1; 3243 IO_WORD m_CKS :2; 3244 mIO_WORD :1; 3245 mIO_WORD :1; 3246 IO_WORD m_EGS :2; 3247 mIO_WORD :1; 3248 mIO_WORD :1; 3249 IO_WORD m_IRS :2; 3250 } mbc ; 3251 } tPCN10STR ; 3253 IO_BYTE mby ; 3255 IO_BYTE m_CNTE :1; 3256 IO_BYTE m_STGR :1; 3257 IO_BYTE m_MDSE :1; 3258 IO_BYTE m_RTRG :1; 3259 IO_BYTE m_CKS1 :1; 3260 IO_BYTE m_CKS0 :1; 3261 IO_BYTE m_PGMS :1; 3262 mIO_BYTE :1; 3263 } mb ; 3265 mIO_BYTE :1; 3266 mIO_BYTE :1; 3267 mIO_BYTE :1; 3268 mIO_BYTE :1; 3269 IO_BYTE m_CKS :2; 3270 } mbc ; 3271 } tPCNH10STR ; 3273 IO_BYTE mby ; 3275 IO_BYTE m_EGS1 :1; 3276 IO_BYTE m_EGS0 :1; 3277 IO_BYTE m_IREN :1; 3278 IO_BYTE m_IRQF :1; 3279 IO_BYTE m_IRS1 :1; 3280 IO_BYTE m_IRS0 :1; 3281 mIO_BYTE :1; 3282 IO_BYTE m_OSEL :1; 3283 } mb ; 3285 IO_BYTE m_EGS :2; 3286 mIO_BYTE :1; 3287 mIO_BYTE :1; 3288 IO_BYTE m_IRS :2; 3289 } mbc ; 3290 } tPCNL10STR ; 3292 IO_WORD mwd ; 3294 IO_WORD m_CNTE :1; 3295 IO_WORD m_STGR :1; 3296 IO_WORD m_MDSE :1; 3297 IO_WORD m_RTRG :1; 3298 IO_WORD m_CKS1 :1; 3299 IO_WORD m_CKS0 :1; 3300 IO_WORD m_PGMS :1; 3301 mIO_WORD :1; 3302 IO_WORD m_EGS1 :1; 3303 IO_WORD m_EGS0 :1; 3304 IO_WORD m_IREN :1; 3305 IO_WORD m_IRQF :1; 3306 IO_WORD m_IRS1 :1; 3307 IO_WORD m_IRS0 :1; 3308 mIO_WORD :1; 3309 IO_WORD m_OSEL :1; 3310 } mb ; 3312 mIO_WORD :1; 3313 mIO_WORD :1; 3314 mIO_WORD :1; 3315 mIO_WORD :1; 3316 IO_WORD m_CKS :2; 3317 mIO_WORD :1; 3318 mIO_WORD :1; 3319 IO_WORD m_EGS :2; 3320 mIO_WORD :1; 3321 mIO_WORD :1; 3322 IO_WORD m_IRS :2; 3323 } mbc ; 3324 } tPCN11STR ; 3326 IO_BYTE mby ; 3328 IO_BYTE m_CNTE :1; 3329 IO_BYTE m_STGR :1; 3330 IO_BYTE m_MDSE :1; 3331 IO_BYTE m_RTRG :1; 3332 IO_BYTE m_CKS1 :1; 3333 IO_BYTE m_CKS0 :1; 3334 IO_BYTE m_PGMS :1; 3335 mIO_BYTE :1; 3336 } mb ; 3338 mIO_BYTE :1; 3339 mIO_BYTE :1; 3340 mIO_BYTE :1; 3341 mIO_BYTE :1; 3342 IO_BYTE m_CKS :2; 3343 } mbc ; 3344 } tPCNH11STR ; 3346 IO_BYTE mby ; 3348 IO_BYTE m_EGS1 :1; 3349 IO_BYTE m_EGS0 :1; 3350 IO_BYTE m_IREN :1; 3351 IO_BYTE m_IRQF :1; 3352 IO_BYTE m_IRS1 :1; 3353 IO_BYTE m_IRS0 :1; 3354 mIO_BYTE :1; 3355 IO_BYTE m_OSEL :1; 3356 } mb ; 3358 IO_BYTE m_EGS :2; 3359 mIO_BYTE :1; 3360 mIO_BYTE :1; 3361 IO_BYTE m_IRS :2; 3362 } mbc ; 3363 } tPCNL11STR ; 3365 IO_BYTE mby ; 3367 IO_BYTE m_ICP1 :1; 3368 IO_BYTE m_ICP0 :1; 3369 IO_BYTE m_ICE1 :1; 3370 IO_BYTE m_ICE0 :1; 3371 IO_BYTE m_EG11 :1; 3372 IO_BYTE m_EG10 :1; 3373 IO_BYTE m_EG01 :1; 3374 IO_BYTE m_EG00 :1; 3375 } mb ; 3377 mIO_BYTE :1; 3378 mIO_BYTE :1; 3379 mIO_BYTE :1; 3380 mIO_BYTE :1; 3381 IO_BYTE m_EG1 :2; 3382 IO_BYTE m_EG0 :2; 3383 } mbc ; 3384 } tICS01STR ; 3386 IO_BYTE mby ; 3388 IO_BYTE m_ICP3 :1; 3389 IO_BYTE m_ICP2 :1; 3390 IO_BYTE m_ICE3 :1; 3391 IO_BYTE m_ICE2 :1; 3392 IO_BYTE m_EG31 :1; 3393 IO_BYTE m_EG30 :1; 3394 IO_BYTE m_EG21 :1; 3395 IO_BYTE m_EG20 :1; 3396 } mb ; 3398 mIO_BYTE :1; 3399 mIO_BYTE :1; 3400 mIO_BYTE :1; 3401 mIO_BYTE :1; 3402 IO_BYTE m_EG3 :2; 3403 IO_BYTE m_EG2 :2; 3404 } mbc ; 3405 } tICS23STR ; 3407 IO_WORD mwd ; 3409 IO_WORD m_CP15 :1; 3410 IO_WORD m_CP14 :1; 3411 IO_WORD m_CP13 :1; 3412 IO_WORD m_CP12 :1; 3413 IO_WORD m_CP11 :1; 3414 IO_WORD m_CP10 :1; 3415 IO_WORD m_CP9 :1; 3416 IO_WORD m_CP8 :1; 3417 IO_WORD m_CP7 :1; 3418 IO_WORD m_CP6 :1; 3419 IO_WORD m_CP5 :1; 3420 IO_WORD m_CP4 :1; 3421 IO_WORD m_CP3 :1; 3422 IO_WORD m_CP2 :1; 3423 IO_WORD m_CP1 :1; 3424 IO_WORD m_CP0 :1; 3425 } mb ; 3426 } tIPCP0STR ; 3428 IO_WORD mwd ; 3430 IO_WORD m_CP15 :1; 3431 IO_WORD m_CP14 :1; 3432 IO_WORD m_CP13 :1; 3433 IO_WORD m_CP12 :1; 3434 IO_WORD m_CP11 :1; 3435 IO_WORD m_CP10 :1; 3436 IO_WORD m_CP9 :1; 3437 IO_WORD m_CP8 :1; 3438 IO_WORD m_CP7 :1; 3439 IO_WORD m_CP6 :1; 3440 IO_WORD m_CP5 :1; 3441 IO_WORD m_CP4 :1; 3442 IO_WORD m_CP3 :1; 3443 IO_WORD m_CP2 :1; 3444 IO_WORD m_CP1 :1; 3445 IO_WORD m_CP0 :1; 3446 } mb ; 3447 } tIPCP1STR ; 3449 IO_WORD mwd ; 3451 IO_WORD m_CP15 :1; 3452 IO_WORD m_CP14 :1; 3453 IO_WORD m_CP13 :1; 3454 IO_WORD m_CP12 :1; 3455 IO_WORD m_CP11 :1; 3456 IO_WORD m_CP10 :1; 3457 IO_WORD m_CP9 :1; 3458 IO_WORD m_CP8 :1; 3459 IO_WORD m_CP7 :1; 3460 IO_WORD m_CP6 :1; 3461 IO_WORD m_CP5 :1; 3462 IO_WORD m_CP4 :1; 3463 IO_WORD m_CP3 :1; 3464 IO_WORD m_CP2 :1; 3465 IO_WORD m_CP1 :1; 3466 IO_WORD m_CP0 :1; 3467 } mb ; 3468 } tIPCP2STR ; 3470 IO_WORD mwd ; 3472 IO_WORD m_CP15 :1; 3473 IO_WORD m_CP14 :1; 3474 IO_WORD m_CP13 :1; 3475 IO_WORD m_CP12 :1; 3476 IO_WORD m_CP11 :1; 3477 IO_WORD m_CP10 :1; 3478 IO_WORD m_CP9 :1; 3479 IO_WORD m_CP8 :1; 3480 IO_WORD m_CP7 :1; 3481 IO_WORD m_CP6 :1; 3482 IO_WORD m_CP5 :1; 3483 IO_WORD m_CP4 :1; 3484 IO_WORD m_CP3 :1; 3485 IO_WORD m_CP2 :1; 3486 IO_WORD m_CP1 :1; 3487 IO_WORD m_CP0 :1; 3488 } mb ; 3489 } tIPCP3STR ; 3491 IO_WORD mwd ; 3493 mIO_WORD :1; 3494 mIO_WORD :1; 3495 mIO_WORD :1; 3496 IO_WORD m_CMOD :1; 3497 mIO_WORD :1; 3498 mIO_WORD :1; 3499 IO_WORD m_OTD1 :1; 3500 IO_WORD m_OTD0 :1; 3501 IO_WORD m_ICP1 :1; 3502 IO_WORD m_ICP0 :1; 3503 IO_WORD m_ICE1 :1; 3504 IO_WORD m_ICE0 :1; 3505 mIO_WORD :1; 3506 mIO_WORD :1; 3507 IO_WORD m_CST1 :1; 3508 IO_WORD m_CST0 :1; 3509 } mb ; 3510 } tOCS01STR ; 3512 IO_WORD mwd ; 3514 mIO_WORD :1; 3515 mIO_WORD :1; 3516 mIO_WORD :1; 3517 IO_WORD m_CMOD :1; 3518 mIO_WORD :1; 3519 mIO_WORD :1; 3520 IO_WORD m_OTD3 :1; 3521 IO_WORD m_OTD2 :1; 3522 IO_WORD m_ICP3 :1; 3523 IO_WORD m_ICP2 :1; 3524 IO_WORD m_ICE3 :1; 3525 IO_WORD m_ICE2 :1; 3526 mIO_WORD :1; 3527 mIO_WORD :1; 3528 IO_WORD m_CST3 :1; 3529 IO_WORD m_CST2 :1; 3530 } mb ; 3531 } tOCS23STR ; 3533 IO_WORD mwd ; 3535 IO_WORD m_C15 :1; 3536 IO_WORD m_C14 :1; 3537 IO_WORD m_C13 :1; 3538 IO_WORD m_C12 :1; 3539 IO_WORD m_C11 :1; 3540 IO_WORD m_C10 :1; 3541 IO_WORD m_C9 :1; 3542 IO_WORD m_C8 :1; 3543 IO_WORD m_C7 :1; 3544 IO_WORD m_C6 :1; 3545 IO_WORD m_C5 :1; 3546 IO_WORD m_C4 :1; 3547 IO_WORD m_C3 :1; 3548 IO_WORD m_C2 :1; 3549 IO_WORD m_C1 :1; 3550 IO_WORD m_C0 :1; 3551 } mb ; 3552 } tOCCP0STR ; 3554 IO_WORD mwd ; 3556 IO_WORD m_C15 :1; 3557 IO_WORD m_C14 :1; 3558 IO_WORD m_C13 :1; 3559 IO_WORD m_C12 :1; 3560 IO_WORD m_C11 :1; 3561 IO_WORD m_C10 :1; 3562 IO_WORD m_C9 :1; 3563 IO_WORD m_C8 :1; 3564 IO_WORD m_C7 :1; 3565 IO_WORD m_C6 :1; 3566 IO_WORD m_C5 :1; 3567 IO_WORD m_C4 :1; 3568 IO_WORD m_C3 :1; 3569 IO_WORD m_C2 :1; 3570 IO_WORD m_C1 :1; 3571 IO_WORD m_C0 :1; 3572 } mb ; 3573 } tOCCP1STR ; 3575 IO_WORD mwd ; 3577 IO_WORD m_C15 :1; 3578 IO_WORD m_C14 :1; 3579 IO_WORD m_C13 :1; 3580 IO_WORD m_C12 :1; 3581 IO_WORD m_C11 :1; 3582 IO_WORD m_C10 :1; 3583 IO_WORD m_C9 :1; 3584 IO_WORD m_C8 :1; 3585 IO_WORD m_C7 :1; 3586 IO_WORD m_C6 :1; 3587 IO_WORD m_C5 :1; 3588 IO_WORD m_C4 :1; 3589 IO_WORD m_C3 :1; 3590 IO_WORD m_C2 :1; 3591 IO_WORD m_C1 :1; 3592 IO_WORD m_C0 :1; 3593 } mb ; 3594 } tOCCP2STR ; 3596 IO_WORD mwd ; 3598 IO_WORD m_C15 :1; 3599 IO_WORD m_C14 :1; 3600 IO_WORD m_C13 :1; 3601 IO_WORD m_C12 :1; 3602 IO_WORD m_C11 :1; 3603 IO_WORD m_C10 :1; 3604 IO_WORD m_C9 :1; 3605 IO_WORD m_C8 :1; 3606 IO_WORD m_C7 :1; 3607 IO_WORD m_C6 :1; 3608 IO_WORD m_C5 :1; 3609 IO_WORD m_C4 :1; 3610 IO_WORD m_C3 :1; 3611 IO_WORD m_C2 :1; 3612 IO_WORD m_C1 :1; 3613 IO_WORD m_C0 :1; 3614 } mb ; 3615 } tOCCP3STR ; 3617 IO_WORD mwd ; 3619 IO_WORD m_ADE31 :1; 3620 IO_WORD m_ADE30 :1; 3621 IO_WORD m_ADE29 :1; 3622 IO_WORD m_ADE28 :1; 3623 IO_WORD m_ADE27 :1; 3624 IO_WORD m_ADE26 :1; 3625 IO_WORD m_ADE25 :1; 3626 IO_WORD m_ADE24 :1; 3627 IO_WORD m_ADE23 :1; 3628 IO_WORD m_ADE22 :1; 3629 IO_WORD m_ADE21 :1; 3630 IO_WORD m_ADE20 :1; 3631 IO_WORD m_ADE19 :1; 3632 IO_WORD m_ADE18 :1; 3633 IO_WORD m_ADE17 :1; 3634 IO_WORD m_ADE16 :1; 3635 } mb ; 3636 } tADERHSTR ; 3638 IO_WORD mwd ; 3640 IO_WORD m_ADE15 :1; 3641 IO_WORD m_ADE14 :1; 3642 IO_WORD m_ADE13 :1; 3643 IO_WORD m_ADE12 :1; 3644 IO_WORD m_ADE11 :1; 3645 IO_WORD m_ADE10 :1; 3646 IO_WORD m_ADE9 :1; 3647 IO_WORD m_ADE8 :1; 3648 IO_WORD m_ADE7 :1; 3649 IO_WORD m_ADE6 :1; 3650 IO_WORD m_ADE5 :1; 3651 IO_WORD m_ADE4 :1; 3652 IO_WORD m_ADE3 :1; 3653 IO_WORD m_ADE2 :1; 3654 IO_WORD m_ADE1 :1; 3655 IO_WORD m_ADE0 :1; 3656 } mb ; 3657 } tADERLSTR ; 3659 IO_BYTE mby ; 3661 IO_BYTE m_BUSY :1; 3662 IO_BYTE m_INT :1; 3663 IO_BYTE m_INTE :1; 3664 IO_BYTE m_PAUS :1; 3665 IO_BYTE m_STS1 :1; 3666 IO_BYTE m_STS0 :1; 3667 IO_BYTE m_STRT :1; 3668 mIO_BYTE :1; 3669 } mb ; 3671 mIO_BYTE :1; 3672 mIO_BYTE :1; 3673 mIO_BYTE :1; 3674 mIO_BYTE :1; 3675 IO_BYTE m_STS :2; 3676 } mbc ; 3677 } tADCS1STR ; 3679 IO_BYTE mby ; 3681 IO_BYTE m_MD1 :1; 3682 IO_BYTE m_MD0 :1; 3683 IO_BYTE m_S10 :1; 3684 IO_BYTE m_ACH4 :1; 3685 IO_BYTE m_ACH3 :1; 3686 IO_BYTE m_ACH2 :1; 3687 IO_BYTE m_ACH1 :1; 3688 IO_BYTE m_ACH0 :1; 3689 } mb ; 3691 IO_BYTE m_MD :2; 3692 mIO_BYTE :1; 3693 IO_BYTE m_ACH :5; 3694 } mbc ; 3695 } tADCS0STR ; 3697 IO_BYTE mby ; 3699 mIO_BYTE :1; 3700 mIO_BYTE :1; 3701 mIO_BYTE :1; 3702 mIO_BYTE :1; 3703 mIO_BYTE :1; 3704 mIO_BYTE :1; 3705 IO_BYTE m_D9 :1; 3706 IO_BYTE m_D8 :1; 3707 } mb ; 3708 } tADCR1STR ; 3710 IO_BYTE mby ; 3712 IO_BYTE m_D7 :1; 3713 IO_BYTE m_D6 :1; 3714 IO_BYTE m_D5 :1; 3715 IO_BYTE m_D4 :1; 3716 IO_BYTE m_D3 :1; 3717 IO_BYTE m_D2 :1; 3718 IO_BYTE m_D1 :1; 3719 IO_BYTE m_D0 :1; 3720 } mb ; 3721 } tADCR0STR ; 3723 IO_BYTE mby ; 3725 IO_BYTE m_CT5 :1; 3726 IO_BYTE m_CT4 :1; 3727 IO_BYTE m_CT3 :1; 3728 IO_BYTE m_CT2 :1; 3729 IO_BYTE m_CT1 :1; 3730 IO_BYTE m_CT0 :1; 3731 IO_BYTE m_ST9 :1; 3732 IO_BYTE m_ST8 :1; 3733 } mb ; 3734 } tADCT1STR ; 3736 IO_BYTE mby ; 3738 IO_BYTE m_ST7 :1; 3739 IO_BYTE m_ST6 :1; 3740 IO_BYTE m_ST5 :1; 3741 IO_BYTE m_ST4 :1; 3742 IO_BYTE m_ST3 :1; 3743 IO_BYTE m_ST2 :1; 3744 IO_BYTE m_ST1 :1; 3745 IO_BYTE m_ST0 :1; 3746 } mb ; 3747 } tADCT0STR ; 3749 IO_BYTE mby ; 3751 mIO_BYTE :1; 3752 mIO_BYTE :1; 3753 mIO_BYTE :1; 3754 IO_BYTE m_ANS4 :1; 3755 IO_BYTE m_ANS3 :1; 3756 IO_BYTE m_ANS2 :1; 3757 IO_BYTE m_ANS1 :1; 3758 IO_BYTE m_ASN0 :1; 3759 } mb ; 3761 mIO_BYTE :1; 3762 mIO_BYTE :1; 3763 mIO_BYTE :1; 3764 IO_BYTE m_ANS :5; 3765 } mbc ; 3766 } tADSCHSTR ; 3768 IO_BYTE mby ; 3770 mIO_BYTE :1; 3771 mIO_BYTE :1; 3772 mIO_BYTE :1; 3773 IO_BYTE m_ANE4 :1; 3774 IO_BYTE m_ANE3 :1; 3775 IO_BYTE m_ANE2 :1; 3776 IO_BYTE m_ANE1 :1; 3777 IO_BYTE m_ANE0 :1; 3778 } mb ; 3780 mIO_BYTE :1; 3781 mIO_BYTE :1; 3782 mIO_BYTE :1; 3783 IO_BYTE m_ANE :5; 3784 } mbc ; 3785 } tADECHSTR ; 3787 IO_WORD mwd ; 3789 IO_WORD m_D15 :1; 3790 IO_WORD m_D14 :1; 3791 IO_WORD m_D13 :1; 3792 IO_WORD m_D12 :1; 3793 IO_WORD m_D11 :1; 3794 IO_WORD m_D10 :1; 3795 IO_WORD m_D9 :1; 3796 IO_WORD m_D8 :1; 3797 IO_WORD m_D7 :1; 3798 IO_WORD m_D6 :1; 3799 IO_WORD m_D5 :1; 3800 IO_WORD m_D4 :1; 3801 IO_WORD m_D3 :1; 3802 IO_WORD m_D2 :1; 3803 IO_WORD m_D1 :1; 3804 IO_WORD m_D0 :1; 3805 } mb ; 3806 } tTMRLR0STR ; 3808 IO_WORD mwd ; 3810 IO_WORD m_D15 :1; 3811 IO_WORD m_D14 :1; 3812 IO_WORD m_D13 :1; 3813 IO_WORD m_D12 :1; 3814 IO_WORD m_D11 :1; 3815 IO_WORD m_D10 :1; 3816 IO_WORD m_D9 :1; 3817 IO_WORD m_D8 :1; 3818 IO_WORD m_D7 :1; 3819 IO_WORD m_D6 :1; 3820 IO_WORD m_D5 :1; 3821 IO_WORD m_D4 :1; 3822 IO_WORD m_D3 :1; 3823 IO_WORD m_D2 :1; 3824 IO_WORD m_D1 :1; 3825 IO_WORD m_D0 :1; 3826 } mb ; 3827 } tTMR0STR ; 3829 IO_WORD mwd ; 3831 mIO_WORD :1; 3832 mIO_WORD :1; 3833 mIO_WORD :1; 3834 IO_WORD m_CSL2 :1; 3835 IO_WORD m_CSL1 :1; 3836 IO_WORD m_CSL0 :1; 3837 IO_WORD m_MOD2 :1; 3838 IO_WORD m_MOD1 :1; 3839 IO_WORD m_MOD0 :1; 3840 mIO_WORD :1; 3841 IO_WORD m_OULT :1; 3842 IO_WORD m_RELD :1; 3843 IO_WORD m_INTE :1; 3844 IO_WORD m_UF :1; 3845 IO_WORD m_CNTE :1; 3846 IO_WORD m_TRG :1; 3847 } mb ; 3849 mIO_WORD :1; 3850 mIO_WORD :1; 3851 mIO_WORD :1; 3852 IO_WORD m_CSL :3; 3853 IO_WORD m_MOD :3; 3854 } mbc ; 3855 } tTMCSR0STR ; 3857 IO_BYTE mby ; 3859 mIO_BYTE :1; 3860 mIO_BYTE :1; 3861 mIO_BYTE :1; 3862 IO_BYTE m_CSL2 :1; 3863 IO_BYTE m_CSL1 :1; 3864 IO_BYTE m_CSL0 :1; 3865 IO_BYTE m_MOD2 :1; 3866 IO_BYTE m_MOD1 :1; 3867 } mb ; 3869 mIO_BYTE :3; 3870 IO_BYTE m_CSL :3; 3871 } mbc ; 3872 } tTMCSRH0STR ; 3874 IO_BYTE mby ; 3876 IO_BYTE m_MOD0 :1; 3877 mIO_BYTE :1; 3878 IO_BYTE m_OULT :1; 3879 IO_BYTE m_RELD :1; 3880 IO_BYTE m_INTE :1; 3881 IO_BYTE m_UF :1; 3882 IO_BYTE m_CNTE :1; 3883 IO_BYTE m_TRG :1; 3884 } mb ; 3885 } tTMCSRL0STR ; 3887 IO_WORD mwd ; 3889 IO_WORD m_D15 :1; 3890 IO_WORD m_D14 :1; 3891 IO_WORD m_D13 :1; 3892 IO_WORD m_D12 :1; 3893 IO_WORD m_D11 :1; 3894 IO_WORD m_D10 :1; 3895 IO_WORD m_D9 :1; 3896 IO_WORD m_D8 :1; 3897 IO_WORD m_D7 :1; 3898 IO_WORD m_D6 :1; 3899 IO_WORD m_D5 :1; 3900 IO_WORD m_D4 :1; 3901 IO_WORD m_D3 :1; 3902 IO_WORD m_D2 :1; 3903 IO_WORD m_D1 :1; 3904 IO_WORD m_D0 :1; 3905 } mb ; 3906 } tTMRLR1STR ; 3908 IO_WORD mwd ; 3910 IO_WORD m_D15 :1; 3911 IO_WORD m_D14 :1; 3912 IO_WORD m_D13 :1; 3913 IO_WORD m_D12 :1; 3914 IO_WORD m_D11 :1; 3915 IO_WORD m_D10 :1; 3916 IO_WORD m_D9 :1; 3917 IO_WORD m_D8 :1; 3918 IO_WORD m_D7 :1; 3919 IO_WORD m_D6 :1; 3920 IO_WORD m_D5 :1; 3921 IO_WORD m_D4 :1; 3922 IO_WORD m_D3 :1; 3923 IO_WORD m_D2 :1; 3924 IO_WORD m_D1 :1; 3925 IO_WORD m_D0 :1; 3926 } mb ; 3927 } tTMR1STR ; 3929 IO_WORD mwd ; 3931 mIO_WORD :1; 3932 mIO_WORD :1; 3933 mIO_WORD :1; 3934 IO_WORD m_CSL2 :1; 3935 IO_WORD m_CSL1 :1; 3936 IO_WORD m_CSL0 :1; 3937 IO_WORD m_MOD2 :1; 3938 IO_WORD m_MOD1 :1; 3939 IO_WORD m_MOD0 :1; 3940 mIO_WORD :1; 3941 IO_WORD m_OULT :1; 3942 IO_WORD m_RELD :1; 3943 IO_WORD m_INTE :1; 3944 IO_WORD m_UF :1; 3945 IO_WORD m_CNTE :1; 3946 IO_WORD m_TRG :1; 3947 } mb ; 3949 mIO_WORD :1; 3950 mIO_WORD :1; 3951 mIO_WORD :1; 3952 IO_WORD m_CSL :3; 3953 IO_WORD m_MOD :3; 3954 } mbc ; 3955 } tTMCSR1STR ; 3957 IO_BYTE mby ; 3959 mIO_BYTE :1; 3960 mIO_BYTE :1; 3961 mIO_BYTE :1; 3962 IO_BYTE m_CSL2 :1; 3963 IO_BYTE m_CSL1 :1; 3964 IO_BYTE m_CSL0 :1; 3965 IO_BYTE m_MOD2 :1; 3966 IO_BYTE m_MOD1 :1; 3967 } mb ; 3969 mIO_BYTE :3; 3970 IO_BYTE m_CSL :3; 3971 } mbc ; 3972 } tTMCSRH1STR ; 3974 IO_BYTE mby ; 3976 IO_BYTE m_MOD0 :1; 3977 mIO_BYTE :1; 3978 IO_BYTE m_OULT :1; 3979 IO_BYTE m_RELD :1; 3980 IO_BYTE m_INTE :1; 3981 IO_BYTE m_UF :1; 3982 IO_BYTE m_CNTE :1; 3983 IO_BYTE m_TRG :1; 3984 } mb ; 3985 } tTMCSRL1STR ; 3987 IO_WORD mwd ; 3989 IO_WORD m_D15 :1; 3990 IO_WORD m_D14 :1; 3991 IO_WORD m_D13 :1; 3992 IO_WORD m_D12 :1; 3993 IO_WORD m_D11 :1; 3994 IO_WORD m_D10 :1; 3995 IO_WORD m_D9 :1; 3996 IO_WORD m_D8 :1; 3997 IO_WORD m_D7 :1; 3998 IO_WORD m_D6 :1; 3999 IO_WORD m_D5 :1; 4000 IO_WORD m_D4 :1; 4001 IO_WORD m_D3 :1; 4002 IO_WORD m_D2 :1; 4003 IO_WORD m_D1 :1; 4004 IO_WORD m_D0 :1; 4005 } mb ; 4006 } tTMRLR2STR ; 4008 IO_WORD mwd ; 4010 IO_WORD m_D15 :1; 4011 IO_WORD m_D14 :1; 4012 IO_WORD m_D13 :1; 4013 IO_WORD m_D12 :1; 4014 IO_WORD m_D11 :1; 4015 IO_WORD m_D10 :1; 4016 IO_WORD m_D9 :1; 4017 IO_WORD m_D8 :1; 4018 IO_WORD m_D7 :1; 4019 IO_WORD m_D6 :1; 4020 IO_WORD m_D5 :1; 4021 IO_WORD m_D4 :1; 4022 IO_WORD m_D3 :1; 4023 IO_WORD m_D2 :1; 4024 IO_WORD m_D1 :1; 4025 IO_WORD m_D0 :1; 4026 } mb ; 4027 } tTMR2STR ; 4029 IO_WORD mwd ; 4031 mIO_WORD :1; 4032 mIO_WORD :1; 4033 mIO_WORD :1; 4034 IO_WORD m_CSL2 :1; 4035 IO_WORD m_CSL1 :1; 4036 IO_WORD m_CSL0 :1; 4037 IO_WORD m_MOD2 :1; 4038 IO_WORD m_MOD1 :1; 4039 IO_WORD m_MOD0 :1; 4040 mIO_WORD :1; 4041 IO_WORD m_OULT :1; 4042 IO_WORD m_RELD :1; 4043 IO_WORD m_INTE :1; 4044 IO_WORD m_UF :1; 4045 IO_WORD m_CNTE :1; 4046 IO_WORD m_TRG :1; 4047 } mb ; 4049 mIO_WORD :1; 4050 mIO_WORD :1; 4051 mIO_WORD :1; 4052 IO_WORD m_CSL :3; 4053 IO_WORD m_MOD :3; 4054 } mbc ; 4055 } tTMCSR2STR ; 4057 IO_BYTE mby ; 4059 mIO_BYTE :1; 4060 mIO_BYTE :1; 4061 mIO_BYTE :1; 4062 IO_BYTE m_CSL2 :1; 4063 IO_BYTE m_CSL1 :1; 4064 IO_BYTE m_CSL0 :1; 4065 IO_BYTE m_MOD2 :1; 4066 IO_BYTE m_MOD1 :1; 4067 } mb ; 4069 mIO_BYTE :3; 4070 IO_BYTE m_CSL :3; 4071 } mbc ; 4072 } tTMCSRH2STR ; 4074 IO_BYTE mby ; 4076 IO_BYTE m_MOD0 :1; 4077 mIO_BYTE :1; 4078 IO_BYTE m_OULT :1; 4079 IO_BYTE m_RELD :1; 4080 IO_BYTE m_INTE :1; 4081 IO_BYTE m_UF :1; 4082 IO_BYTE m_CNTE :1; 4083 IO_BYTE m_TRG :1; 4084 } mb ; 4085 } tTMCSRL2STR ; 4087 IO_WORD mwd ; 4089 IO_WORD m_D15 :1; 4090 IO_WORD m_D14 :1; 4091 IO_WORD m_D13 :1; 4092 IO_WORD m_D12 :1; 4093 IO_WORD m_D11 :1; 4094 IO_WORD m_D10 :1; 4095 IO_WORD m_D9 :1; 4096 IO_WORD m_D8 :1; 4097 IO_WORD m_D7 :1; 4098 IO_WORD m_D6 :1; 4099 IO_WORD m_D5 :1; 4100 IO_WORD m_D4 :1; 4101 IO_WORD m_D3 :1; 4102 IO_WORD m_D2 :1; 4103 IO_WORD m_D1 :1; 4104 IO_WORD m_D0 :1; 4105 } mb ; 4106 } tTMRLR3STR ; 4108 IO_WORD mwd ; 4110 IO_WORD m_D15 :1; 4111 IO_WORD m_D14 :1; 4112 IO_WORD m_D13 :1; 4113 IO_WORD m_D12 :1; 4114 IO_WORD m_D11 :1; 4115 IO_WORD m_D10 :1; 4116 IO_WORD m_D9 :1; 4117 IO_WORD m_D8 :1; 4118 IO_WORD m_D7 :1; 4119 IO_WORD m_D6 :1; 4120 IO_WORD m_D5 :1; 4121 IO_WORD m_D4 :1; 4122 IO_WORD m_D3 :1; 4123 IO_WORD m_D2 :1; 4124 IO_WORD m_D1 :1; 4125 IO_WORD m_D0 :1; 4126 } mb ; 4127 } tTMR3STR ; 4129 IO_WORD mwd ; 4131 mIO_WORD :1; 4132 mIO_WORD :1; 4133 mIO_WORD :1; 4134 IO_WORD m_CSL2 :1; 4135 IO_WORD m_CSL1 :1; 4136 IO_WORD m_CSL0 :1; 4137 IO_WORD m_MOD2 :1; 4138 IO_WORD m_MOD1 :1; 4139 IO_WORD m_MOD0 :1; 4140 mIO_WORD :1; 4141 IO_WORD m_OULT :1; 4142 IO_WORD m_RELD :1; 4143 IO_WORD m_INTE :1; 4144 IO_WORD m_UF :1; 4145 IO_WORD m_CNTE :1; 4146 IO_WORD m_TRG :1; 4147 } mb ; 4149 mIO_WORD :1; 4150 mIO_WORD :1; 4151 mIO_WORD :1; 4152 IO_WORD m_CSL :3; 4153 IO_WORD m_MOD :3; 4154 } mbc ; 4155 } tTMCSR3STR ; 4157 IO_BYTE mby ; 4159 mIO_BYTE :1; 4160 mIO_BYTE :1; 4161 mIO_BYTE :1; 4162 IO_BYTE m_CSL2 :1; 4163 IO_BYTE m_CSL1 :1; 4164 IO_BYTE m_CSL0 :1; 4165 IO_BYTE m_MOD2 :1; 4166 IO_BYTE m_MOD1 :1; 4167 } mb ; 4169 mIO_BYTE :3; 4170 IO_BYTE m_CSL :3; 4171 } mbc ; 4172 } tTMCSRH3STR ; 4174 IO_BYTE mby ; 4176 IO_BYTE m_MOD0 :1; 4177 mIO_BYTE :1; 4178 IO_BYTE m_OULT :1; 4179 IO_BYTE m_RELD :1; 4180 IO_BYTE m_INTE :1; 4181 IO_BYTE m_UF :1; 4182 IO_BYTE m_CNTE :1; 4183 IO_BYTE m_TRG :1; 4184 } mb ; 4185 } tTMCSRL3STR ; 4187 IO_WORD mwd ; 4189 IO_WORD m_D15 :1; 4190 IO_WORD m_D14 :1; 4191 IO_WORD m_D13 :1; 4192 IO_WORD m_D12 :1; 4193 IO_WORD m_D11 :1; 4194 IO_WORD m_D10 :1; 4195 IO_WORD m_D9 :1; 4196 IO_WORD m_D8 :1; 4197 IO_WORD m_D7 :1; 4198 IO_WORD m_D6 :1; 4199 IO_WORD m_D5 :1; 4200 IO_WORD m_D4 :1; 4201 IO_WORD m_D3 :1; 4202 IO_WORD m_D2 :1; 4203 IO_WORD m_D1 :1; 4204 IO_WORD m_D0 :1; 4205 } mb ; 4206 } tTMRLR4STR ; 4208 IO_WORD mwd ; 4210 IO_WORD m_D15 :1; 4211 IO_WORD m_D14 :1; 4212 IO_WORD m_D13 :1; 4213 IO_WORD m_D12 :1; 4214 IO_WORD m_D11 :1; 4215 IO_WORD m_D10 :1; 4216 IO_WORD m_D9 :1; 4217 IO_WORD m_D8 :1; 4218 IO_WORD m_D7 :1; 4219 IO_WORD m_D6 :1; 4220 IO_WORD m_D5 :1; 4221 IO_WORD m_D4 :1; 4222 IO_WORD m_D3 :1; 4223 IO_WORD m_D2 :1; 4224 IO_WORD m_D1 :1; 4225 IO_WORD m_D0 :1; 4226 } mb ; 4227 } tTMR4STR ; 4229 IO_WORD mwd ; 4231 mIO_WORD :1; 4232 mIO_WORD :1; 4233 mIO_WORD :1; 4234 IO_WORD m_CSL2 :1; 4235 IO_WORD m_CSL1 :1; 4236 IO_WORD m_CSL0 :1; 4237 IO_WORD m_MOD2 :1; 4238 IO_WORD m_MOD1 :1; 4239 IO_WORD m_MOD0 :1; 4240 mIO_WORD :1; 4241 IO_WORD m_OULT :1; 4242 IO_WORD m_RELD :1; 4243 IO_WORD m_INTE :1; 4244 IO_WORD m_UF :1; 4245 IO_WORD m_CNTE :1; 4246 IO_WORD m_TRG :1; 4247 } mb ; 4249 mIO_WORD :1; 4250 mIO_WORD :1; 4251 mIO_WORD :1; 4252 IO_WORD m_CSL :3; 4253 IO_WORD m_MOD :3; 4254 } mbc ; 4255 } tTMCSR4STR ; 4257 IO_BYTE mby ; 4259 mIO_BYTE :1; 4260 mIO_BYTE :1; 4261 mIO_BYTE :1; 4262 IO_BYTE m_CSL2 :1; 4263 IO_BYTE m_CSL1 :1; 4264 IO_BYTE m_CSL0 :1; 4265 IO_BYTE m_MOD2 :1; 4266 IO_BYTE m_MOD1 :1; 4267 } mb ; 4269 mIO_BYTE :3; 4270 IO_BYTE m_CSL :3; 4271 } mbc ; 4272 } tTMCSRH4STR ; 4274 IO_BYTE mby ; 4276 IO_BYTE m_MOD0 :1; 4277 mIO_BYTE :1; 4278 IO_BYTE m_OULT :1; 4279 IO_BYTE m_RELD :1; 4280 IO_BYTE m_INTE :1; 4281 IO_BYTE m_UF :1; 4282 IO_BYTE m_CNTE :1; 4283 IO_BYTE m_TRG :1; 4284 } mb ; 4285 } tTMCSRL4STR ; 4287 IO_WORD mwd ; 4289 IO_WORD m_D15 :1; 4290 IO_WORD m_D14 :1; 4291 IO_WORD m_D13 :1; 4292 IO_WORD m_D12 :1; 4293 IO_WORD m_D11 :1; 4294 IO_WORD m_D10 :1; 4295 IO_WORD m_D9 :1; 4296 IO_WORD m_D8 :1; 4297 IO_WORD m_D7 :1; 4298 IO_WORD m_D6 :1; 4299 IO_WORD m_D5 :1; 4300 IO_WORD m_D4 :1; 4301 IO_WORD m_D3 :1; 4302 IO_WORD m_D2 :1; 4303 IO_WORD m_D1 :1; 4304 IO_WORD m_D0 :1; 4305 } mb ; 4306 } tTMRLR5STR ; 4308 IO_WORD mwd ; 4310 IO_WORD m_D15 :1; 4311 IO_WORD m_D14 :1; 4312 IO_WORD m_D13 :1; 4313 IO_WORD m_D12 :1; 4314 IO_WORD m_D11 :1; 4315 IO_WORD m_D10 :1; 4316 IO_WORD m_D9 :1; 4317 IO_WORD m_D8 :1; 4318 IO_WORD m_D7 :1; 4319 IO_WORD m_D6 :1; 4320 IO_WORD m_D5 :1; 4321 IO_WORD m_D4 :1; 4322 IO_WORD m_D3 :1; 4323 IO_WORD m_D2 :1; 4324 IO_WORD m_D1 :1; 4325 IO_WORD m_D0 :1; 4326 } mb ; 4327 } tTMR5STR ; 4329 IO_WORD mwd ; 4331 mIO_WORD :1; 4332 mIO_WORD :1; 4333 mIO_WORD :1; 4334 IO_WORD m_CSL2 :1; 4335 IO_WORD m_CSL1 :1; 4336 IO_WORD m_CSL0 :1; 4337 IO_WORD m_MOD2 :1; 4338 IO_WORD m_MOD1 :1; 4339 IO_WORD m_MOD0 :1; 4340 mIO_WORD :1; 4341 IO_WORD m_OULT :1; 4342 IO_WORD m_RELD :1; 4343 IO_WORD m_INTE :1; 4344 IO_WORD m_UF :1; 4345 IO_WORD m_CNTE :1; 4346 IO_WORD m_TRG :1; 4347 } mb ; 4349 mIO_WORD :1; 4350 mIO_WORD :1; 4351 mIO_WORD :1; 4352 IO_WORD m_CSL :3; 4353 IO_WORD m_MOD :3; 4354 } mbc ; 4355 } tTMCSR5STR ; 4357 IO_BYTE mby ; 4359 mIO_BYTE :1; 4360 mIO_BYTE :1; 4361 mIO_BYTE :1; 4362 IO_BYTE m_CSL2 :1; 4363 IO_BYTE m_CSL1 :1; 4364 IO_BYTE m_CSL0 :1; 4365 IO_BYTE m_MOD2 :1; 4366 IO_BYTE m_MOD1 :1; 4367 } mb ; 4369 mIO_BYTE :3; 4370 IO_BYTE m_CSL :3; 4371 } mbc ; 4372 } tTMCSRH5STR ; 4374 IO_BYTE mby ; 4376 IO_BYTE m_MOD0 :1; 4377 mIO_BYTE :1; 4378 IO_BYTE m_OULT :1; 4379 IO_BYTE m_RELD :1; 4380 IO_BYTE m_INTE :1; 4381 IO_BYTE m_UF :1; 4382 IO_BYTE m_CNTE :1; 4383 IO_BYTE m_TRG :1; 4384 } mb ; 4385 } tTMCSRL5STR ; 4387 IO_WORD mwd ; 4389 IO_WORD m_D15 :1; 4390 IO_WORD m_D14 :1; 4391 IO_WORD m_D13 :1; 4392 IO_WORD m_D12 :1; 4393 IO_WORD m_D11 :1; 4394 IO_WORD m_D10 :1; 4395 IO_WORD m_D9 :1; 4396 IO_WORD m_D8 :1; 4397 IO_WORD m_D7 :1; 4398 IO_WORD m_D6 :1; 4399 IO_WORD m_D5 :1; 4400 IO_WORD m_D4 :1; 4401 IO_WORD m_D3 :1; 4402 IO_WORD m_D2 :1; 4403 IO_WORD m_D1 :1; 4404 IO_WORD m_D0 :1; 4405 } mb ; 4406 } tTMRLR6STR ; 4408 IO_WORD mwd ; 4410 IO_WORD m_D15 :1; 4411 IO_WORD m_D14 :1; 4412 IO_WORD m_D13 :1; 4413 IO_WORD m_D12 :1; 4414 IO_WORD m_D11 :1; 4415 IO_WORD m_D10 :1; 4416 IO_WORD m_D9 :1; 4417 IO_WORD m_D8 :1; 4418 IO_WORD m_D7 :1; 4419 IO_WORD m_D6 :1; 4420 IO_WORD m_D5 :1; 4421 IO_WORD m_D4 :1; 4422 IO_WORD m_D3 :1; 4423 IO_WORD m_D2 :1; 4424 IO_WORD m_D1 :1; 4425 IO_WORD m_D0 :1; 4426 } mb ; 4427 } tTMR6STR ; 4429 IO_WORD mwd ; 4431 mIO_WORD :1; 4432 mIO_WORD :1; 4433 mIO_WORD :1; 4434 IO_WORD m_CSL2 :1; 4435 IO_WORD m_CSL1 :1; 4436 IO_WORD m_CSL0 :1; 4437 IO_WORD m_MOD2 :1; 4438 IO_WORD m_MOD1 :1; 4439 IO_WORD m_MOD0 :1; 4440 mIO_WORD :1; 4441 IO_WORD m_OULT :1; 4442 IO_WORD m_RELD :1; 4443 IO_WORD m_INTE :1; 4444 IO_WORD m_UF :1; 4445 IO_WORD m_CNTE :1; 4446 IO_WORD m_TRG :1; 4447 } mb ; 4449 mIO_WORD :1; 4450 mIO_WORD :1; 4451 mIO_WORD :1; 4452 IO_WORD m_CSL :3; 4453 IO_WORD m_MOD :3; 4454 } mbc ; 4455 } tTMCSR6STR ; 4457 IO_BYTE mby ; 4459 mIO_BYTE :1; 4460 mIO_BYTE :1; 4461 mIO_BYTE :1; 4462 IO_BYTE m_CSL2 :1; 4463 IO_BYTE m_CSL1 :1; 4464 IO_BYTE m_CSL0 :1; 4465 IO_BYTE m_MOD2 :1; 4466 IO_BYTE m_MOD1 :1; 4467 } mb ; 4469 mIO_BYTE :3; 4470 IO_BYTE m_CSL :3; 4471 } mbc ; 4472 } tTMCSRH6STR ; 4474 IO_BYTE mby ; 4476 IO_BYTE m_MOD0 :1; 4477 mIO_BYTE :1; 4478 IO_BYTE m_OULT :1; 4479 IO_BYTE m_RELD :1; 4480 IO_BYTE m_INTE :1; 4481 IO_BYTE m_UF :1; 4482 IO_BYTE m_CNTE :1; 4483 IO_BYTE m_TRG :1; 4484 } mb ; 4485 } tTMCSRL6STR ; 4487 IO_WORD mwd ; 4489 IO_WORD m_D15 :1; 4490 IO_WORD m_D14 :1; 4491 IO_WORD m_D13 :1; 4492 IO_WORD m_D12 :1; 4493 IO_WORD m_D11 :1; 4494 IO_WORD m_D10 :1; 4495 IO_WORD m_D9 :1; 4496 IO_WORD m_D8 :1; 4497 IO_WORD m_D7 :1; 4498 IO_WORD m_D6 :1; 4499 IO_WORD m_D5 :1; 4500 IO_WORD m_D4 :1; 4501 IO_WORD m_D3 :1; 4502 IO_WORD m_D2 :1; 4503 IO_WORD m_D1 :1; 4504 IO_WORD m_D0 :1; 4505 } mb ; 4506 } tTMRLR7STR ; 4508 IO_WORD mwd ; 4510 IO_WORD m_D15 :1; 4511 IO_WORD m_D14 :1; 4512 IO_WORD m_D13 :1; 4513 IO_WORD m_D12 :1; 4514 IO_WORD m_D11 :1; 4515 IO_WORD m_D10 :1; 4516 IO_WORD m_D9 :1; 4517 IO_WORD m_D8 :1; 4518 IO_WORD m_D7 :1; 4519 IO_WORD m_D6 :1; 4520 IO_WORD m_D5 :1; 4521 IO_WORD m_D4 :1; 4522 IO_WORD m_D3 :1; 4523 IO_WORD m_D2 :1; 4524 IO_WORD m_D1 :1; 4525 IO_WORD m_D0 :1; 4526 } mb ; 4527 } tTMR7STR ; 4529 IO_WORD mwd ; 4531 mIO_WORD :1; 4532 mIO_WORD :1; 4533 mIO_WORD :1; 4534 IO_WORD m_CSL2 :1; 4535 IO_WORD m_CSL1 :1; 4536 IO_WORD m_CSL0 :1; 4537 IO_WORD m_MOD2 :1; 4538 IO_WORD m_MOD1 :1; 4539 IO_WORD m_MOD0 :1; 4540 mIO_WORD :1; 4541 IO_WORD m_OULT :1; 4542 IO_WORD m_RELD :1; 4543 IO_WORD m_INTE :1; 4544 IO_WORD m_UF :1; 4545 IO_WORD m_CNTE :1; 4546 IO_WORD m_TRG :1; 4547 } mb ; 4549 mIO_WORD :1; 4550 mIO_WORD :1; 4551 mIO_WORD :1; 4552 IO_WORD m_CSL :3; 4553 IO_WORD m_MOD :3; 4554 } mbc ; 4555 } tTMCSR7STR ; 4557 IO_BYTE mby ; 4559 mIO_BYTE :1; 4560 mIO_BYTE :1; 4561 mIO_BYTE :1; 4562 IO_BYTE m_CSL2 :1; 4563 IO_BYTE m_CSL1 :1; 4564 IO_BYTE m_CSL0 :1; 4565 IO_BYTE m_MOD2 :1; 4566 IO_BYTE m_MOD1 :1; 4567 } mb ; 4569 mIO_BYTE :3; 4570 IO_BYTE m_CSL :3; 4571 } mbc ; 4572 } tTMCSRH7STR ; 4574 IO_BYTE mby ; 4576 IO_BYTE m_MOD0 :1; 4577 mIO_BYTE :1; 4578 IO_BYTE m_OULT :1; 4579 IO_BYTE m_RELD :1; 4580 IO_BYTE m_INTE :1; 4581 IO_BYTE m_UF :1; 4582 IO_BYTE m_CNTE :1; 4583 IO_BYTE m_TRG :1; 4584 } mb ; 4585 } tTMCSRL7STR ; 4587 IO_WORD mwd ; 4589 IO_WORD m_T15 :1; 4590 IO_WORD m_T14 :1; 4591 IO_WORD m_T13 :1; 4592 IO_WORD m_T12 :1; 4593 IO_WORD m_T11 :1; 4594 IO_WORD m_T10 :1; 4595 IO_WORD m_T9 :1; 4596 IO_WORD m_T8 :1; 4597 IO_WORD m_T7 :1; 4598 IO_WORD m_T6 :1; 4599 IO_WORD m_T5 :1; 4600 IO_WORD m_T4 :1; 4601 IO_WORD m_T3 :1; 4602 IO_WORD m_T2 :1; 4603 IO_WORD m_T1 :1; 4604 IO_WORD m_T0 :1; 4605 } mb ; 4606 } tTCDT0STR ; 4608 IO_BYTE mby ; 4610 IO_BYTE m_ECLK :1; 4611 IO_BYTE m_IVF :1; 4612 IO_BYTE m_IVFE :1; 4613 IO_BYTE m_STOP :1; 4614 IO_BYTE m_MODE :1; 4615 IO_BYTE m_CLR :1; 4616 IO_BYTE m_CLK1 :1; 4617 IO_BYTE m_CLK0 :1; 4618 } mb ; 4620 mIO_BYTE :1; 4621 mIO_BYTE :1; 4622 mIO_BYTE :1; 4623 mIO_BYTE :1; 4624 mIO_BYTE :1; 4625 mIO_BYTE :1; 4626 IO_BYTE m_CLK :2; 4627 } mbc ; 4628 } tTCCS0STR ; 4630 IO_WORD mwd ; 4632 IO_WORD m_T15 :1; 4633 IO_WORD m_T14 :1; 4634 IO_WORD m_T13 :1; 4635 IO_WORD m_T12 :1; 4636 IO_WORD m_T11 :1; 4637 IO_WORD m_T10 :1; 4638 IO_WORD m_T9 :1; 4639 IO_WORD m_T8 :1; 4640 IO_WORD m_T7 :1; 4641 IO_WORD m_T6 :1; 4642 IO_WORD m_T5 :1; 4643 IO_WORD m_T4 :1; 4644 IO_WORD m_T3 :1; 4645 IO_WORD m_T2 :1; 4646 IO_WORD m_T1 :1; 4647 IO_WORD m_T0 :1; 4648 } mb ; 4649 } tTCDT1STR ; 4651 IO_BYTE mby ; 4653 IO_BYTE m_ECLK :1; 4654 IO_BYTE m_IVF :1; 4655 IO_BYTE m_IVFE :1; 4656 IO_BYTE m_STOP :1; 4657 IO_BYTE m_MODE :1; 4658 IO_BYTE m_CLR :1; 4659 IO_BYTE m_CLK1 :1; 4660 IO_BYTE m_CLK0 :1; 4661 } mb ; 4663 mIO_BYTE :1; 4664 mIO_BYTE :1; 4665 mIO_BYTE :1; 4666 mIO_BYTE :1; 4667 mIO_BYTE :1; 4668 mIO_BYTE :1; 4669 IO_BYTE m_CLK :2; 4670 } mbc ; 4671 } tTCCS1STR ; 4673 IO_WORD mwd ; 4675 IO_WORD m_T15 :1; 4676 IO_WORD m_T14 :1; 4677 IO_WORD m_T13 :1; 4678 IO_WORD m_T12 :1; 4679 IO_WORD m_T11 :1; 4680 IO_WORD m_T10 :1; 4681 IO_WORD m_T9 :1; 4682 IO_WORD m_T8 :1; 4683 IO_WORD m_T7 :1; 4684 IO_WORD m_T6 :1; 4685 IO_WORD m_T5 :1; 4686 IO_WORD m_T4 :1; 4687 IO_WORD m_T3 :1; 4688 IO_WORD m_T2 :1; 4689 IO_WORD m_T1 :1; 4690 IO_WORD m_T0 :1; 4691 } mb ; 4692 } tTCDT2STR ; 4694 IO_BYTE mby ; 4696 IO_BYTE m_ECLK :1; 4697 IO_BYTE m_IVF :1; 4698 IO_BYTE m_IVFE :1; 4699 IO_BYTE m_STOP :1; 4700 IO_BYTE m_MODE :1; 4701 IO_BYTE m_CLR :1; 4702 IO_BYTE m_CLK1 :1; 4703 IO_BYTE m_CLK0 :1; 4704 } mb ; 4706 mIO_BYTE :1; 4707 mIO_BYTE :1; 4708 mIO_BYTE :1; 4709 mIO_BYTE :1; 4710 mIO_BYTE :1; 4711 mIO_BYTE :1; 4712 IO_BYTE m_CLK :2; 4713 } mbc ; 4714 } tTCCS2STR ; 4716 IO_WORD mwd ; 4718 IO_WORD m_T15 :1; 4719 IO_WORD m_T14 :1; 4720 IO_WORD m_T13 :1; 4721 IO_WORD m_T12 :1; 4722 IO_WORD m_T11 :1; 4723 IO_WORD m_T10 :1; 4724 IO_WORD m_T9 :1; 4725 IO_WORD m_T8 :1; 4726 IO_WORD m_T7 :1; 4727 IO_WORD m_T6 :1; 4728 IO_WORD m_T5 :1; 4729 IO_WORD m_T4 :1; 4730 IO_WORD m_T3 :1; 4731 IO_WORD m_T2 :1; 4732 IO_WORD m_T1 :1; 4733 IO_WORD m_T0 :1; 4734 } mb ; 4735 } tTCDT3STR ; 4737 IO_BYTE mby ; 4739 IO_BYTE m_ECLK :1; 4740 IO_BYTE m_IVF :1; 4741 IO_BYTE m_IVFE :1; 4742 IO_BYTE m_STOP :1; 4743 IO_BYTE m_MODE :1; 4744 IO_BYTE m_CLR :1; 4745 IO_BYTE m_CLK1 :1; 4746 IO_BYTE m_CLK0 :1; 4747 } mb ; 4749 mIO_BYTE :1; 4750 mIO_BYTE :1; 4751 mIO_BYTE :1; 4752 mIO_BYTE :1; 4753 mIO_BYTE :1; 4754 mIO_BYTE :1; 4755 IO_BYTE m_CLK :2; 4756 } mbc ; 4757 } tTCCS3STR ; 4759 IO_LWORD mlwd ; 4761 IO_LWORD m_DENB :1; 4762 IO_LWORD m_PAUS :1; 4763 IO_LWORD m_STRG :1; 4764 IO_LWORD m_IS4 :1; 4765 IO_LWORD m_IS3 :1; 4766 IO_LWORD m_IS2 :1; 4767 IO_LWORD m_IS1 :1; 4768 IO_LWORD m_IS0 :1; 4769 IO_LWORD m_EIS3 :1; 4770 IO_LWORD m_EIS2 :1; 4771 IO_LWORD m_EIS1 :1; 4772 IO_LWORD m_EIS0 :1; 4773 IO_LWORD m_BLK3 :1; 4774 IO_LWORD m_BLK2 :1; 4775 IO_LWORD m_BLK1 :1; 4776 IO_LWORD m_BLK0 :1; 4777 IO_LWORD m_DTCF :1; 4778 IO_LWORD m_DTCE :1; 4779 IO_LWORD m_DTCD :1; 4780 IO_LWORD m_DTCC :1; 4781 IO_LWORD m_DTCB :1; 4782 IO_LWORD m_DTCA :1; 4783 IO_LWORD m_DTC9 :1; 4784 IO_LWORD m_DTC8 :1; 4785 IO_LWORD m_DTC7 :1; 4786 IO_LWORD m_DTC6 :1; 4787 IO_LWORD m_DTC5 :1; 4788 IO_LWORD m_DTC4 :1; 4789 IO_LWORD m_DTC3 :1; 4790 IO_LWORD m_DTC2 :1; 4791 IO_LWORD m_DTC1 :1; 4792 IO_LWORD m_DTC0 :1; 4793 } mb ; 4795 mIO_LWORD :1; 4796 mIO_LWORD :1; 4797 mIO_LWORD :1; 4798 IO_LWORD m_IS :5; 4799 IO_LWORD m_EIS :4; 4800 IO_LWORD m_BLK :4; 4801 IO_LWORD m_DTC :16; 4802 } mbc ; 4803 } tDMACA0STR ; 4805 IO_LWORD mlwd ; 4807 IO_LWORD m_TYPE1 :1; 4808 IO_LWORD m_TYPE0 :1; 4809 IO_LWORD m_MOD1 :1; 4810 IO_LWORD m_MOD0 :1; 4811 IO_LWORD m_WS1 :1; 4812 IO_LWORD m_WS0 :1; 4813 IO_LWORD m_SADM :1; 4814 IO_LWORD m_DADM :1; 4815 IO_LWORD m_DTCR :1; 4816 IO_LWORD m_SADR :1; 4817 IO_LWORD m_DADR :1; 4818 IO_LWORD m_ERIE :1; 4819 IO_LWORD m_EDIE :1; 4820 IO_LWORD m_DSS2 :1; 4821 IO_LWORD m_DSS1 :1; 4822 IO_LWORD m_DSS0 :1; 4823 IO_LWORD m_SASZ7 :1; 4824 IO_LWORD m_SASZ6 :1; 4825 IO_LWORD m_SASZ5 :1; 4826 IO_LWORD m_SASZ4 :1; 4827 IO_LWORD m_SASZ3 :1; 4828 IO_LWORD m_SASZ2 :1; 4829 IO_LWORD m_SASZ1 :1; 4830 IO_LWORD m_SASZ0 :1; 4831 IO_LWORD m_DASZ7 :1; 4832 IO_LWORD m_DASZ6 :1; 4833 IO_LWORD m_DASZ5 :1; 4834 IO_LWORD m_DASZ4 :1; 4835 IO_LWORD m_DASZ3 :1; 4836 IO_LWORD m_DASZ2 :1; 4837 IO_LWORD m_DASZ1 :1; 4838 IO_LWORD m_DASZ0 :1; 4839 } mb ; 4841 IO_LWORD m_TYPE :2; 4842 IO_LWORD m_MOD :2; 4843 IO_LWORD m_WS :2; 4844 mIO_LWORD :1; 4845 mIO_LWORD :1; 4846 mIO_LWORD :1; 4847 mIO_LWORD :1; 4848 mIO_LWORD :1; 4849 mIO_LWORD :1; 4850 mIO_LWORD :1; 4851 IO_LWORD m_DSS :3; 4852 IO_LWORD m_SASZ :8; 4853 IO_LWORD m_DASZ :8; 4854 } mbc ; 4855 } tDMACB0STR ; 4857 IO_LWORD mlwd ; 4859 IO_LWORD m_DENB :1; 4860 IO_LWORD m_PAUS :1; 4861 IO_LWORD m_STRG :1; 4862 IO_LWORD m_IS4 :1; 4863 IO_LWORD m_IS3 :1; 4864 IO_LWORD m_IS2 :1; 4865 IO_LWORD m_IS1 :1; 4866 IO_LWORD m_IS0 :1; 4867 IO_LWORD m_EIS3 :1; 4868 IO_LWORD m_EIS2 :1; 4869 IO_LWORD m_EIS1 :1; 4870 IO_LWORD m_EIS0 :1; 4871 IO_LWORD m_BLK3 :1; 4872 IO_LWORD m_BLK2 :1; 4873 IO_LWORD m_BLK1 :1; 4874 IO_LWORD m_BLK0 :1; 4875 IO_LWORD m_DTCF :1; 4876 IO_LWORD m_DTCE :1; 4877 IO_LWORD m_DTCD :1; 4878 IO_LWORD m_DTCC :1; 4879 IO_LWORD m_DTCB :1; 4880 IO_LWORD m_DTCA :1; 4881 IO_LWORD m_DTC9 :1; 4882 IO_LWORD m_DTC8 :1; 4883 IO_LWORD m_DTC7 :1; 4884 IO_LWORD m_DTC6 :1; 4885 IO_LWORD m_DTC5 :1; 4886 IO_LWORD m_DTC4 :1; 4887 IO_LWORD m_DTC3 :1; 4888 IO_LWORD m_DTC2 :1; 4889 IO_LWORD m_DTC1 :1; 4890 IO_LWORD m_DTC0 :1; 4891 } mb ; 4893 mIO_LWORD :1; 4894 mIO_LWORD :1; 4895 mIO_LWORD :1; 4896 IO_LWORD m_IS :5; 4897 IO_LWORD m_EIS :4; 4898 IO_LWORD m_BLK :4; 4899 IO_LWORD m_DTC :16; 4900 } mbc ; 4901 } tDMACA1STR ; 4903 IO_LWORD mlwd ; 4905 IO_LWORD m_TYPE1 :1; 4906 IO_LWORD m_TYPE0 :1; 4907 IO_LWORD m_MOD1 :1; 4908 IO_LWORD m_MOD0 :1; 4909 IO_LWORD m_WS1 :1; 4910 IO_LWORD m_WS0 :1; 4911 IO_LWORD m_SADM :1; 4912 IO_LWORD m_DADM :1; 4913 IO_LWORD m_DTCR :1; 4914 IO_LWORD m_SADR :1; 4915 IO_LWORD m_DADR :1; 4916 IO_LWORD m_ERIE :1; 4917 IO_LWORD m_EDIE :1; 4918 IO_LWORD m_DSS2 :1; 4919 IO_LWORD m_DSS1 :1; 4920 IO_LWORD m_DSS0 :1; 4921 IO_LWORD m_SASZ7 :1; 4922 IO_LWORD m_SASZ6 :1; 4923 IO_LWORD m_SASZ5 :1; 4924 IO_LWORD m_SASZ4 :1; 4925 IO_LWORD m_SASZ3 :1; 4926 IO_LWORD m_SASZ2 :1; 4927 IO_LWORD m_SASZ1 :1; 4928 IO_LWORD m_SASZ0 :1; 4929 IO_LWORD m_DASZ7 :1; 4930 IO_LWORD m_DASZ6 :1; 4931 IO_LWORD m_DASZ5 :1; 4932 IO_LWORD m_DASZ4 :1; 4933 IO_LWORD m_DASZ3 :1; 4934 IO_LWORD m_DASZ2 :1; 4935 IO_LWORD m_DASZ1 :1; 4936 IO_LWORD m_DASZ0 :1; 4937 } mb ; 4939 IO_LWORD m_TYPE :2; 4940 IO_LWORD m_MOD :2; 4941 IO_LWORD m_WS :2; 4942 mIO_LWORD :1; 4943 mIO_LWORD :1; 4944 mIO_LWORD :1; 4945 mIO_LWORD :1; 4946 mIO_LWORD :1; 4947 mIO_LWORD :1; 4948 mIO_LWORD :1; 4949 IO_LWORD m_DSS :3; 4950 IO_LWORD m_SASZ :8; 4951 IO_LWORD m_DASZ :8; 4952 } mbc ; 4953 } tDMACB1STR ; 4955 IO_LWORD mlwd ; 4957 IO_LWORD m_DENB :1; 4958 IO_LWORD m_PAUS :1; 4959 IO_LWORD m_STRG :1; 4960 IO_LWORD m_IS4 :1; 4961 IO_LWORD m_IS3 :1; 4962 IO_LWORD m_IS2 :1; 4963 IO_LWORD m_IS1 :1; 4964 IO_LWORD m_IS0 :1; 4965 IO_LWORD m_EIS3 :1; 4966 IO_LWORD m_EIS2 :1; 4967 IO_LWORD m_EIS1 :1; 4968 IO_LWORD m_EIS0 :1; 4969 IO_LWORD m_BLK3 :1; 4970 IO_LWORD m_BLK2 :1; 4971 IO_LWORD m_BLK1 :1; 4972 IO_LWORD m_BLK0 :1; 4973 IO_LWORD m_DTCF :1; 4974 IO_LWORD m_DTCE :1; 4975 IO_LWORD m_DTCD :1; 4976 IO_LWORD m_DTCC :1; 4977 IO_LWORD m_DTCB :1; 4978 IO_LWORD m_DTCA :1; 4979 IO_LWORD m_DTC9 :1; 4980 IO_LWORD m_DTC8 :1; 4981 IO_LWORD m_DTC7 :1; 4982 IO_LWORD m_DTC6 :1; 4983 IO_LWORD m_DTC5 :1; 4984 IO_LWORD m_DTC4 :1; 4985 IO_LWORD m_DTC3 :1; 4986 IO_LWORD m_DTC2 :1; 4987 IO_LWORD m_DTC1 :1; 4988 IO_LWORD m_DTC0 :1; 4989 } mb ; 4991 mIO_LWORD :1; 4992 mIO_LWORD :1; 4993 mIO_LWORD :1; 4994 IO_LWORD m_IS :5; 4995 IO_LWORD m_EIS :4; 4996 IO_LWORD m_BLK :4; 4997 IO_LWORD m_DTC :16; 4998 } mbc ; 4999 } tDMACA2STR ; 5001 IO_LWORD mlwd ; 5003 IO_LWORD m_TYPE1 :1; 5004 IO_LWORD m_TYPE0 :1; 5005 IO_LWORD m_MOD1 :1; 5006 IO_LWORD m_MOD0 :1; 5007 IO_LWORD m_WS1 :1; 5008 IO_LWORD m_WS0 :1; 5009 IO_LWORD m_SADM :1; 5010 IO_LWORD m_DADM :1; 5011 IO_LWORD m_DTCR :1; 5012 IO_LWORD m_SADR :1; 5013 IO_LWORD m_DADR :1; 5014 IO_LWORD m_ERIE :1; 5015 IO_LWORD m_EDIE :1; 5016 IO_LWORD m_DSS2 :1; 5017 IO_LWORD m_DSS1 :1; 5018 IO_LWORD m_DSS0 :1; 5019 IO_LWORD m_SASZ7 :1; 5020 IO_LWORD m_SASZ6 :1; 5021 IO_LWORD m_SASZ5 :1; 5022 IO_LWORD m_SASZ4 :1; 5023 IO_LWORD m_SASZ3 :1; 5024 IO_LWORD m_SASZ2 :1; 5025 IO_LWORD m_SASZ1 :1; 5026 IO_LWORD m_SASZ0 :1; 5027 IO_LWORD m_DASZ7 :1; 5028 IO_LWORD m_DASZ6 :1; 5029 IO_LWORD m_DASZ5 :1; 5030 IO_LWORD m_DASZ4 :1; 5031 IO_LWORD m_DASZ3 :1; 5032 IO_LWORD m_DASZ2 :1; 5033 IO_LWORD m_DASZ1 :1; 5034 IO_LWORD m_DASZ0 :1; 5035 } mb ; 5037 IO_LWORD m_TYPE :2; 5038 IO_LWORD m_MOD :2; 5039 IO_LWORD m_WS :2; 5040 mIO_LWORD :1; 5041 mIO_LWORD :1; 5042 mIO_LWORD :1; 5043 mIO_LWORD :1; 5044 mIO_LWORD :1; 5045 mIO_LWORD :1; 5046 mIO_LWORD :1; 5047 IO_LWORD m_DSS :3; 5048 IO_LWORD m_SASZ :8; 5049 IO_LWORD m_DASZ :8; 5050 } mbc ; 5051 } tDMACB2STR ; 5053 IO_LWORD mlwd ; 5055 IO_LWORD m_DENB :1; 5056 IO_LWORD m_PAUS :1; 5057 IO_LWORD m_STRG :1; 5058 IO_LWORD m_IS4 :1; 5059 IO_LWORD m_IS3 :1; 5060 IO_LWORD m_IS2 :1; 5061 IO_LWORD m_IS1 :1; 5062 IO_LWORD m_IS0 :1; 5063 IO_LWORD m_EIS3 :1; 5064 IO_LWORD m_EIS2 :1; 5065 IO_LWORD m_EIS1 :1; 5066 IO_LWORD m_EIS0 :1; 5067 IO_LWORD m_BLK3 :1; 5068 IO_LWORD m_BLK2 :1; 5069 IO_LWORD m_BLK1 :1; 5070 IO_LWORD m_BLK0 :1; 5071 IO_LWORD m_DTCF :1; 5072 IO_LWORD m_DTCE :1; 5073 IO_LWORD m_DTCD :1; 5074 IO_LWORD m_DTCC :1; 5075 IO_LWORD m_DTCB :1; 5076 IO_LWORD m_DTCA :1; 5077 IO_LWORD m_DTC9 :1; 5078 IO_LWORD m_DTC8 :1; 5079 IO_LWORD m_DTC7 :1; 5080 IO_LWORD m_DTC6 :1; 5081 IO_LWORD m_DTC5 :1; 5082 IO_LWORD m_DTC4 :1; 5083 IO_LWORD m_DTC3 :1; 5084 IO_LWORD m_DTC2 :1; 5085 IO_LWORD m_DTC1 :1; 5086 IO_LWORD m_DTC0 :1; 5087 } mb ; 5089 mIO_LWORD :1; 5090 mIO_LWORD :1; 5091 mIO_LWORD :1; 5092 IO_LWORD m_IS :5; 5093 IO_LWORD m_EIS :4; 5094 IO_LWORD m_BLK :4; 5095 IO_LWORD m_DTC :16; 5096 } mbc ; 5097 } tDMACA3STR ; 5099 IO_LWORD mlwd ; 5101 IO_LWORD m_TYPE1 :1; 5102 IO_LWORD m_TYPE0 :1; 5103 IO_LWORD m_MOD1 :1; 5104 IO_LWORD m_MOD0 :1; 5105 IO_LWORD m_WS1 :1; 5106 IO_LWORD m_WS0 :1; 5107 IO_LWORD m_SADM :1; 5108 IO_LWORD m_DADM :1; 5109 IO_LWORD m_DTCR :1; 5110 IO_LWORD m_SADR :1; 5111 IO_LWORD m_DADR :1; 5112 IO_LWORD m_ERIE :1; 5113 IO_LWORD m_EDIE :1; 5114 IO_LWORD m_DSS2 :1; 5115 IO_LWORD m_DSS1 :1; 5116 IO_LWORD m_DSS0 :1; 5117 IO_LWORD m_SASZ7 :1; 5118 IO_LWORD m_SASZ6 :1; 5119 IO_LWORD m_SASZ5 :1; 5120 IO_LWORD m_SASZ4 :1; 5121 IO_LWORD m_SASZ3 :1; 5122 IO_LWORD m_SASZ2 :1; 5123 IO_LWORD m_SASZ1 :1; 5124 IO_LWORD m_SASZ0 :1; 5125 IO_LWORD m_DASZ7 :1; 5126 IO_LWORD m_DASZ6 :1; 5127 IO_LWORD m_DASZ5 :1; 5128 IO_LWORD m_DASZ4 :1; 5129 IO_LWORD m_DASZ3 :1; 5130 IO_LWORD m_DASZ2 :1; 5131 IO_LWORD m_DASZ1 :1; 5132 IO_LWORD m_DASZ0 :1; 5133 } mb ; 5135 IO_LWORD m_TYPE :2; 5136 IO_LWORD m_MOD :2; 5137 IO_LWORD m_WS :2; 5138 mIO_LWORD :1; 5139 mIO_LWORD :1; 5140 mIO_LWORD :1; 5141 mIO_LWORD :1; 5142 mIO_LWORD :1; 5143 mIO_LWORD :1; 5144 mIO_LWORD :1; 5145 IO_LWORD m_DSS :3; 5146 IO_LWORD m_SASZ :8; 5147 IO_LWORD m_DASZ :8; 5148 } mbc ; 5149 } tDMACB3STR ; 5151 IO_LWORD mlwd ; 5153 IO_LWORD m_DENB :1; 5154 IO_LWORD m_PAUS :1; 5155 IO_LWORD m_STRG :1; 5156 IO_LWORD m_IS4 :1; 5157 IO_LWORD m_IS3 :1; 5158 IO_LWORD m_IS2 :1; 5159 IO_LWORD m_IS1 :1; 5160 IO_LWORD m_IS0 :1; 5161 IO_LWORD m_EIS3 :1; 5162 IO_LWORD m_EIS2 :1; 5163 IO_LWORD m_EIS1 :1; 5164 IO_LWORD m_EIS0 :1; 5165 IO_LWORD m_BLK3 :1; 5166 IO_LWORD m_BLK2 :1; 5167 IO_LWORD m_BLK1 :1; 5168 IO_LWORD m_BLK0 :1; 5169 IO_LWORD m_DTCF :1; 5170 IO_LWORD m_DTCE :1; 5171 IO_LWORD m_DTCD :1; 5172 IO_LWORD m_DTCC :1; 5173 IO_LWORD m_DTCB :1; 5174 IO_LWORD m_DTCA :1; 5175 IO_LWORD m_DTC9 :1; 5176 IO_LWORD m_DTC8 :1; 5177 IO_LWORD m_DTC7 :1; 5178 IO_LWORD m_DTC6 :1; 5179 IO_LWORD m_DTC5 :1; 5180 IO_LWORD m_DTC4 :1; 5181 IO_LWORD m_DTC3 :1; 5182 IO_LWORD m_DTC2 :1; 5183 IO_LWORD m_DTC1 :1; 5184 IO_LWORD m_DTC0 :1; 5185 } mb ; 5187 mIO_LWORD :1; 5188 mIO_LWORD :1; 5189 mIO_LWORD :1; 5190 IO_LWORD m_IS :5; 5191 IO_LWORD m_EIS :4; 5192 IO_LWORD m_BLK :4; 5193 IO_LWORD m_DTC :16; 5194 } mbc ; 5195 } tDMACA4STR ; 5197 IO_LWORD mlwd ; 5199 IO_LWORD m_TYPE1 :1; 5200 IO_LWORD m_TYPE0 :1; 5201 IO_LWORD m_MOD1 :1; 5202 IO_LWORD m_MOD0 :1; 5203 IO_LWORD m_WS1 :1; 5204 IO_LWORD m_WS0 :1; 5205 IO_LWORD m_SADM :1; 5206 IO_LWORD m_DADM :1; 5207 IO_LWORD m_DTCR :1; 5208 IO_LWORD m_SADR :1; 5209 IO_LWORD m_DADR :1; 5210 IO_LWORD m_ERIE :1; 5211 IO_LWORD m_EDIE :1; 5212 IO_LWORD m_DSS2 :1; 5213 IO_LWORD m_DSS1 :1; 5214 IO_LWORD m_DSS0 :1; 5215 IO_LWORD m_SASZ7 :1; 5216 IO_LWORD m_SASZ6 :1; 5217 IO_LWORD m_SASZ5 :1; 5218 IO_LWORD m_SASZ4 :1; 5219 IO_LWORD m_SASZ3 :1; 5220 IO_LWORD m_SASZ2 :1; 5221 IO_LWORD m_SASZ1 :1; 5222 IO_LWORD m_SASZ0 :1; 5223 IO_LWORD m_DASZ7 :1; 5224 IO_LWORD m_DASZ6 :1; 5225 IO_LWORD m_DASZ5 :1; 5226 IO_LWORD m_DASZ4 :1; 5227 IO_LWORD m_DASZ3 :1; 5228 IO_LWORD m_DASZ2 :1; 5229 IO_LWORD m_DASZ1 :1; 5230 IO_LWORD m_DASZ0 :1; 5231 } mb ; 5233 IO_LWORD m_TYPE :2; 5234 IO_LWORD m_MOD :2; 5235 IO_LWORD m_WS :2; 5236 mIO_LWORD :1; 5237 mIO_LWORD :1; 5238 mIO_LWORD :1; 5239 mIO_LWORD :1; 5240 mIO_LWORD :1; 5241 mIO_LWORD :1; 5242 mIO_LWORD :1; 5243 IO_LWORD m_DSS :3; 5244 IO_LWORD m_SASZ :8; 5245 IO_LWORD m_DASZ :8; 5246 } mbc ; 5247 } tDMACB4STR ; 5249 IO_BYTE mby ; 5251 IO_BYTE m_DMAE :1; 5252 mIO_BYTE :1; 5253 mIO_BYTE :1; 5254 IO_BYTE m_PM01 :1; 5255 IO_BYTE m_DMAH3 :1; 5256 IO_BYTE m_DMAH2 :1; 5257 IO_BYTE m_DMAH1 :1; 5258 IO_BYTE m_DMAH0 :1; 5259 } mb ; 5261 mIO_BYTE :1; 5262 mIO_BYTE :1; 5263 mIO_BYTE :1; 5264 mIO_BYTE :1; 5265 IO_BYTE m_DMAH :4; 5266 } mbc ; 5267 } tDMACRSTR ; 5269 IO_BYTE mby ; 5271 IO_BYTE m_ICP5 :1; 5272 IO_BYTE m_ICP4 :1; 5273 IO_BYTE m_ICE5 :1; 5274 IO_BYTE m_ICE4 :1; 5275 IO_BYTE m_EG51 :1; 5276 IO_BYTE m_EG50 :1; 5277 IO_BYTE m_EG41 :1; 5278 IO_BYTE m_EG40 :1; 5279 } mb ; 5281 mIO_BYTE :1; 5282 mIO_BYTE :1; 5283 mIO_BYTE :1; 5284 mIO_BYTE :1; 5285 IO_BYTE m_EG5 :2; 5286 IO_BYTE m_EG4 :2; 5287 } mbc ; 5288 } tICS45STR ; 5290 IO_BYTE mby ; 5292 IO_BYTE m_ICP7 :1; 5293 IO_BYTE m_ICP6 :1; 5294 IO_BYTE m_ICE7 :1; 5295 IO_BYTE m_ICE6 :1; 5296 IO_BYTE m_EG71 :1; 5297 IO_BYTE m_EG70 :1; 5298 IO_BYTE m_EG61 :1; 5299 IO_BYTE m_EG60 :1; 5300 } mb ; 5302 mIO_BYTE :1; 5303 mIO_BYTE :1; 5304 mIO_BYTE :1; 5305 mIO_BYTE :1; 5306 IO_BYTE m_EG7 :2; 5307 IO_BYTE m_EG6 :2; 5308 } mbc ; 5309 } tICS67STR ; 5311 IO_WORD mwd ; 5313 IO_WORD m_CP15 :1; 5314 IO_WORD m_CP14 :1; 5315 IO_WORD m_CP13 :1; 5316 IO_WORD m_CP12 :1; 5317 IO_WORD m_CP11 :1; 5318 IO_WORD m_CP10 :1; 5319 IO_WORD m_CP9 :1; 5320 IO_WORD m_CP8 :1; 5321 IO_WORD m_CP7 :1; 5322 IO_WORD m_CP6 :1; 5323 IO_WORD m_CP5 :1; 5324 IO_WORD m_CP4 :1; 5325 IO_WORD m_CP3 :1; 5326 IO_WORD m_CP2 :1; 5327 IO_WORD m_CP1 :1; 5328 IO_WORD m_CP0 :1; 5329 } mb ; 5330 } tIPCP4STR ; 5332 IO_WORD mwd ; 5334 IO_WORD m_CP15 :1; 5335 IO_WORD m_CP14 :1; 5336 IO_WORD m_CP13 :1; 5337 IO_WORD m_CP12 :1; 5338 IO_WORD m_CP11 :1; 5339 IO_WORD m_CP10 :1; 5340 IO_WORD m_CP9 :1; 5341 IO_WORD m_CP8 :1; 5342 IO_WORD m_CP7 :1; 5343 IO_WORD m_CP6 :1; 5344 IO_WORD m_CP5 :1; 5345 IO_WORD m_CP4 :1; 5346 IO_WORD m_CP3 :1; 5347 IO_WORD m_CP2 :1; 5348 IO_WORD m_CP1 :1; 5349 IO_WORD m_CP0 :1; 5350 } mb ; 5351 } tIPCP5STR ; 5353 IO_WORD mwd ; 5355 IO_WORD m_CP15 :1; 5356 IO_WORD m_CP14 :1; 5357 IO_WORD m_CP13 :1; 5358 IO_WORD m_CP12 :1; 5359 IO_WORD m_CP11 :1; 5360 IO_WORD m_CP10 :1; 5361 IO_WORD m_CP9 :1; 5362 IO_WORD m_CP8 :1; 5363 IO_WORD m_CP7 :1; 5364 IO_WORD m_CP6 :1; 5365 IO_WORD m_CP5 :1; 5366 IO_WORD m_CP4 :1; 5367 IO_WORD m_CP3 :1; 5368 IO_WORD m_CP2 :1; 5369 IO_WORD m_CP1 :1; 5370 IO_WORD m_CP0 :1; 5371 } mb ; 5372 } tIPCP6STR ; 5374 IO_WORD mwd ; 5376 IO_WORD m_CP15 :1; 5377 IO_WORD m_CP14 :1; 5378 IO_WORD m_CP13 :1; 5379 IO_WORD m_CP12 :1; 5380 IO_WORD m_CP11 :1; 5381 IO_WORD m_CP10 :1; 5382 IO_WORD m_CP9 :1; 5383 IO_WORD m_CP8 :1; 5384 IO_WORD m_CP7 :1; 5385 IO_WORD m_CP6 :1; 5386 IO_WORD m_CP5 :1; 5387 IO_WORD m_CP4 :1; 5388 IO_WORD m_CP3 :1; 5389 IO_WORD m_CP2 :1; 5390 IO_WORD m_CP1 :1; 5391 IO_WORD m_CP0 :1; 5392 } mb ; 5393 } tIPCP7STR ; 5395 IO_WORD mwd ; 5397 mIO_WORD :1; 5398 mIO_WORD :1; 5399 mIO_WORD :1; 5400 IO_WORD m_CMOD :1; 5401 mIO_WORD :1; 5402 mIO_WORD :1; 5403 IO_WORD m_OTD5 :1; 5404 IO_WORD m_OTD4 :1; 5405 IO_WORD m_ICP5 :1; 5406 IO_WORD m_ICP4 :1; 5407 IO_WORD m_ICE5 :1; 5408 IO_WORD m_ICE4 :1; 5409 mIO_WORD :1; 5410 mIO_WORD :1; 5411 IO_WORD m_CST5 :1; 5412 IO_WORD m_CST4 :1; 5413 } mb ; 5414 } tOCS45STR ; 5416 IO_WORD mwd ; 5418 mIO_WORD :1; 5419 mIO_WORD :1; 5420 mIO_WORD :1; 5421 IO_WORD m_CMOD :1; 5422 mIO_WORD :1; 5423 mIO_WORD :1; 5424 IO_WORD m_OTD7 :1; 5425 IO_WORD m_OTD6 :1; 5426 IO_WORD m_ICP7 :1; 5427 IO_WORD m_ICP6 :1; 5428 IO_WORD m_ICE7 :1; 5429 IO_WORD m_ICE6 :1; 5430 mIO_WORD :1; 5431 mIO_WORD :1; 5432 IO_WORD m_CST7 :1; 5433 IO_WORD m_CST6 :1; 5434 } mb ; 5435 } tOCS67STR ; 5437 IO_WORD mwd ; 5439 IO_WORD m_C15 :1; 5440 IO_WORD m_C14 :1; 5441 IO_WORD m_C13 :1; 5442 IO_WORD m_C12 :1; 5443 IO_WORD m_C11 :1; 5444 IO_WORD m_C10 :1; 5445 IO_WORD m_C9 :1; 5446 IO_WORD m_C8 :1; 5447 IO_WORD m_C7 :1; 5448 IO_WORD m_C6 :1; 5449 IO_WORD m_C5 :1; 5450 IO_WORD m_C4 :1; 5451 IO_WORD m_C3 :1; 5452 IO_WORD m_C2 :1; 5453 IO_WORD m_C1 :1; 5454 IO_WORD m_C0 :1; 5455 } mb ; 5456 } tOCCP4STR ; 5458 IO_WORD mwd ; 5460 IO_WORD m_C15 :1; 5461 IO_WORD m_C14 :1; 5462 IO_WORD m_C13 :1; 5463 IO_WORD m_C12 :1; 5464 IO_WORD m_C11 :1; 5465 IO_WORD m_C10 :1; 5466 IO_WORD m_C9 :1; 5467 IO_WORD m_C8 :1; 5468 IO_WORD m_C7 :1; 5469 IO_WORD m_C6 :1; 5470 IO_WORD m_C5 :1; 5471 IO_WORD m_C4 :1; 5472 IO_WORD m_C3 :1; 5473 IO_WORD m_C2 :1; 5474 IO_WORD m_C1 :1; 5475 IO_WORD m_C0 :1; 5476 } mb ; 5477 } tOCCP5STR ; 5479 IO_WORD mwd ; 5481 IO_WORD m_C15 :1; 5482 IO_WORD m_C14 :1; 5483 IO_WORD m_C13 :1; 5484 IO_WORD m_C12 :1; 5485 IO_WORD m_C11 :1; 5486 IO_WORD m_C10 :1; 5487 IO_WORD m_C9 :1; 5488 IO_WORD m_C8 :1; 5489 IO_WORD m_C7 :1; 5490 IO_WORD m_C6 :1; 5491 IO_WORD m_C5 :1; 5492 IO_WORD m_C4 :1; 5493 IO_WORD m_C3 :1; 5494 IO_WORD m_C2 :1; 5495 IO_WORD m_C1 :1; 5496 IO_WORD m_C0 :1; 5497 } mb ; 5498 } tOCCP6STR ; 5500 IO_WORD mwd ; 5502 IO_WORD m_C15 :1; 5503 IO_WORD m_C14 :1; 5504 IO_WORD m_C13 :1; 5505 IO_WORD m_C12 :1; 5506 IO_WORD m_C11 :1; 5507 IO_WORD m_C10 :1; 5508 IO_WORD m_C9 :1; 5509 IO_WORD m_C8 :1; 5510 IO_WORD m_C7 :1; 5511 IO_WORD m_C6 :1; 5512 IO_WORD m_C5 :1; 5513 IO_WORD m_C4 :1; 5514 IO_WORD m_C3 :1; 5515 IO_WORD m_C2 :1; 5516 IO_WORD m_C1 :1; 5517 IO_WORD m_C0 :1; 5518 } mb ; 5519 } tOCCP7STR ; 5521 IO_WORD mwd ; 5523 IO_WORD m_T15 :1; 5524 IO_WORD m_T14 :1; 5525 IO_WORD m_T13 :1; 5526 IO_WORD m_T12 :1; 5527 IO_WORD m_T11 :1; 5528 IO_WORD m_T10 :1; 5529 IO_WORD m_T9 :1; 5530 IO_WORD m_T8 :1; 5531 IO_WORD m_T7 :1; 5532 IO_WORD m_T6 :1; 5533 IO_WORD m_T5 :1; 5534 IO_WORD m_T4 :1; 5535 IO_WORD m_T3 :1; 5536 IO_WORD m_T2 :1; 5537 IO_WORD m_T1 :1; 5538 IO_WORD m_T0 :1; 5539 } mb ; 5540 } tTCDT4STR ; 5542 IO_BYTE mby ; 5544 IO_BYTE m_ECLK :1; 5545 IO_BYTE m_IVF :1; 5546 IO_BYTE m_IVFE :1; 5547 IO_BYTE m_STOP :1; 5548 IO_BYTE m_MODE :1; 5549 IO_BYTE m_CLR :1; 5550 IO_BYTE m_CLK1 :1; 5551 IO_BYTE m_CLK0 :1; 5552 } mb ; 5554 mIO_BYTE :1; 5555 mIO_BYTE :1; 5556 mIO_BYTE :1; 5557 mIO_BYTE :1; 5558 mIO_BYTE :1; 5559 mIO_BYTE :1; 5560 IO_BYTE m_CLK :2; 5561 } mbc ; 5562 } tTCCS4STR ; 5564 IO_WORD mwd ; 5566 IO_WORD m_T15 :1; 5567 IO_WORD m_T14 :1; 5568 IO_WORD m_T13 :1; 5569 IO_WORD m_T12 :1; 5570 IO_WORD m_T11 :1; 5571 IO_WORD m_T10 :1; 5572 IO_WORD m_T9 :1; 5573 IO_WORD m_T8 :1; 5574 IO_WORD m_T7 :1; 5575 IO_WORD m_T6 :1; 5576 IO_WORD m_T5 :1; 5577 IO_WORD m_T4 :1; 5578 IO_WORD m_T3 :1; 5579 IO_WORD m_T2 :1; 5580 IO_WORD m_T1 :1; 5581 IO_WORD m_T0 :1; 5582 } mb ; 5583 } tTCDT5STR ; 5585 IO_BYTE mby ; 5587 IO_BYTE m_ECLK :1; 5588 IO_BYTE m_IVF :1; 5589 IO_BYTE m_IVFE :1; 5590 IO_BYTE m_STOP :1; 5591 IO_BYTE m_MODE :1; 5592 IO_BYTE m_CLR :1; 5593 IO_BYTE m_CLK1 :1; 5594 IO_BYTE m_CLK0 :1; 5595 } mb ; 5597 mIO_BYTE :1; 5598 mIO_BYTE :1; 5599 mIO_BYTE :1; 5600 mIO_BYTE :1; 5601 mIO_BYTE :1; 5602 mIO_BYTE :1; 5603 IO_BYTE m_CLK :2; 5604 } mbc ; 5605 } tTCCS5STR ; 5607 IO_WORD mwd ; 5609 IO_WORD m_T15 :1; 5610 IO_WORD m_T14 :1; 5611 IO_WORD m_T13 :1; 5612 IO_WORD m_T12 :1; 5613 IO_WORD m_T11 :1; 5614 IO_WORD m_T10 :1; 5615 IO_WORD m_T9 :1; 5616 IO_WORD m_T8 :1; 5617 IO_WORD m_T7 :1; 5618 IO_WORD m_T6 :1; 5619 IO_WORD m_T5 :1; 5620 IO_WORD m_T4 :1; 5621 IO_WORD m_T3 :1; 5622 IO_WORD m_T2 :1; 5623 IO_WORD m_T1 :1; 5624 IO_WORD m_T0 :1; 5625 } mb ; 5626 } tTCDT6STR ; 5628 IO_BYTE mby ; 5630 IO_BYTE m_ECLK :1; 5631 IO_BYTE m_IVF :1; 5632 IO_BYTE m_IVFE :1; 5633 IO_BYTE m_STOP :1; 5634 IO_BYTE m_MODE :1; 5635 IO_BYTE m_CLR :1; 5636 IO_BYTE m_CLK1 :1; 5637 IO_BYTE m_CLK0 :1; 5638 } mb ; 5640 mIO_BYTE :1; 5641 mIO_BYTE :1; 5642 mIO_BYTE :1; 5643 mIO_BYTE :1; 5644 mIO_BYTE :1; 5645 mIO_BYTE :1; 5646 IO_BYTE m_CLK :2; 5647 } mbc ; 5648 } tTCCS6STR ; 5650 IO_WORD mwd ; 5652 IO_WORD m_T15 :1; 5653 IO_WORD m_T14 :1; 5654 IO_WORD m_T13 :1; 5655 IO_WORD m_T12 :1; 5656 IO_WORD m_T11 :1; 5657 IO_WORD m_T10 :1; 5658 IO_WORD m_T9 :1; 5659 IO_WORD m_T8 :1; 5660 IO_WORD m_T7 :1; 5661 IO_WORD m_T6 :1; 5662 IO_WORD m_T5 :1; 5663 IO_WORD m_T4 :1; 5664 IO_WORD m_T3 :1; 5665 IO_WORD m_T2 :1; 5666 IO_WORD m_T1 :1; 5667 IO_WORD m_T0 :1; 5668 } mb ; 5669 } tTCDT7STR ; 5671 IO_BYTE mby ; 5673 IO_BYTE m_ECLK :1; 5674 IO_BYTE m_IVF :1; 5675 IO_BYTE m_IVFE :1; 5676 IO_BYTE m_STOP :1; 5677 IO_BYTE m_MODE :1; 5678 IO_BYTE m_CLR :1; 5679 IO_BYTE m_CLK1 :1; 5680 IO_BYTE m_CLK0 :1; 5681 } mb ; 5683 mIO_BYTE :1; 5684 mIO_BYTE :1; 5685 mIO_BYTE :1; 5686 mIO_BYTE :1; 5687 mIO_BYTE :1; 5688 mIO_BYTE :1; 5689 IO_BYTE m_CLK :2; 5690 } mbc ; 5691 } tTCCS7STR ; 5693 IO_WORD mwd ; 5695 IO_WORD m_D15 :1; 5696 IO_WORD m_D14 :1; 5697 IO_WORD m_D13 :1; 5698 IO_WORD m_D12 :1; 5699 IO_WORD m_D11 :1; 5700 IO_WORD m_D10 :1; 5701 IO_WORD m_D9 :1; 5702 IO_WORD m_D8 :1; 5703 IO_WORD m_D7 :1; 5704 IO_WORD m_D6 :1; 5705 IO_WORD m_D5 :1; 5706 IO_WORD m_D4 :1; 5707 IO_WORD m_D3 :1; 5708 IO_WORD m_D2 :1; 5709 IO_WORD m_D1 :1; 5710 IO_WORD m_D0 :1; 5711 } mb ; 5712 } tROMSSTR ; 5714 IO_BYTE mby ; 5716 mIO_BYTE :1; 5717 mIO_BYTE :1; 5718 mIO_BYTE :1; 5719 IO_BYTE m_ICR4 :1; 5720 IO_BYTE m_ICR3 :1; 5721 IO_BYTE m_ICR2 :1; 5722 IO_BYTE m_ICR1 :1; 5723 IO_BYTE m_ICR0 :1; 5724 } mb ; 5725 } tICR00STR ; 5727 IO_BYTE mby ; 5729 mIO_BYTE :1; 5730 mIO_BYTE :1; 5731 mIO_BYTE :1; 5732 IO_BYTE m_ICR4 :1; 5733 IO_BYTE m_ICR3 :1; 5734 IO_BYTE m_ICR2 :1; 5735 IO_BYTE m_ICR1 :1; 5736 IO_BYTE m_ICR0 :1; 5737 } mb ; 5738 } tICR01STR ; 5740 IO_BYTE mby ; 5742 mIO_BYTE :1; 5743 mIO_BYTE :1; 5744 mIO_BYTE :1; 5745 IO_BYTE m_ICR4 :1; 5746 IO_BYTE m_ICR3 :1; 5747 IO_BYTE m_ICR2 :1; 5748 IO_BYTE m_ICR1 :1; 5749 IO_BYTE m_ICR0 :1; 5750 } mb ; 5751 } tICR02STR ; 5753 IO_BYTE mby ; 5755 mIO_BYTE :1; 5756 mIO_BYTE :1; 5757 mIO_BYTE :1; 5758 IO_BYTE m_ICR4 :1; 5759 IO_BYTE m_ICR3 :1; 5760 IO_BYTE m_ICR2 :1; 5761 IO_BYTE m_ICR1 :1; 5762 IO_BYTE m_ICR0 :1; 5763 } mb ; 5764 } tICR03STR ; 5766 IO_BYTE mby ; 5768 mIO_BYTE :1; 5769 mIO_BYTE :1; 5770 mIO_BYTE :1; 5771 IO_BYTE m_ICR4 :1; 5772 IO_BYTE m_ICR3 :1; 5773 IO_BYTE m_ICR2 :1; 5774 IO_BYTE m_ICR1 :1; 5775 IO_BYTE m_ICR0 :1; 5776 } mb ; 5777 } tICR04STR ; 5779 IO_BYTE mby ; 5781 mIO_BYTE :1; 5782 mIO_BYTE :1; 5783 mIO_BYTE :1; 5784 IO_BYTE m_ICR4 :1; 5785 IO_BYTE m_ICR3 :1; 5786 IO_BYTE m_ICR2 :1; 5787 IO_BYTE m_ICR1 :1; 5788 IO_BYTE m_ICR0 :1; 5789 } mb ; 5790 } tICR05STR ; 5792 IO_BYTE mby ; 5794 mIO_BYTE :1; 5795 mIO_BYTE :1; 5796 mIO_BYTE :1; 5797 IO_BYTE m_ICR4 :1; 5798 IO_BYTE m_ICR3 :1; 5799 IO_BYTE m_ICR2 :1; 5800 IO_BYTE m_ICR1 :1; 5801 IO_BYTE m_ICR0 :1; 5802 } mb ; 5803 } tICR06STR ; 5805 IO_BYTE mby ; 5807 mIO_BYTE :1; 5808 mIO_BYTE :1; 5809 mIO_BYTE :1; 5810 IO_BYTE m_ICR4 :1; 5811 IO_BYTE m_ICR3 :1; 5812 IO_BYTE m_ICR2 :1; 5813 IO_BYTE m_ICR1 :1; 5814 IO_BYTE m_ICR0 :1; 5815 } mb ; 5816 } tICR07STR ; 5818 IO_BYTE mby ; 5820 mIO_BYTE :1; 5821 mIO_BYTE :1; 5822 mIO_BYTE :1; 5823 IO_BYTE m_ICR4 :1; 5824 IO_BYTE m_ICR3 :1; 5825 IO_BYTE m_ICR2 :1; 5826 IO_BYTE m_ICR1 :1; 5827 IO_BYTE m_ICR0 :1; 5828 } mb ; 5829 } tICR08STR ; 5831 IO_BYTE mby ; 5833 mIO_BYTE :1; 5834 mIO_BYTE :1; 5835 mIO_BYTE :1; 5836 IO_BYTE m_ICR4 :1; 5837 IO_BYTE m_ICR3 :1; 5838 IO_BYTE m_ICR2 :1; 5839 IO_BYTE m_ICR1 :1; 5840 IO_BYTE m_ICR0 :1; 5841 } mb ; 5842 } tICR09STR ; 5844 IO_BYTE mby ; 5846 mIO_BYTE :1; 5847 mIO_BYTE :1; 5848 mIO_BYTE :1; 5849 IO_BYTE m_ICR4 :1; 5850 IO_BYTE m_ICR3 :1; 5851 IO_BYTE m_ICR2 :1; 5852 IO_BYTE m_ICR1 :1; 5853 IO_BYTE m_ICR0 :1; 5854 } mb ; 5855 } tICR10STR ; 5857 IO_BYTE mby ; 5859 mIO_BYTE :1; 5860 mIO_BYTE :1; 5861 mIO_BYTE :1; 5862 IO_BYTE m_ICR4 :1; 5863 IO_BYTE m_ICR3 :1; 5864 IO_BYTE m_ICR2 :1; 5865 IO_BYTE m_ICR1 :1; 5866 IO_BYTE m_ICR0 :1; 5867 } mb ; 5868 } tICR11STR ; 5870 IO_BYTE mby ; 5872 mIO_BYTE :1; 5873 mIO_BYTE :1; 5874 mIO_BYTE :1; 5875 IO_BYTE m_ICR4 :1; 5876 IO_BYTE m_ICR3 :1; 5877 IO_BYTE m_ICR2 :1; 5878 IO_BYTE m_ICR1 :1; 5879 IO_BYTE m_ICR0 :1; 5880 } mb ; 5881 } tICR12STR ; 5883 IO_BYTE mby ; 5885 mIO_BYTE :1; 5886 mIO_BYTE :1; 5887 mIO_BYTE :1; 5888 IO_BYTE m_ICR4 :1; 5889 IO_BYTE m_ICR3 :1; 5890 IO_BYTE m_ICR2 :1; 5891 IO_BYTE m_ICR1 :1; 5892 IO_BYTE m_ICR0 :1; 5893 } mb ; 5894 } tICR13STR ; 5896 IO_BYTE mby ; 5898 mIO_BYTE :1; 5899 mIO_BYTE :1; 5900 mIO_BYTE :1; 5901 IO_BYTE m_ICR4 :1; 5902 IO_BYTE m_ICR3 :1; 5903 IO_BYTE m_ICR2 :1; 5904 IO_BYTE m_ICR1 :1; 5905 IO_BYTE m_ICR0 :1; 5906 } mb ; 5907 } tICR14STR ; 5909 IO_BYTE mby ; 5911 mIO_BYTE :1; 5912 mIO_BYTE :1; 5913 mIO_BYTE :1; 5914 IO_BYTE m_ICR4 :1; 5915 IO_BYTE m_ICR3 :1; 5916 IO_BYTE m_ICR2 :1; 5917 IO_BYTE m_ICR1 :1; 5918 IO_BYTE m_ICR0 :1; 5919 } mb ; 5920 } tICR15STR ; 5922 IO_BYTE mby ; 5924 mIO_BYTE :1; 5925 mIO_BYTE :1; 5926 mIO_BYTE :1; 5927 IO_BYTE m_ICR4 :1; 5928 IO_BYTE m_ICR3 :1; 5929 IO_BYTE m_ICR2 :1; 5930 IO_BYTE m_ICR1 :1; 5931 IO_BYTE m_ICR0 :1; 5932 } mb ; 5933 } tICR16STR ; 5935 IO_BYTE mby ; 5937 mIO_BYTE :1; 5938 mIO_BYTE :1; 5939 mIO_BYTE :1; 5940 IO_BYTE m_ICR4 :1; 5941 IO_BYTE m_ICR3 :1; 5942 IO_BYTE m_ICR2 :1; 5943 IO_BYTE m_ICR1 :1; 5944 IO_BYTE m_ICR0 :1; 5945 } mb ; 5946 } tICR17STR ; 5948 IO_BYTE mby ; 5950 mIO_BYTE :1; 5951 mIO_BYTE :1; 5952 mIO_BYTE :1; 5953 IO_BYTE m_ICR4 :1; 5954 IO_BYTE m_ICR3 :1; 5955 IO_BYTE m_ICR2 :1; 5956 IO_BYTE m_ICR1 :1; 5957 IO_BYTE m_ICR0 :1; 5958 } mb ; 5959 } tICR18STR ; 5961 IO_BYTE mby ; 5963 mIO_BYTE :1; 5964 mIO_BYTE :1; 5965 mIO_BYTE :1; 5966 IO_BYTE m_ICR4 :1; 5967 IO_BYTE m_ICR3 :1; 5968 IO_BYTE m_ICR2 :1; 5969 IO_BYTE m_ICR1 :1; 5970 IO_BYTE m_ICR0 :1; 5971 } mb ; 5972 } tICR19STR ; 5974 IO_BYTE mby ; 5976 mIO_BYTE :1; 5977 mIO_BYTE :1; 5978 mIO_BYTE :1; 5979 IO_BYTE m_ICR4 :1; 5980 IO_BYTE m_ICR3 :1; 5981 IO_BYTE m_ICR2 :1; 5982 IO_BYTE m_ICR1 :1; 5983 IO_BYTE m_ICR0 :1; 5984 } mb ; 5985 } tICR20STR ; 5987 IO_BYTE mby ; 5989 mIO_BYTE :1; 5990 mIO_BYTE :1; 5991 mIO_BYTE :1; 5992 IO_BYTE m_ICR4 :1; 5993 IO_BYTE m_ICR3 :1; 5994 IO_BYTE m_ICR2 :1; 5995 IO_BYTE m_ICR1 :1; 5996 IO_BYTE m_ICR0 :1; 5997 } mb ; 5998 } tICR21STR ; 6000 IO_BYTE mby ; 6002 mIO_BYTE :1; 6003 mIO_BYTE :1; 6004 mIO_BYTE :1; 6005 IO_BYTE m_ICR4 :1; 6006 IO_BYTE m_ICR3 :1; 6007 IO_BYTE m_ICR2 :1; 6008 IO_BYTE m_ICR1 :1; 6009 IO_BYTE m_ICR0 :1; 6010 } mb ; 6011 } tICR22STR ; 6013 IO_BYTE mby ; 6015 mIO_BYTE :1; 6016 mIO_BYTE :1; 6017 mIO_BYTE :1; 6018 IO_BYTE m_ICR4 :1; 6019 IO_BYTE m_ICR3 :1; 6020 IO_BYTE m_ICR2 :1; 6021 IO_BYTE m_ICR1 :1; 6022 IO_BYTE m_ICR0 :1; 6023 } mb ; 6024 } tICR23STR ; 6026 IO_BYTE mby ; 6028 mIO_BYTE :1; 6029 mIO_BYTE :1; 6030 mIO_BYTE :1; 6031 IO_BYTE m_ICR4 :1; 6032 IO_BYTE m_ICR3 :1; 6033 IO_BYTE m_ICR2 :1; 6034 IO_BYTE m_ICR1 :1; 6035 IO_BYTE m_ICR0 :1; 6036 } mb ; 6037 } tICR24STR ; 6039 IO_BYTE mby ; 6041 mIO_BYTE :1; 6042 mIO_BYTE :1; 6043 mIO_BYTE :1; 6044 IO_BYTE m_ICR4 :1; 6045 IO_BYTE m_ICR3 :1; 6046 IO_BYTE m_ICR2 :1; 6047 IO_BYTE m_ICR1 :1; 6048 IO_BYTE m_ICR0 :1; 6049 } mb ; 6050 } tICR25STR ; 6052 IO_BYTE mby ; 6054 mIO_BYTE :1; 6055 mIO_BYTE :1; 6056 mIO_BYTE :1; 6057 IO_BYTE m_ICR4 :1; 6058 IO_BYTE m_ICR3 :1; 6059 IO_BYTE m_ICR2 :1; 6060 IO_BYTE m_ICR1 :1; 6061 IO_BYTE m_ICR0 :1; 6062 } mb ; 6063 } tICR26STR ; 6065 IO_BYTE mby ; 6067 mIO_BYTE :1; 6068 mIO_BYTE :1; 6069 mIO_BYTE :1; 6070 IO_BYTE m_ICR4 :1; 6071 IO_BYTE m_ICR3 :1; 6072 IO_BYTE m_ICR2 :1; 6073 IO_BYTE m_ICR1 :1; 6074 IO_BYTE m_ICR0 :1; 6075 } mb ; 6076 } tICR27STR ; 6078 IO_BYTE mby ; 6080 mIO_BYTE :1; 6081 mIO_BYTE :1; 6082 mIO_BYTE :1; 6083 IO_BYTE m_ICR4 :1; 6084 IO_BYTE m_ICR3 :1; 6085 IO_BYTE m_ICR2 :1; 6086 IO_BYTE m_ICR1 :1; 6087 IO_BYTE m_ICR0 :1; 6088 } mb ; 6089 } tICR28STR ; 6091 IO_BYTE mby ; 6093 mIO_BYTE :1; 6094 mIO_BYTE :1; 6095 mIO_BYTE :1; 6096 IO_BYTE m_ICR4 :1; 6097 IO_BYTE m_ICR3 :1; 6098 IO_BYTE m_ICR2 :1; 6099 IO_BYTE m_ICR1 :1; 6100 IO_BYTE m_ICR0 :1; 6101 } mb ; 6102 } tICR29STR ; 6104 IO_BYTE mby ; 6106 mIO_BYTE :1; 6107 mIO_BYTE :1; 6108 mIO_BYTE :1; 6109 IO_BYTE m_ICR4 :1; 6110 IO_BYTE m_ICR3 :1; 6111 IO_BYTE m_ICR2 :1; 6112 IO_BYTE m_ICR1 :1; 6113 IO_BYTE m_ICR0 :1; 6114 } mb ; 6115 } tICR30STR ; 6117 IO_BYTE mby ; 6119 mIO_BYTE :1; 6120 mIO_BYTE :1; 6121 mIO_BYTE :1; 6122 IO_BYTE m_ICR4 :1; 6123 IO_BYTE m_ICR3 :1; 6124 IO_BYTE m_ICR2 :1; 6125 IO_BYTE m_ICR1 :1; 6126 IO_BYTE m_ICR0 :1; 6127 } mb ; 6128 } tICR31STR ; 6130 IO_BYTE mby ; 6132 mIO_BYTE :1; 6133 mIO_BYTE :1; 6134 mIO_BYTE :1; 6135 IO_BYTE m_ICR4 :1; 6136 IO_BYTE m_ICR3 :1; 6137 IO_BYTE m_ICR2 :1; 6138 IO_BYTE m_ICR1 :1; 6139 IO_BYTE m_ICR0 :1; 6140 } mb ; 6141 } tICR32STR ; 6143 IO_BYTE mby ; 6145 mIO_BYTE :1; 6146 mIO_BYTE :1; 6147 mIO_BYTE :1; 6148 IO_BYTE m_ICR4 :1; 6149 IO_BYTE m_ICR3 :1; 6150 IO_BYTE m_ICR2 :1; 6151 IO_BYTE m_ICR1 :1; 6152 IO_BYTE m_ICR0 :1; 6153 } mb ; 6154 } tICR33STR ; 6156 IO_BYTE mby ; 6158 mIO_BYTE :1; 6159 mIO_BYTE :1; 6160 mIO_BYTE :1; 6161 IO_BYTE m_ICR4 :1; 6162 IO_BYTE m_ICR3 :1; 6163 IO_BYTE m_ICR2 :1; 6164 IO_BYTE m_ICR1 :1; 6165 IO_BYTE m_ICR0 :1; 6166 } mb ; 6167 } tICR34STR ; 6169 IO_BYTE mby ; 6171 mIO_BYTE :1; 6172 mIO_BYTE :1; 6173 mIO_BYTE :1; 6174 IO_BYTE m_ICR4 :1; 6175 IO_BYTE m_ICR3 :1; 6176 IO_BYTE m_ICR2 :1; 6177 IO_BYTE m_ICR1 :1; 6178 IO_BYTE m_ICR0 :1; 6179 } mb ; 6180 } tICR35STR ; 6182 IO_BYTE mby ; 6184 mIO_BYTE :1; 6185 mIO_BYTE :1; 6186 mIO_BYTE :1; 6187 IO_BYTE m_ICR4 :1; 6188 IO_BYTE m_ICR3 :1; 6189 IO_BYTE m_ICR2 :1; 6190 IO_BYTE m_ICR1 :1; 6191 IO_BYTE m_ICR0 :1; 6192 } mb ; 6193 } tICR36STR ; 6195 IO_BYTE mby ; 6197 mIO_BYTE :1; 6198 mIO_BYTE :1; 6199 mIO_BYTE :1; 6200 IO_BYTE m_ICR4 :1; 6201 IO_BYTE m_ICR3 :1; 6202 IO_BYTE m_ICR2 :1; 6203 IO_BYTE m_ICR1 :1; 6204 IO_BYTE m_ICR0 :1; 6205 } mb ; 6206 } tICR37STR ; 6208 IO_BYTE mby ; 6210 mIO_BYTE :1; 6211 mIO_BYTE :1; 6212 mIO_BYTE :1; 6213 IO_BYTE m_ICR4 :1; 6214 IO_BYTE m_ICR3 :1; 6215 IO_BYTE m_ICR2 :1; 6216 IO_BYTE m_ICR1 :1; 6217 IO_BYTE m_ICR0 :1; 6218 } mb ; 6219 } tICR38STR ; 6221 IO_BYTE mby ; 6223 mIO_BYTE :1; 6224 mIO_BYTE :1; 6225 mIO_BYTE :1; 6226 IO_BYTE m_ICR4 :1; 6227 IO_BYTE m_ICR3 :1; 6228 IO_BYTE m_ICR2 :1; 6229 IO_BYTE m_ICR1 :1; 6230 IO_BYTE m_ICR0 :1; 6231 } mb ; 6232 } tICR39STR ; 6234 IO_BYTE mby ; 6236 mIO_BYTE :1; 6237 mIO_BYTE :1; 6238 mIO_BYTE :1; 6239 IO_BYTE m_ICR4 :1; 6240 IO_BYTE m_ICR3 :1; 6241 IO_BYTE m_ICR2 :1; 6242 IO_BYTE m_ICR1 :1; 6243 IO_BYTE m_ICR0 :1; 6244 } mb ; 6245 } tICR40STR ; 6247 IO_BYTE mby ; 6249 mIO_BYTE :1; 6250 mIO_BYTE :1; 6251 mIO_BYTE :1; 6252 IO_BYTE m_ICR4 :1; 6253 IO_BYTE m_ICR3 :1; 6254 IO_BYTE m_ICR2 :1; 6255 IO_BYTE m_ICR1 :1; 6256 IO_BYTE m_ICR0 :1; 6257 } mb ; 6258 } tICR41STR ; 6260 IO_BYTE mby ; 6262 mIO_BYTE :1; 6263 mIO_BYTE :1; 6264 mIO_BYTE :1; 6265 IO_BYTE m_ICR4 :1; 6266 IO_BYTE m_ICR3 :1; 6267 IO_BYTE m_ICR2 :1; 6268 IO_BYTE m_ICR1 :1; 6269 IO_BYTE m_ICR0 :1; 6270 } mb ; 6271 } tICR42STR ; 6273 IO_BYTE mby ; 6275 mIO_BYTE :1; 6276 mIO_BYTE :1; 6277 mIO_BYTE :1; 6278 IO_BYTE m_ICR4 :1; 6279 IO_BYTE m_ICR3 :1; 6280 IO_BYTE m_ICR2 :1; 6281 IO_BYTE m_ICR1 :1; 6282 IO_BYTE m_ICR0 :1; 6283 } mb ; 6284 } tICR43STR ; 6286 IO_BYTE mby ; 6288 mIO_BYTE :1; 6289 mIO_BYTE :1; 6290 mIO_BYTE :1; 6291 IO_BYTE m_ICR4 :1; 6292 IO_BYTE m_ICR3 :1; 6293 IO_BYTE m_ICR2 :1; 6294 IO_BYTE m_ICR1 :1; 6295 IO_BYTE m_ICR0 :1; 6296 } mb ; 6297 } tICR44STR ; 6299 IO_BYTE mby ; 6301 mIO_BYTE :1; 6302 mIO_BYTE :1; 6303 mIO_BYTE :1; 6304 IO_BYTE m_ICR4 :1; 6305 IO_BYTE m_ICR3 :1; 6306 IO_BYTE m_ICR2 :1; 6307 IO_BYTE m_ICR1 :1; 6308 IO_BYTE m_ICR0 :1; 6309 } mb ; 6310 } tICR45STR ; 6312 IO_BYTE mby ; 6314 mIO_BYTE :1; 6315 mIO_BYTE :1; 6316 mIO_BYTE :1; 6317 IO_BYTE m_ICR4 :1; 6318 IO_BYTE m_ICR3 :1; 6319 IO_BYTE m_ICR2 :1; 6320 IO_BYTE m_ICR1 :1; 6321 IO_BYTE m_ICR0 :1; 6322 } mb ; 6323 } tICR46STR ; 6325 IO_BYTE mby ; 6327 mIO_BYTE :1; 6328 mIO_BYTE :1; 6329 mIO_BYTE :1; 6330 IO_BYTE m_ICR4 :1; 6331 IO_BYTE m_ICR3 :1; 6332 IO_BYTE m_ICR2 :1; 6333 IO_BYTE m_ICR1 :1; 6334 IO_BYTE m_ICR0 :1; 6335 } mb ; 6336 } tICR47STR ; 6338 IO_BYTE mby ; 6340 mIO_BYTE :1; 6341 mIO_BYTE :1; 6342 mIO_BYTE :1; 6343 IO_BYTE m_ICR4 :1; 6344 IO_BYTE m_ICR3 :1; 6345 IO_BYTE m_ICR2 :1; 6346 IO_BYTE m_ICR1 :1; 6347 IO_BYTE m_ICR0 :1; 6348 } mb ; 6349 } tICR48STR ; 6351 IO_BYTE mby ; 6353 mIO_BYTE :1; 6354 mIO_BYTE :1; 6355 mIO_BYTE :1; 6356 IO_BYTE m_ICR4 :1; 6357 IO_BYTE m_ICR3 :1; 6358 IO_BYTE m_ICR2 :1; 6359 IO_BYTE m_ICR1 :1; 6360 IO_BYTE m_ICR0 :1; 6361 } mb ; 6362 } tICR49STR ; 6364 IO_BYTE mby ; 6366 mIO_BYTE :1; 6367 mIO_BYTE :1; 6368 mIO_BYTE :1; 6369 IO_BYTE m_ICR4 :1; 6370 IO_BYTE m_ICR3 :1; 6371 IO_BYTE m_ICR2 :1; 6372 IO_BYTE m_ICR1 :1; 6373 IO_BYTE m_ICR0 :1; 6374 } mb ; 6375 } tICR50STR ; 6377 IO_BYTE mby ; 6379 mIO_BYTE :1; 6380 mIO_BYTE :1; 6381 mIO_BYTE :1; 6382 IO_BYTE m_ICR4 :1; 6383 IO_BYTE m_ICR3 :1; 6384 IO_BYTE m_ICR2 :1; 6385 IO_BYTE m_ICR1 :1; 6386 IO_BYTE m_ICR0 :1; 6387 } mb ; 6388 } tICR51STR ; 6390 IO_BYTE mby ; 6392 mIO_BYTE :1; 6393 mIO_BYTE :1; 6394 mIO_BYTE :1; 6395 IO_BYTE m_ICR4 :1; 6396 IO_BYTE m_ICR3 :1; 6397 IO_BYTE m_ICR2 :1; 6398 IO_BYTE m_ICR1 :1; 6399 IO_BYTE m_ICR0 :1; 6400 } mb ; 6401 } tICR52STR ; 6403 IO_BYTE mby ; 6405 mIO_BYTE :1; 6406 mIO_BYTE :1; 6407 mIO_BYTE :1; 6408 IO_BYTE m_ICR4 :1; 6409 IO_BYTE m_ICR3 :1; 6410 IO_BYTE m_ICR2 :1; 6411 IO_BYTE m_ICR1 :1; 6412 IO_BYTE m_ICR0 :1; 6413 } mb ; 6414 } tICR53STR ; 6416 IO_BYTE mby ; 6418 mIO_BYTE :1; 6419 mIO_BYTE :1; 6420 mIO_BYTE :1; 6421 IO_BYTE m_ICR4 :1; 6422 IO_BYTE m_ICR3 :1; 6423 IO_BYTE m_ICR2 :1; 6424 IO_BYTE m_ICR1 :1; 6425 IO_BYTE m_ICR0 :1; 6426 } mb ; 6427 } tICR54STR ; 6429 IO_BYTE mby ; 6431 mIO_BYTE :1; 6432 mIO_BYTE :1; 6433 mIO_BYTE :1; 6434 IO_BYTE m_ICR4 :1; 6435 IO_BYTE m_ICR3 :1; 6436 IO_BYTE m_ICR2 :1; 6437 IO_BYTE m_ICR1 :1; 6438 IO_BYTE m_ICR0 :1; 6439 } mb ; 6440 } tICR55STR ; 6442 IO_BYTE mby ; 6444 mIO_BYTE :1; 6445 mIO_BYTE :1; 6446 mIO_BYTE :1; 6447 IO_BYTE m_ICR4 :1; 6448 IO_BYTE m_ICR3 :1; 6449 IO_BYTE m_ICR2 :1; 6450 IO_BYTE m_ICR1 :1; 6451 IO_BYTE m_ICR0 :1; 6452 } mb ; 6453 } tICR56STR ; 6455 IO_BYTE mby ; 6457 mIO_BYTE :1; 6458 mIO_BYTE :1; 6459 mIO_BYTE :1; 6460 IO_BYTE m_ICR4 :1; 6461 IO_BYTE m_ICR3 :1; 6462 IO_BYTE m_ICR2 :1; 6463 IO_BYTE m_ICR1 :1; 6464 IO_BYTE m_ICR0 :1; 6465 } mb ; 6466 } tICR57STR ; 6468 IO_BYTE mby ; 6470 mIO_BYTE :1; 6471 mIO_BYTE :1; 6472 mIO_BYTE :1; 6473 IO_BYTE m_ICR4 :1; 6474 IO_BYTE m_ICR3 :1; 6475 IO_BYTE m_ICR2 :1; 6476 IO_BYTE m_ICR1 :1; 6477 IO_BYTE m_ICR0 :1; 6478 } mb ; 6479 } tICR58STR ; 6481 IO_BYTE mby ; 6483 mIO_BYTE :1; 6484 mIO_BYTE :1; 6485 mIO_BYTE :1; 6486 IO_BYTE m_ICR4 :1; 6487 IO_BYTE m_ICR3 :1; 6488 IO_BYTE m_ICR2 :1; 6489 IO_BYTE m_ICR1 :1; 6490 IO_BYTE m_ICR0 :1; 6491 } mb ; 6492 } tICR59STR ; 6494 IO_BYTE mby ; 6496 mIO_BYTE :1; 6497 mIO_BYTE :1; 6498 mIO_BYTE :1; 6499 IO_BYTE m_ICR4 :1; 6500 IO_BYTE m_ICR3 :1; 6501 IO_BYTE m_ICR2 :1; 6502 IO_BYTE m_ICR1 :1; 6503 IO_BYTE m_ICR0 :1; 6504 } mb ; 6505 } tICR60STR ; 6507 IO_BYTE mby ; 6509 mIO_BYTE :1; 6510 mIO_BYTE :1; 6511 mIO_BYTE :1; 6512 IO_BYTE m_ICR4 :1; 6513 IO_BYTE m_ICR3 :1; 6514 IO_BYTE m_ICR2 :1; 6515 IO_BYTE m_ICR1 :1; 6516 IO_BYTE m_ICR0 :1; 6517 } mb ; 6518 } tICR61STR ; 6520 IO_BYTE mby ; 6522 mIO_BYTE :1; 6523 mIO_BYTE :1; 6524 mIO_BYTE :1; 6525 IO_BYTE m_ICR4 :1; 6526 IO_BYTE m_ICR3 :1; 6527 IO_BYTE m_ICR2 :1; 6528 IO_BYTE m_ICR1 :1; 6529 IO_BYTE m_ICR0 :1; 6530 } mb ; 6531 } tICR62STR ; 6533 IO_BYTE mby ; 6535 mIO_BYTE :1; 6536 mIO_BYTE :1; 6537 mIO_BYTE :1; 6538 IO_BYTE m_ICR4 :1; 6539 IO_BYTE m_ICR3 :1; 6540 IO_BYTE m_ICR2 :1; 6541 IO_BYTE m_ICR1 :1; 6542 IO_BYTE m_ICR0 :1; 6543 } mb ; 6544 } tICR63STR ; 6546 IO_BYTE mby ; 6548 IO_BYTE m_INIT :1; 6549 IO_BYTE m_HSTB :1; 6550 IO_BYTE m_WDOG :1; 6551 IO_BYTE m_ERST :1; 6552 IO_BYTE m_SRST :1; 6553 IO_BYTE m_LINIT :1; 6554 IO_BYTE m_WT1 :1; 6555 IO_BYTE m_WT0 :1; 6556 } mb ; 6558 mIO_BYTE :1; 6559 mIO_BYTE :1; 6560 mIO_BYTE :1; 6561 mIO_BYTE :1; 6562 mIO_BYTE :1; 6563 mIO_BYTE :1; 6564 IO_BYTE m_WT :2; 6565 } mbc ; 6566 } tRSRRSTR ; 6568 IO_BYTE mby ; 6570 IO_BYTE m_STOP :1; 6571 IO_BYTE m_SLEEP :1; 6572 IO_BYTE m_HIZ :1; 6573 IO_BYTE m_SRST :1; 6574 IO_BYTE m_OS1 :1; 6575 IO_BYTE m_OS0 :1; 6576 IO_BYTE m_OSCD2 :1; 6577 IO_BYTE m_OSCD1 :1; 6578 } mb ; 6580 mIO_BYTE :1; 6581 mIO_BYTE :1; 6582 mIO_BYTE :1; 6583 mIO_BYTE :1; 6584 IO_BYTE m_OS :2; 6585 IO_BYTE m_OSCD :2; 6586 } mbc ; 6587 } tSTCRSTR ; 6589 IO_BYTE mby ; 6591 IO_BYTE m_TBIF :1; 6592 IO_BYTE m_TBIE :1; 6593 IO_BYTE m_TBC2 :1; 6594 IO_BYTE m_TBC1 :1; 6595 IO_BYTE m_TBC0 :1; 6596 mIO_BYTE :1; 6597 IO_BYTE m_SYNCR :1; 6598 IO_BYTE m_SYNCS :1; 6599 } mb ; 6601 mIO_BYTE :1; 6602 mIO_BYTE :1; 6603 IO_BYTE m_TBC :3; 6604 } mbc ; 6605 } tTBCRSTR ; 6607 IO_BYTE mby ; 6609 IO_BYTE m_D7 :1; 6610 IO_BYTE m_D6 :1; 6611 IO_BYTE m_D5 :1; 6612 IO_BYTE m_D4 :1; 6613 IO_BYTE m_D3 :1; 6614 IO_BYTE m_D2 :1; 6615 IO_BYTE m_D1 :1; 6616 IO_BYTE m_D0 :1; 6617 } mb ; 6618 } tCTBRSTR ; 6620 IO_BYTE mby ; 6622 mIO_BYTE :1; 6623 mIO_BYTE :1; 6624 mIO_BYTE :1; 6625 mIO_BYTE :1; 6626 IO_BYTE m_SCKEN :1; 6627 IO_BYTE m_PLL1EN :1; 6628 IO_BYTE m_CLKS1 :1; 6629 IO_BYTE m_CLKS0 :1; 6630 } mb ; 6632 mIO_BYTE :1; 6633 mIO_BYTE :1; 6634 mIO_BYTE :1; 6635 mIO_BYTE :1; 6636 mIO_BYTE :1; 6637 mIO_BYTE :1; 6638 IO_BYTE m_CLKS :2; 6639 } mbc ; 6640 } tCLKRSTR ; 6642 IO_BYTE mby ; 6644 IO_BYTE m_D7 :1; 6645 IO_BYTE m_D6 :1; 6646 IO_BYTE m_D5 :1; 6647 IO_BYTE m_D4 :1; 6648 IO_BYTE m_D3 :1; 6649 IO_BYTE m_D2 :1; 6650 IO_BYTE m_D1 :1; 6651 IO_BYTE m_D0 :1; 6652 } mb ; 6653 } tWPRSTR ; 6655 IO_BYTE mby ; 6657 IO_BYTE m_B3 :1; 6658 IO_BYTE m_B2 :1; 6659 IO_BYTE m_B1 :1; 6660 IO_BYTE m_B0 :1; 6661 IO_BYTE m_P3 :1; 6662 IO_BYTE m_P2 :1; 6663 IO_BYTE m_P1 :1; 6664 IO_BYTE m_P0 :1; 6665 } mb ; 6667 IO_BYTE m_B :4; 6668 IO_BYTE m_P :4; 6669 } mbc ; 6670 } tDIVR0STR ; 6672 IO_BYTE mby ; 6674 IO_BYTE m_T3 :1; 6675 IO_BYTE m_T2 :1; 6676 IO_BYTE m_T1 :1; 6677 IO_BYTE m_T0 :1; 6678 mIO_BYTE :1; 6679 mIO_BYTE :1; 6680 mIO_BYTE :1; 6681 mIO_BYTE :1; 6682 } mb ; 6684 IO_BYTE m_T :4; 6685 } mbc ; 6686 } tDIVR1STR ; 6688 IO_BYTE mby ; 6690 mIO_BYTE :1; 6691 mIO_BYTE :1; 6692 mIO_BYTE :1; 6693 mIO_BYTE :1; 6694 IO_BYTE m_DVM3 :1; 6695 IO_BYTE m_DVM2 :1; 6696 IO_BYTE m_DVM1 :1; 6697 IO_BYTE m_DVM0 :1; 6698 } mb ; 6700 mIO_BYTE :1; 6701 mIO_BYTE :1; 6702 mIO_BYTE :1; 6703 mIO_BYTE :1; 6704 IO_BYTE m_DVM :4; 6705 } mbc ; 6706 } tPLLDIVMSTR ; 6708 IO_BYTE mby ; 6710 mIO_BYTE :1; 6711 mIO_BYTE :1; 6712 IO_BYTE m_DVN5 :1; 6713 IO_BYTE m_DVN4 :1; 6714 IO_BYTE m_DVN3 :1; 6715 IO_BYTE m_DVN2 :1; 6716 IO_BYTE m_DVN1 :1; 6717 IO_BYTE m_DVN0 :1; 6718 } mb ; 6720 mIO_BYTE :1; 6721 mIO_BYTE :1; 6722 IO_BYTE m_DVN :6; 6723 } mbc ; 6724 } tPLLDIVNSTR ; 6726 IO_BYTE mby ; 6728 mIO_BYTE :1; 6729 mIO_BYTE :1; 6730 mIO_BYTE :1; 6731 mIO_BYTE :1; 6732 IO_BYTE m_DVG3 :1; 6733 IO_BYTE m_DVG2 :1; 6734 IO_BYTE m_DVG1 :1; 6735 IO_BYTE m_DVG0 :1; 6736 } mb ; 6738 mIO_BYTE :1; 6739 mIO_BYTE :1; 6740 mIO_BYTE :1; 6741 mIO_BYTE :1; 6742 IO_BYTE m_DVG :4; 6743 } mbc ; 6744 } tPLLDIVGSTR ; 6746 IO_BYTE mby ; 6748 IO_BYTE m_MLG7 :1; 6749 IO_BYTE m_MLG6 :1; 6750 IO_BYTE m_MLG5 :1; 6751 IO_BYTE m_MLG4 :1; 6752 IO_BYTE m_MLG3 :1; 6753 IO_BYTE m_MLG2 :1; 6754 IO_BYTE m_MLG1 :1; 6755 IO_BYTE m_MLG0 :1; 6756 } mb ; 6758 IO_BYTE m_MLG :8; 6759 } mbc ; 6760 } tPLLMULGSTR ; 6762 IO_BYTE mby ; 6764 mIO_BYTE :1; 6765 mIO_BYTE :1; 6766 mIO_BYTE :1; 6767 mIO_BYTE :1; 6768 IO_BYTE m_IEDN :1; 6769 IO_BYTE m_GRDN :1; 6770 IO_BYTE m_IEUP :1; 6771 IO_BYTE m_GRUP :1; 6772 } mb ; 6773 } tPLLCTRLSTR ; 6775 IO_BYTE mby ; 6777 mIO_BYTE :1; 6778 mIO_BYTE :1; 6779 mIO_BYTE :1; 6780 mIO_BYTE :1; 6781 mIO_BYTE :1; 6782 IO_BYTE m_FCI :1; 6783 IO_BYTE m_RFBEN :1; 6784 IO_BYTE m_OSCR :1; 6785 } mb ; 6786 } tOSCC1STR ; 6788 IO_BYTE mby ; 6790 IO_BYTE m_OSCS7 :1; 6791 IO_BYTE m_OSCS6 :1; 6792 IO_BYTE m_OSCS5 :1; 6793 IO_BYTE m_OSCS4 :1; 6794 IO_BYTE m_OSCS3 :1; 6795 IO_BYTE m_OSCS2 :1; 6796 IO_BYTE m_OSCS1 :1; 6797 IO_BYTE m_OSCS0 :1; 6798 } mb ; 6799 } tOSCS1STR ; 6801 IO_BYTE mby ; 6803 mIO_BYTE :1; 6804 mIO_BYTE :1; 6805 mIO_BYTE :1; 6806 mIO_BYTE :1; 6807 mIO_BYTE :1; 6808 IO_BYTE m_FCI :1; 6809 IO_BYTE m_RFBEN :1; 6810 IO_BYTE m_OSCR :1; 6811 } mb ; 6812 } tOSCC2STR ; 6814 IO_BYTE mby ; 6816 IO_BYTE m_OSCS7 :1; 6817 IO_BYTE m_OSCS6 :1; 6818 IO_BYTE m_OSCS5 :1; 6819 IO_BYTE m_OSCS4 :1; 6820 IO_BYTE m_OSCS3 :1; 6821 IO_BYTE m_OSCS2 :1; 6822 IO_BYTE m_OSCS1 :1; 6823 IO_BYTE m_OSCS0 :1; 6824 } mb ; 6825 } tOSCS2STR ; 6827 IO_BYTE mby ; 6829 mIO_BYTE :1; 6830 mIO_BYTE :1; 6831 mIO_BYTE :1; 6832 mIO_BYTE :1; 6833 mIO_BYTE :1; 6834 mIO_BYTE :1; 6835 IO_BYTE m_CPORTEN :1; 6836 IO_BYTE m_GPORTEN :1; 6837 } mb ; 6838 } tPORTENSTR ; 6840 IO_BYTE mby ; 6842 mIO_BYTE :1; 6843 mIO_BYTE :1; 6844 mIO_BYTE :1; 6845 mIO_BYTE :1; 6846 mIO_BYTE :1; 6847 mIO_BYTE :1; 6848 IO_BYTE m_INTE4 :1; 6849 IO_BYTE m_INT4 :1; 6850 } mb ; 6851 } tWTCERSTR ; 6853 IO_WORD mwd ; 6855 IO_WORD m_INTE3 :1; 6856 IO_WORD m_INT3 :1; 6857 IO_WORD m_INTE2 :1; 6858 IO_WORD m_INT2 :1; 6859 IO_WORD m_INTE1 :1; 6860 IO_WORD m_INT1 :1; 6861 IO_WORD m_INTE0 :1; 6862 IO_WORD m_INT0 :1; 6863 mIO_WORD :1; 6864 mIO_WORD :1; 6865 mIO_WORD :1; 6866 mIO_WORD :1; 6867 IO_WORD m_RUN :1; 6868 IO_WORD m_UPDT :1; 6869 mIO_WORD :1; 6870 IO_WORD m_ST :1; 6871 } mb ; 6872 } tWTCRSTR ; 6874 IO_LWORD mlwd ; 6876 mIO_LWORD :1; 6877 mIO_LWORD :1; 6878 mIO_LWORD :1; 6879 mIO_LWORD :1; 6880 mIO_LWORD :1; 6881 mIO_LWORD :1; 6882 mIO_LWORD :1; 6883 mIO_LWORD :1; 6884 mIO_LWORD :1; 6885 mIO_LWORD :1; 6886 mIO_LWORD :1; 6887 IO_LWORD m_D20 :1; 6888 IO_LWORD m_D19 :1; 6889 IO_LWORD m_D18 :1; 6890 IO_LWORD m_D17 :1; 6891 IO_LWORD m_D16 :1; 6892 IO_LWORD m_D15 :1; 6893 IO_LWORD m_D14 :1; 6894 IO_LWORD m_D13 :1; 6895 IO_LWORD m_D12 :1; 6896 IO_LWORD m_D11 :1; 6897 IO_LWORD m_D10 :1; 6898 IO_LWORD m_D9 :1; 6899 IO_LWORD m_D8 :1; 6900 IO_LWORD m_D7 :1; 6901 IO_LWORD m_D6 :1; 6902 IO_LWORD m_D5 :1; 6903 IO_LWORD m_D4 :1; 6904 IO_LWORD m_D3 :1; 6905 IO_LWORD m_D2 :1; 6906 IO_LWORD m_D1 :1; 6907 IO_LWORD m_D0 :1; 6908 } mb ; 6909 } tWTBRSTR ; 6911 IO_BYTE mby ; 6913 mIO_BYTE :1; 6914 mIO_BYTE :1; 6915 mIO_BYTE :1; 6916 IO_BYTE m_H4 :1; 6917 IO_BYTE m_H3 :1; 6918 IO_BYTE m_H2 :1; 6919 IO_BYTE m_H1 :1; 6920 IO_BYTE m_H0 :1; 6921 } mb ; 6922 } tWTHRSTR ; 6924 IO_BYTE mby ; 6926 mIO_BYTE :1; 6927 mIO_BYTE :1; 6928 IO_BYTE m_M5 :1; 6929 IO_BYTE m_M4 :1; 6930 IO_BYTE m_M3 :1; 6931 IO_BYTE m_M2 :1; 6932 IO_BYTE m_M1 :1; 6933 IO_BYTE m_M0 :1; 6934 } mb ; 6935 } tWTMRSTR ; 6937 IO_BYTE mby ; 6939 mIO_BYTE :1; 6940 mIO_BYTE :1; 6941 IO_BYTE m_S5 :1; 6942 IO_BYTE m_S4 :1; 6943 IO_BYTE m_S3 :1; 6944 IO_BYTE m_S2 :1; 6945 IO_BYTE m_S1 :1; 6946 IO_BYTE m_S0 :1; 6947 } mb ; 6948 } tWTSRSTR ; 6950 IO_BYTE mby ; 6952 IO_BYTE m_SCKS :1; 6953 IO_BYTE m_MM :1; 6954 IO_BYTE m_SM :1; 6955 IO_BYTE m_RCE :1; 6956 IO_BYTE m_MSVE :1; 6957 IO_BYTE m_SSVE :1; 6958 IO_BYTE m_SRST :1; 6959 IO_BYTE m_OUTE :1; 6960 } mb ; 6961 } tCSVCRSTR ; 6963 IO_BYTE mby ; 6965 IO_BYTE m_EDSUEN :1; 6966 IO_BYTE m_PLLLOCK :1; 6967 IO_BYTE m_RCSEL :1; 6968 IO_BYTE m_MONCKI :1; 6969 IO_BYTE m_CSC3 :1; 6970 IO_BYTE m_CSC2 :1; 6971 IO_BYTE m_CSC1 :1; 6972 IO_BYTE m_CSC0 :1; 6973 } mb ; 6975 mIO_BYTE :4; 6976 IO_BYTE m_CSC :4; 6977 } mbc ; 6978 } tCSCFGSTR ; 6980 IO_BYTE mby ; 6982 IO_BYTE m_CMPRE3 :1; 6983 IO_BYTE m_CMPRE2 :1; 6984 IO_BYTE m_CMPRE1 :1; 6985 IO_BYTE m_CMPRE0 :1; 6986 IO_BYTE m_CMSEL3 :1; 6987 IO_BYTE m_CMSEL2 :1; 6988 IO_BYTE m_CMSEL1 :1; 6989 IO_BYTE m_CMSEL0 :1; 6990 } mb ; 6992 IO_BYTE m_CMPRE :4; 6993 IO_BYTE m_CMSEL :4; 6994 } mbc ; 6995 } tCMCFGSTR ; 6997 IO_WORD mwd ; 6999 mIO_WORD :1; 7000 mIO_WORD :1; 7001 mIO_WORD :1; 7002 mIO_WORD :1; 7003 mIO_WORD :1; 7004 mIO_WORD :1; 7005 mIO_WORD :1; 7006 mIO_WORD :1; 7007 mIO_WORD :1; 7008 mIO_WORD :1; 7009 mIO_WORD :1; 7010 IO_WORD m_STRT :1; 7011 mIO_WORD :1; 7012 mIO_WORD :1; 7013 IO_WORD m_INT :1; 7014 IO_WORD m_INTEN :1; 7015 } mb ; 7016 } tCUCRSTR ; 7018 IO_WORD mwd ; 7020 IO_WORD m_TDD15 :1; 7021 IO_WORD m_TDD14 :1; 7022 IO_WORD m_TDD13 :1; 7023 IO_WORD m_TDD12 :1; 7024 IO_WORD m_TDD11 :1; 7025 IO_WORD m_TDD10 :1; 7026 IO_WORD m_TDD9 :1; 7027 IO_WORD m_TDD8 :1; 7028 IO_WORD m_TDD7 :1; 7029 IO_WORD m_TDD6 :1; 7030 IO_WORD m_TDD5 :1; 7031 IO_WORD m_TDD4 :1; 7032 IO_WORD m_TDD3 :1; 7033 IO_WORD m_TDD2 :1; 7034 IO_WORD m_TDD1 :1; 7035 IO_WORD m_TDD0 :1; 7036 } mb ; 7037 } tCUTDSTR ; 7039 IO_WORD mwd ; 7041 mIO_WORD :1; 7042 mIO_WORD :1; 7043 mIO_WORD :1; 7044 mIO_WORD :1; 7045 mIO_WORD :1; 7046 mIO_WORD :1; 7047 mIO_WORD :1; 7048 mIO_WORD :1; 7049 IO_WORD m_TDR23 :1; 7050 IO_WORD m_TDR22 :1; 7051 IO_WORD m_TDR21 :1; 7052 IO_WORD m_TDR20 :1; 7053 IO_WORD m_TDR19 :1; 7054 IO_WORD m_TDR18 :1; 7055 IO_WORD m_TDR17 :1; 7056 IO_WORD m_TDR16 :1; 7057 } mb ; 7058 } tCUTR1STR ; 7060 IO_WORD mwd ; 7062 IO_WORD m_TDR15 :1; 7063 IO_WORD m_TDR14 :1; 7064 IO_WORD m_TDR13 :1; 7065 IO_WORD m_TDR12 :1; 7066 IO_WORD m_TDR11 :1; 7067 IO_WORD m_TDR10 :1; 7068 IO_WORD m_TDR9 :1; 7069 IO_WORD m_TDR8 :1; 7070 IO_WORD m_TDR7 :1; 7071 IO_WORD m_TDR6 :1; 7072 IO_WORD m_TDR5 :1; 7073 IO_WORD m_TDR4 :1; 7074 IO_WORD m_TDR3 :1; 7075 IO_WORD m_TDR2 :1; 7076 IO_WORD m_TDR1 :1; 7077 IO_WORD m_TDR0 :1; 7078 } mb ; 7079 } tCUTR2STR ; 7081 IO_WORD mwd ; 7083 mIO_WORD :1; 7084 mIO_WORD :1; 7085 IO_WORD m_MP13 :1; 7086 IO_WORD m_MP12 :1; 7087 IO_WORD m_MP11 :1; 7088 IO_WORD m_MP10 :1; 7089 IO_WORD m_MP9 :1; 7090 IO_WORD m_MP8 :1; 7091 IO_WORD m_MP7 :1; 7092 IO_WORD m_MP6 :1; 7093 IO_WORD m_MP5 :1; 7094 IO_WORD m_MP4 :1; 7095 IO_WORD m_MP3 :1; 7096 IO_WORD m_MP2 :1; 7097 IO_WORD m_MP1 :1; 7098 IO_WORD m_MP0 :1; 7099 } mb ; 7100 } tCMPRSTR ; 7102 IO_BYTE mby ; 7104 mIO_BYTE :1; 7105 mIO_BYTE :1; 7106 mIO_BYTE :1; 7107 mIO_BYTE :1; 7108 IO_BYTE m_FMODRUN :1; 7109 mIO_BYTE :1; 7110 IO_BYTE m_FMOD :1; 7111 IO_BYTE m_PDX :1; 7112 } mb ; 7113 } tCMCRSTR ; 7115 IO_BYTE mby ; 7117 mIO_BYTE :1; 7118 mIO_BYTE :1; 7119 IO_BYTE m_CPCKS1 :1; 7120 IO_BYTE m_CPCKS0 :1; 7121 IO_BYTE m_DVC3 :1; 7122 IO_BYTE m_DVC2 :1; 7123 IO_BYTE m_DVC1 :1; 7124 IO_BYTE m_DVC0 :1; 7125 } mb ; 7127 mIO_BYTE :2; 7128 IO_BYTE m_CPCKS :2; 7129 IO_BYTE m_DVC :4; 7130 } mbc ; 7131 } tCANPRESTR ; 7133 IO_BYTE mby ; 7135 mIO_BYTE :1; 7136 mIO_BYTE :1; 7137 IO_BYTE m_CANCKD5 :1; 7138 IO_BYTE m_CANCKD4 :1; 7139 IO_BYTE m_CANCKD3 :1; 7140 IO_BYTE m_CANCKD2 :1; 7141 IO_BYTE m_CANCKD1 :1; 7142 IO_BYTE m_CANCKD0 :1; 7143 } mb ; 7144 } tCANCKDSTR ; 7146 IO_BYTE mby ; 7148 IO_BYTE m_LVESEL3 :1; 7149 IO_BYTE m_LVESEL2 :1; 7150 IO_BYTE m_LVESEL1 :1; 7151 IO_BYTE m_LVESEL0 :1; 7152 IO_BYTE m_LVISEL3 :1; 7153 IO_BYTE m_LVISEL2 :1; 7154 IO_BYTE m_LVISEL1 :1; 7155 IO_BYTE m_LVISEL0 :1; 7156 } mb ; 7158 IO_BYTE m_LVESEL :4; 7159 IO_BYTE m_LVISEL :4; 7160 } mbc ; 7161 } tLVSELSTR ; 7163 IO_BYTE mby ; 7165 mIO_BYTE :1; 7166 IO_BYTE m_LVSEL :1; 7167 IO_BYTE m_LVEPD :1; 7168 IO_BYTE m_LVIPD :1; 7169 IO_BYTE m_LVREN :1; 7170 mIO_BYTE :1; 7171 IO_BYTE m_LVIEN :1; 7172 IO_BYTE m_LVIRQ :1; 7173 } mb ; 7174 } tLVDETSTR ; 7176 IO_BYTE mby ; 7178 mIO_BYTE :1; 7179 mIO_BYTE :1; 7180 mIO_BYTE :1; 7181 mIO_BYTE :1; 7182 mIO_BYTE :1; 7183 mIO_BYTE :1; 7184 IO_BYTE m_ED1 :1; 7185 IO_BYTE m_ED0 :1; 7186 } mb ; 7188 mIO_BYTE :1; 7189 mIO_BYTE :1; 7190 mIO_BYTE :1; 7191 mIO_BYTE :1; 7192 mIO_BYTE :1; 7193 mIO_BYTE :1; 7194 IO_BYTE m_ED :2; 7195 } mbc ; 7196 } tHWWDESTR ; 7198 IO_BYTE mby ; 7200 mIO_BYTE :1; 7201 mIO_BYTE :1; 7202 mIO_BYTE :1; 7203 mIO_BYTE :1; 7204 IO_BYTE m_CL :1; 7205 mIO_BYTE :1; 7206 mIO_BYTE :1; 7207 IO_BYTE m_CPUF :1; 7208 } mb ; 7209 } tHWWDSTR ; 7211 IO_BYTE mby ; 7213 IO_BYTE m_WIF :1; 7214 IO_BYTE m_WIE :1; 7215 IO_BYTE m_WEN :1; 7216 mIO_BYTE :1; 7217 mIO_BYTE :1; 7218 IO_BYTE m_WS1 :1; 7219 IO_BYTE m_WS0 :1; 7220 IO_BYTE m_WCL :1; 7221 } mb ; 7223 mIO_BYTE :1; 7224 mIO_BYTE :1; 7225 mIO_BYTE :1; 7226 mIO_BYTE :1; 7227 mIO_BYTE :1; 7228 IO_BYTE m_WS :2; 7229 } mbc ; 7230 } tOSCRHSTR ; 7232 IO_BYTE mby ; 7234 IO_BYTE m_WIF :1; 7235 IO_BYTE m_WIE :1; 7236 IO_BYTE m_WEN :1; 7237 mIO_BYTE :1; 7238 mIO_BYTE :1; 7239 IO_BYTE m_WS1 :1; 7240 IO_BYTE m_WS0 :1; 7241 IO_BYTE m_WCL :1; 7242 } mb ; 7244 mIO_BYTE :1; 7245 mIO_BYTE :1; 7246 mIO_BYTE :1; 7247 mIO_BYTE :1; 7248 mIO_BYTE :1; 7249 IO_BYTE m_WS :2; 7250 } mbc ; 7251 } tWPCRHSTR ; 7253 IO_BYTE mby ; 7255 mIO_BYTE :1; 7256 mIO_BYTE :1; 7257 mIO_BYTE :1; 7258 mIO_BYTE :1; 7259 mIO_BYTE :1; 7260 mIO_BYTE :1; 7261 mIO_BYTE :1; 7262 IO_BYTE m_OSCDS1 :1; 7263 } mb ; 7264 } tOSCCRSTR ; 7266 IO_BYTE mby ; 7268 mIO_BYTE :1; 7269 mIO_BYTE :1; 7270 IO_BYTE m_FLASHSEL :1; 7271 IO_BYTE m_MAINSEL :1; 7272 IO_BYTE m_SUBSEL3 :1; 7273 IO_BYTE m_SUBSEL2 :1; 7274 IO_BYTE m_SUBSEL1 :1; 7275 IO_BYTE m_SUBSEL0 :1; 7276 } mb ; 7278 mIO_BYTE :4; 7279 IO_BYTE m_SUBSEL :4; 7280 } mbc ; 7281 } tREGSELSTR ; 7283 IO_BYTE mby ; 7285 mIO_BYTE :1; 7286 mIO_BYTE :1; 7287 mIO_BYTE :1; 7288 IO_BYTE m_MSTBO :1; 7289 mIO_BYTE :1; 7290 mIO_BYTE :1; 7291 IO_BYTE m_MAINKPEN :1; 7292 IO_BYTE m_MAINDSBL :1; 7293 } mb ; 7294 } tREGCTRSTR ; 7296 IO_BYTE mby ; 7298 mIO_BYTE :1; 7299 mIO_BYTE :1; 7300 mIO_BYTE :1; 7301 mIO_BYTE :1; 7302 mIO_BYTE :1; 7303 IO_BYTE m_ROMA :1; 7304 IO_BYTE m_WTH1 :1; 7305 IO_BYTE m_WTH0 :1; 7306 } mb ; 7308 mIO_BYTE :1; 7309 mIO_BYTE :1; 7310 mIO_BYTE :1; 7311 mIO_BYTE :1; 7312 mIO_BYTE :1; 7313 mIO_BYTE :1; 7314 IO_BYTE m_WTH :2; 7315 } mbc ; 7316 } tMODRSTR ; 7318 IO_BYTE mby ; 7320 IO_BYTE m_D7 :1; 7321 IO_BYTE m_D6 :1; 7322 IO_BYTE m_D5 :1; 7323 IO_BYTE m_D4 :1; 7324 IO_BYTE m_D3 :1; 7325 IO_BYTE m_D2 :1; 7326 IO_BYTE m_D1 :1; 7327 IO_BYTE m_D0 :1; 7328 } mb ; 7329 } tPDRD14STR ; 7331 IO_BYTE mby ; 7333 IO_BYTE m_D7 :1; 7334 IO_BYTE m_D6 :1; 7335 IO_BYTE m_D5 :1; 7336 IO_BYTE m_D4 :1; 7337 IO_BYTE m_D3 :1; 7338 IO_BYTE m_D2 :1; 7339 IO_BYTE m_D1 :1; 7340 IO_BYTE m_D0 :1; 7341 } mb ; 7342 } tPDRD15STR ; 7344 IO_BYTE mby ; 7346 IO_BYTE m_D7 :1; 7347 IO_BYTE m_D6 :1; 7348 IO_BYTE m_D5 :1; 7349 IO_BYTE m_D4 :1; 7350 IO_BYTE m_D3 :1; 7351 IO_BYTE m_D2 :1; 7352 IO_BYTE m_D1 :1; 7353 IO_BYTE m_D0 :1; 7354 } mb ; 7355 } tPDRD16STR ; 7357 IO_BYTE mby ; 7359 IO_BYTE m_D7 :1; 7360 IO_BYTE m_D6 :1; 7361 IO_BYTE m_D5 :1; 7362 IO_BYTE m_D4 :1; 7363 IO_BYTE m_D3 :1; 7364 IO_BYTE m_D2 :1; 7365 IO_BYTE m_D1 :1; 7366 IO_BYTE m_D0 :1; 7367 } mb ; 7368 } tPDRD17STR ; 7370 IO_BYTE mby ; 7372 mIO_BYTE :1; 7373 IO_BYTE m_D6 :1; 7374 mIO_BYTE :1; 7375 mIO_BYTE :1; 7376 mIO_BYTE :1; 7377 IO_BYTE m_D2 :1; 7378 mIO_BYTE :1; 7379 mIO_BYTE :1; 7380 } mb ; 7381 } tPDRD18STR ; 7383 IO_BYTE mby ; 7385 mIO_BYTE :1; 7386 IO_BYTE m_D6 :1; 7387 mIO_BYTE :1; 7388 mIO_BYTE :1; 7389 mIO_BYTE :1; 7390 IO_BYTE m_D2 :1; 7391 IO_BYTE m_D1 :1; 7392 IO_BYTE m_D0 :1; 7393 } mb ; 7394 } tPDRD19STR ; 7396 IO_BYTE mby ; 7398 IO_BYTE m_D7 :1; 7399 IO_BYTE m_D6 :1; 7400 IO_BYTE m_D5 :1; 7401 IO_BYTE m_D4 :1; 7402 IO_BYTE m_D3 :1; 7403 IO_BYTE m_D2 :1; 7404 IO_BYTE m_D1 :1; 7405 IO_BYTE m_D0 :1; 7406 } mb ; 7407 } tPDRD20STR ; 7409 IO_BYTE mby ; 7411 IO_BYTE m_D7 :1; 7412 IO_BYTE m_D6 :1; 7413 IO_BYTE m_D5 :1; 7414 IO_BYTE m_D4 :1; 7415 IO_BYTE m_D3 :1; 7416 IO_BYTE m_D2 :1; 7417 IO_BYTE m_D1 :1; 7418 IO_BYTE m_D0 :1; 7419 } mb ; 7420 } tPDRD21STR ; 7422 IO_BYTE mby ; 7424 mIO_BYTE :1; 7425 mIO_BYTE :1; 7426 IO_BYTE m_D5 :1; 7427 IO_BYTE m_D4 :1; 7428 mIO_BYTE :1; 7429 mIO_BYTE :1; 7430 IO_BYTE m_D1 :1; 7431 IO_BYTE m_D0 :1; 7432 } mb ; 7433 } tPDRD22STR ; 7435 IO_BYTE mby ; 7437 IO_BYTE m_D7 :1; 7438 IO_BYTE m_D6 :1; 7439 IO_BYTE m_D5 :1; 7440 IO_BYTE m_D4 :1; 7441 IO_BYTE m_D3 :1; 7442 IO_BYTE m_D2 :1; 7443 IO_BYTE m_D1 :1; 7444 IO_BYTE m_D0 :1; 7445 } mb ; 7446 } tPDRD24STR ; 7448 IO_BYTE mby ; 7450 mIO_BYTE :1; 7451 mIO_BYTE :1; 7452 mIO_BYTE :1; 7453 mIO_BYTE :1; 7454 mIO_BYTE :1; 7455 mIO_BYTE :1; 7456 IO_BYTE m_D1 :1; 7457 IO_BYTE m_D0 :1; 7458 } mb ; 7459 } tPDRD26STR ; 7461 IO_BYTE mby ; 7463 IO_BYTE m_D7 :1; 7464 IO_BYTE m_D6 :1; 7465 IO_BYTE m_D5 :1; 7466 IO_BYTE m_D4 :1; 7467 IO_BYTE m_D3 :1; 7468 IO_BYTE m_D2 :1; 7469 IO_BYTE m_D1 :1; 7470 IO_BYTE m_D0 :1; 7471 } mb ; 7472 } tPDRD27STR ; 7474 IO_BYTE mby ; 7476 IO_BYTE m_D7 :1; 7477 IO_BYTE m_D6 :1; 7478 IO_BYTE m_D5 :1; 7479 IO_BYTE m_D4 :1; 7480 IO_BYTE m_D3 :1; 7481 IO_BYTE m_D2 :1; 7482 IO_BYTE m_D1 :1; 7483 IO_BYTE m_D0 :1; 7484 } mb ; 7485 } tPDRD28STR ; 7487 IO_BYTE mby ; 7489 IO_BYTE m_D7 :1; 7490 IO_BYTE m_D6 :1; 7491 IO_BYTE m_D5 :1; 7492 IO_BYTE m_D4 :1; 7493 IO_BYTE m_D3 :1; 7494 IO_BYTE m_D2 :1; 7495 IO_BYTE m_D1 :1; 7496 IO_BYTE m_D0 :1; 7497 } mb ; 7498 } tPDRD29STR ; 7500 IO_BYTE mby ; 7502 IO_BYTE m_D7 :1; 7503 IO_BYTE m_D6 :1; 7504 IO_BYTE m_D5 :1; 7505 IO_BYTE m_D4 :1; 7506 IO_BYTE m_D3 :1; 7507 IO_BYTE m_D2 :1; 7508 IO_BYTE m_D1 :1; 7509 IO_BYTE m_D0 :1; 7510 } mb ; 7511 } tDDR14STR ; 7513 IO_BYTE mby ; 7515 IO_BYTE m_D7 :1; 7516 IO_BYTE m_D6 :1; 7517 IO_BYTE m_D5 :1; 7518 IO_BYTE m_D4 :1; 7519 IO_BYTE m_D3 :1; 7520 IO_BYTE m_D2 :1; 7521 IO_BYTE m_D1 :1; 7522 IO_BYTE m_D0 :1; 7523 } mb ; 7524 } tDDR15STR ; 7526 IO_BYTE mby ; 7528 IO_BYTE m_D7 :1; 7529 IO_BYTE m_D6 :1; 7530 IO_BYTE m_D5 :1; 7531 IO_BYTE m_D4 :1; 7532 IO_BYTE m_D3 :1; 7533 IO_BYTE m_D2 :1; 7534 IO_BYTE m_D1 :1; 7535 IO_BYTE m_D0 :1; 7536 } mb ; 7537 } tDDR16STR ; 7539 IO_BYTE mby ; 7541 IO_BYTE m_D7 :1; 7542 IO_BYTE m_D6 :1; 7543 IO_BYTE m_D5 :1; 7544 IO_BYTE m_D4 :1; 7545 IO_BYTE m_D3 :1; 7546 IO_BYTE m_D2 :1; 7547 IO_BYTE m_D1 :1; 7548 IO_BYTE m_D0 :1; 7549 } mb ; 7550 } tDDR17STR ; 7552 IO_BYTE mby ; 7554 mIO_BYTE :1; 7555 IO_BYTE m_D6 :1; 7556 mIO_BYTE :1; 7557 mIO_BYTE :1; 7558 mIO_BYTE :1; 7559 IO_BYTE m_D2 :1; 7560 mIO_BYTE :1; 7561 mIO_BYTE :1; 7562 } mb ; 7563 } tDDR18STR ; 7565 IO_BYTE mby ; 7567 mIO_BYTE :1; 7568 IO_BYTE m_D6 :1; 7569 mIO_BYTE :1; 7570 mIO_BYTE :1; 7571 mIO_BYTE :1; 7572 IO_BYTE m_D2 :1; 7573 IO_BYTE m_D1 :1; 7574 IO_BYTE m_D0 :1; 7575 } mb ; 7576 } tDDR19STR ; 7578 IO_BYTE mby ; 7580 IO_BYTE m_D7 :1; 7581 IO_BYTE m_D6 :1; 7582 IO_BYTE m_D5 :1; 7583 IO_BYTE m_D4 :1; 7584 IO_BYTE m_D3 :1; 7585 IO_BYTE m_D2 :1; 7586 IO_BYTE m_D1 :1; 7587 IO_BYTE m_D0 :1; 7588 } mb ; 7589 } tDDR20STR ; 7591 IO_BYTE mby ; 7593 IO_BYTE m_D7 :1; 7594 IO_BYTE m_D6 :1; 7595 IO_BYTE m_D5 :1; 7596 IO_BYTE m_D4 :1; 7597 IO_BYTE m_D3 :1; 7598 IO_BYTE m_D2 :1; 7599 IO_BYTE m_D1 :1; 7600 IO_BYTE m_D0 :1; 7601 } mb ; 7602 } tDDR21STR ; 7604 IO_BYTE mby ; 7606 mIO_BYTE :1; 7607 mIO_BYTE :1; 7608 IO_BYTE m_D5 :1; 7609 IO_BYTE m_D4 :1; 7610 mIO_BYTE :1; 7611 mIO_BYTE :1; 7612 IO_BYTE m_D1 :1; 7613 IO_BYTE m_D0 :1; 7614 } mb ; 7615 } tDDR22STR ; 7617 IO_BYTE mby ; 7619 IO_BYTE m_D7 :1; 7620 IO_BYTE m_D6 :1; 7621 IO_BYTE m_D5 :1; 7622 IO_BYTE m_D4 :1; 7623 IO_BYTE m_D3 :1; 7624 IO_BYTE m_D2 :1; 7625 IO_BYTE m_D1 :1; 7626 IO_BYTE m_D0 :1; 7627 } mb ; 7628 } tDDR24STR ; 7630 IO_BYTE mby ; 7632 mIO_BYTE :1; 7633 mIO_BYTE :1; 7634 mIO_BYTE :1; 7635 mIO_BYTE :1; 7636 mIO_BYTE :1; 7637 mIO_BYTE :1; 7638 IO_BYTE m_D1 :1; 7639 IO_BYTE m_D0 :1; 7640 } mb ; 7641 } tDDR26STR ; 7643 IO_BYTE mby ; 7645 IO_BYTE m_D7 :1; 7646 IO_BYTE m_D6 :1; 7647 IO_BYTE m_D5 :1; 7648 IO_BYTE m_D4 :1; 7649 IO_BYTE m_D3 :1; 7650 IO_BYTE m_D2 :1; 7651 IO_BYTE m_D1 :1; 7652 IO_BYTE m_D0 :1; 7653 } mb ; 7654 } tDDR27STR ; 7656 IO_BYTE mby ; 7658 IO_BYTE m_D7 :1; 7659 IO_BYTE m_D6 :1; 7660 IO_BYTE m_D5 :1; 7661 IO_BYTE m_D4 :1; 7662 IO_BYTE m_D3 :1; 7663 IO_BYTE m_D2 :1; 7664 IO_BYTE m_D1 :1; 7665 IO_BYTE m_D0 :1; 7666 } mb ; 7667 } tDDR28STR ; 7669 IO_BYTE mby ; 7671 IO_BYTE m_D7 :1; 7672 IO_BYTE m_D6 :1; 7673 IO_BYTE m_D5 :1; 7674 IO_BYTE m_D4 :1; 7675 IO_BYTE m_D3 :1; 7676 IO_BYTE m_D2 :1; 7677 IO_BYTE m_D1 :1; 7678 IO_BYTE m_D0 :1; 7679 } mb ; 7680 } tDDR29STR ; 7682 IO_BYTE mby ; 7684 IO_BYTE m_D7 :1; 7685 IO_BYTE m_D6 :1; 7686 IO_BYTE m_D5 :1; 7687 IO_BYTE m_D4 :1; 7688 IO_BYTE m_D3 :1; 7689 IO_BYTE m_D2 :1; 7690 IO_BYTE m_D1 :1; 7691 IO_BYTE m_D0 :1; 7692 } mb ; 7693 } tPFR14STR ; 7695 IO_BYTE mby ; 7697 IO_BYTE m_D7 :1; 7698 IO_BYTE m_D6 :1; 7699 IO_BYTE m_D5 :1; 7700 IO_BYTE m_D4 :1; 7701 IO_BYTE m_D3 :1; 7702 IO_BYTE m_D2 :1; 7703 IO_BYTE m_D1 :1; 7704 IO_BYTE m_D0 :1; 7705 } mb ; 7706 } tPFR15STR ; 7708 IO_BYTE mby ; 7710 IO_BYTE m_D7 :1; 7711 IO_BYTE m_D6 :1; 7712 IO_BYTE m_D5 :1; 7713 IO_BYTE m_D4 :1; 7714 IO_BYTE m_D3 :1; 7715 IO_BYTE m_D2 :1; 7716 IO_BYTE m_D1 :1; 7717 IO_BYTE m_D0 :1; 7718 } mb ; 7719 } tPFR16STR ; 7721 IO_BYTE mby ; 7723 IO_BYTE m_D7 :1; 7724 IO_BYTE m_D6 :1; 7725 IO_BYTE m_D5 :1; 7726 IO_BYTE m_D4 :1; 7727 IO_BYTE m_D3 :1; 7728 IO_BYTE m_D2 :1; 7729 IO_BYTE m_D1 :1; 7730 IO_BYTE m_D0 :1; 7731 } mb ; 7732 } tPFR17STR ; 7734 IO_BYTE mby ; 7736 mIO_BYTE :1; 7737 IO_BYTE m_D6 :1; 7738 mIO_BYTE :1; 7739 mIO_BYTE :1; 7740 mIO_BYTE :1; 7741 IO_BYTE m_D2 :1; 7742 mIO_BYTE :1; 7743 mIO_BYTE :1; 7744 } mb ; 7745 } tPFR18STR ; 7747 IO_BYTE mby ; 7749 mIO_BYTE :1; 7750 IO_BYTE m_D6 :1; 7751 mIO_BYTE :1; 7752 mIO_BYTE :1; 7753 mIO_BYTE :1; 7754 IO_BYTE m_D2 :1; 7755 IO_BYTE m_D1 :1; 7756 IO_BYTE m_D0 :1; 7757 } mb ; 7758 } tPFR19STR ; 7760 IO_BYTE mby ; 7762 IO_BYTE m_D7 :1; 7763 IO_BYTE m_D6 :1; 7764 IO_BYTE m_D5 :1; 7765 IO_BYTE m_D4 :1; 7766 IO_BYTE m_D3 :1; 7767 IO_BYTE m_D2 :1; 7768 IO_BYTE m_D1 :1; 7769 IO_BYTE m_D0 :1; 7770 } mb ; 7771 } tPFR20STR ; 7773 IO_BYTE mby ; 7775 IO_BYTE m_D7 :1; 7776 IO_BYTE m_D6 :1; 7777 IO_BYTE m_D5 :1; 7778 IO_BYTE m_D4 :1; 7779 IO_BYTE m_D3 :1; 7780 IO_BYTE m_D2 :1; 7781 IO_BYTE m_D1 :1; 7782 IO_BYTE m_D0 :1; 7783 } mb ; 7784 } tPFR21STR ; 7786 IO_BYTE mby ; 7788 mIO_BYTE :1; 7789 mIO_BYTE :1; 7790 IO_BYTE m_D5 :1; 7791 IO_BYTE m_D4 :1; 7792 mIO_BYTE :1; 7793 mIO_BYTE :1; 7794 IO_BYTE m_D1 :1; 7795 IO_BYTE m_D0 :1; 7796 } mb ; 7797 } tPFR22STR ; 7799 IO_BYTE mby ; 7801 IO_BYTE m_D7 :1; 7802 IO_BYTE m_D6 :1; 7803 IO_BYTE m_D5 :1; 7804 IO_BYTE m_D4 :1; 7805 IO_BYTE m_D3 :1; 7806 IO_BYTE m_D2 :1; 7807 IO_BYTE m_D1 :1; 7808 IO_BYTE m_D0 :1; 7809 } mb ; 7810 } tPFR24STR ; 7812 IO_BYTE mby ; 7814 mIO_BYTE :1; 7815 mIO_BYTE :1; 7816 mIO_BYTE :1; 7817 mIO_BYTE :1; 7818 mIO_BYTE :1; 7819 mIO_BYTE :1; 7820 IO_BYTE m_D1 :1; 7821 IO_BYTE m_D0 :1; 7822 } mb ; 7823 } tPFR26STR ; 7825 IO_BYTE mby ; 7827 IO_BYTE m_D7 :1; 7828 IO_BYTE m_D6 :1; 7829 IO_BYTE m_D5 :1; 7830 IO_BYTE m_D4 :1; 7831 IO_BYTE m_D3 :1; 7832 IO_BYTE m_D2 :1; 7833 IO_BYTE m_D1 :1; 7834 IO_BYTE m_D0 :1; 7835 } mb ; 7836 } tPFR27STR ; 7838 IO_BYTE mby ; 7840 IO_BYTE m_D7 :1; 7841 IO_BYTE m_D6 :1; 7842 IO_BYTE m_D5 :1; 7843 IO_BYTE m_D4 :1; 7844 IO_BYTE m_D3 :1; 7845 IO_BYTE m_D2 :1; 7846 IO_BYTE m_D1 :1; 7847 IO_BYTE m_D0 :1; 7848 } mb ; 7849 } tPFR28STR ; 7851 IO_BYTE mby ; 7853 IO_BYTE m_D7 :1; 7854 IO_BYTE m_D6 :1; 7855 IO_BYTE m_D5 :1; 7856 IO_BYTE m_D4 :1; 7857 IO_BYTE m_D3 :1; 7858 IO_BYTE m_D2 :1; 7859 IO_BYTE m_D1 :1; 7860 IO_BYTE m_D0 :1; 7861 } mb ; 7862 } tPFR29STR ; 7864 IO_BYTE mby ; 7866 IO_BYTE m_D7 :1; 7867 IO_BYTE m_D6 :1; 7868 IO_BYTE m_D5 :1; 7869 IO_BYTE m_D4 :1; 7870 IO_BYTE m_D3 :1; 7871 IO_BYTE m_D2 :1; 7872 IO_BYTE m_D1 :1; 7873 IO_BYTE m_D0 :1; 7874 } mb ; 7875 } tEPFR14STR ; 7877 IO_BYTE mby ; 7879 IO_BYTE m_D7 :1; 7880 IO_BYTE m_D6 :1; 7881 IO_BYTE m_D5 :1; 7882 IO_BYTE m_D4 :1; 7883 IO_BYTE m_D3 :1; 7884 IO_BYTE m_D2 :1; 7885 IO_BYTE m_D1 :1; 7886 IO_BYTE m_D0 :1; 7887 } mb ; 7888 } tEPFR15STR ; 7890 IO_BYTE mby ; 7892 IO_BYTE m_D7 :1; 7893 mIO_BYTE :1; 7894 mIO_BYTE :1; 7895 mIO_BYTE :1; 7896 mIO_BYTE :1; 7897 mIO_BYTE :1; 7898 mIO_BYTE :1; 7899 mIO_BYTE :1; 7900 } mb ; 7901 } tEPFR16STR ; 7903 IO_BYTE mby ; 7905 mIO_BYTE :1; 7906 IO_BYTE m_D6 :1; 7907 mIO_BYTE :1; 7908 mIO_BYTE :1; 7909 mIO_BYTE :1; 7910 IO_BYTE m_D2 :1; 7911 mIO_BYTE :1; 7912 mIO_BYTE :1; 7913 } mb ; 7914 } tEPFR18STR ; 7916 IO_BYTE mby ; 7918 mIO_BYTE :1; 7919 IO_BYTE m_D6 :1; 7920 mIO_BYTE :1; 7921 mIO_BYTE :1; 7922 mIO_BYTE :1; 7923 IO_BYTE m_D2 :1; 7924 mIO_BYTE :1; 7925 mIO_BYTE :1; 7926 } mb ; 7927 } tEPFR19STR ; 7929 IO_BYTE mby ; 7931 mIO_BYTE :1; 7932 IO_BYTE m_D6 :1; 7933 mIO_BYTE :1; 7934 mIO_BYTE :1; 7935 mIO_BYTE :1; 7936 IO_BYTE m_D2 :1; 7937 mIO_BYTE :1; 7938 mIO_BYTE :1; 7939 } mb ; 7940 } tEPFR20STR ; 7942 IO_BYTE mby ; 7944 mIO_BYTE :1; 7945 IO_BYTE m_D6 :1; 7946 mIO_BYTE :1; 7947 mIO_BYTE :1; 7948 mIO_BYTE :1; 7949 IO_BYTE m_D2 :1; 7950 mIO_BYTE :1; 7951 mIO_BYTE :1; 7952 } mb ; 7953 } tEPFR21STR ; 7955 IO_BYTE mby ; 7957 mIO_BYTE :1; 7958 mIO_BYTE :1; 7959 mIO_BYTE :1; 7960 mIO_BYTE :1; 7961 mIO_BYTE :1; 7962 mIO_BYTE :1; 7963 IO_BYTE m_D1 :1; 7964 IO_BYTE m_D0 :1; 7965 } mb ; 7966 } tEPFR26STR ; 7968 IO_BYTE mby ; 7970 IO_BYTE m_D7 :1; 7971 IO_BYTE m_D6 :1; 7972 IO_BYTE m_D5 :1; 7973 IO_BYTE m_D4 :1; 7974 IO_BYTE m_D3 :1; 7975 IO_BYTE m_D2 :1; 7976 IO_BYTE m_D1 :1; 7977 IO_BYTE m_D0 :1; 7978 } mb ; 7979 } tEPFR27STR ; 7981 IO_BYTE mby ; 7983 IO_BYTE m_D7 :1; 7984 IO_BYTE m_D6 :1; 7985 IO_BYTE m_D5 :1; 7986 IO_BYTE m_D4 :1; 7987 IO_BYTE m_D3 :1; 7988 IO_BYTE m_D2 :1; 7989 IO_BYTE m_D1 :1; 7990 IO_BYTE m_D0 :1; 7991 } mb ; 7992 } tPODR14STR ; 7994 IO_BYTE mby ; 7996 IO_BYTE m_D7 :1; 7997 IO_BYTE m_D6 :1; 7998 IO_BYTE m_D5 :1; 7999 IO_BYTE m_D4 :1; 8000 IO_BYTE m_D3 :1; 8001 IO_BYTE m_D2 :1; 8002 IO_BYTE m_D1 :1; 8003 IO_BYTE m_D0 :1; 8004 } mb ; 8005 } tPODR15STR ; 8007 IO_BYTE mby ; 8009 IO_BYTE m_D7 :1; 8010 IO_BYTE m_D6 :1; 8011 IO_BYTE m_D5 :1; 8012 IO_BYTE m_D4 :1; 8013 IO_BYTE m_D3 :1; 8014 IO_BYTE m_D2 :1; 8015 IO_BYTE m_D1 :1; 8016 IO_BYTE m_D0 :1; 8017 } mb ; 8018 } tPODR16STR ; 8020 IO_BYTE mby ; 8022 IO_BYTE m_D7 :1; 8023 IO_BYTE m_D6 :1; 8024 IO_BYTE m_D5 :1; 8025 IO_BYTE m_D4 :1; 8026 IO_BYTE m_D3 :1; 8027 IO_BYTE m_D2 :1; 8028 IO_BYTE m_D1 :1; 8029 IO_BYTE m_D0 :1; 8030 } mb ; 8031 } tPODR17STR ; 8033 IO_BYTE mby ; 8035 mIO_BYTE :1; 8036 IO_BYTE m_D6 :1; 8037 mIO_BYTE :1; 8038 mIO_BYTE :1; 8039 mIO_BYTE :1; 8040 IO_BYTE m_D2 :1; 8041 mIO_BYTE :1; 8042 mIO_BYTE :1; 8043 } mb ; 8044 } tPODR18STR ; 8046 IO_BYTE mby ; 8048 mIO_BYTE :1; 8049 IO_BYTE m_D6 :1; 8050 mIO_BYTE :1; 8051 mIO_BYTE :1; 8052 mIO_BYTE :1; 8053 IO_BYTE m_D2 :1; 8054 IO_BYTE m_D1 :1; 8055 IO_BYTE m_D0 :1; 8056 } mb ; 8057 } tPODR19STR ; 8059 IO_BYTE mby ; 8061 IO_BYTE m_D7 :1; 8062 IO_BYTE m_D6 :1; 8063 IO_BYTE m_D5 :1; 8064 IO_BYTE m_D4 :1; 8065 IO_BYTE m_D3 :1; 8066 IO_BYTE m_D2 :1; 8067 IO_BYTE m_D1 :1; 8068 IO_BYTE m_D0 :1; 8069 } mb ; 8070 } tPODR20STR ; 8072 IO_BYTE mby ; 8074 IO_BYTE m_D7 :1; 8075 IO_BYTE m_D6 :1; 8076 IO_BYTE m_D5 :1; 8077 IO_BYTE m_D4 :1; 8078 IO_BYTE m_D3 :1; 8079 IO_BYTE m_D2 :1; 8080 IO_BYTE m_D1 :1; 8081 IO_BYTE m_D0 :1; 8082 } mb ; 8083 } tPODR21STR ; 8085 IO_BYTE mby ; 8087 mIO_BYTE :1; 8088 mIO_BYTE :1; 8089 IO_BYTE m_D5 :1; 8090 IO_BYTE m_D4 :1; 8091 mIO_BYTE :1; 8092 mIO_BYTE :1; 8093 IO_BYTE m_D1 :1; 8094 IO_BYTE m_D0 :1; 8095 } mb ; 8096 } tPODR22STR ; 8098 IO_BYTE mby ; 8100 IO_BYTE m_D7 :1; 8101 IO_BYTE m_D6 :1; 8102 IO_BYTE m_D5 :1; 8103 IO_BYTE m_D4 :1; 8104 IO_BYTE m_D3 :1; 8105 IO_BYTE m_D2 :1; 8106 IO_BYTE m_D1 :1; 8107 IO_BYTE m_D0 :1; 8108 } mb ; 8109 } tPODR24STR ; 8111 IO_BYTE mby ; 8113 mIO_BYTE :1; 8114 mIO_BYTE :1; 8115 mIO_BYTE :1; 8116 mIO_BYTE :1; 8117 mIO_BYTE :1; 8118 mIO_BYTE :1; 8119 IO_BYTE m_D1 :1; 8120 IO_BYTE m_D0 :1; 8121 } mb ; 8122 } tPODR26STR ; 8124 IO_BYTE mby ; 8126 IO_BYTE m_D7 :1; 8127 IO_BYTE m_D6 :1; 8128 IO_BYTE m_D5 :1; 8129 IO_BYTE m_D4 :1; 8130 IO_BYTE m_D3 :1; 8131 IO_BYTE m_D2 :1; 8132 IO_BYTE m_D1 :1; 8133 IO_BYTE m_D0 :1; 8134 } mb ; 8135 } tPODR27STR ; 8137 IO_BYTE mby ; 8139 IO_BYTE m_D7 :1; 8140 IO_BYTE m_D6 :1; 8141 IO_BYTE m_D5 :1; 8142 IO_BYTE m_D4 :1; 8143 IO_BYTE m_D3 :1; 8144 IO_BYTE m_D2 :1; 8145 IO_BYTE m_D1 :1; 8146 IO_BYTE m_D0 :1; 8147 } mb ; 8148 } tPODR28STR ; 8150 IO_BYTE mby ; 8152 IO_BYTE m_D7 :1; 8153 IO_BYTE m_D6 :1; 8154 IO_BYTE m_D5 :1; 8155 IO_BYTE m_D4 :1; 8156 IO_BYTE m_D3 :1; 8157 IO_BYTE m_D2 :1; 8158 IO_BYTE m_D1 :1; 8159 IO_BYTE m_D0 :1; 8160 } mb ; 8161 } tPODR29STR ; 8163 IO_BYTE mby ; 8165 IO_BYTE m_D7 :1; 8166 IO_BYTE m_D6 :1; 8167 IO_BYTE m_D5 :1; 8168 IO_BYTE m_D4 :1; 8169 IO_BYTE m_D3 :1; 8170 IO_BYTE m_D2 :1; 8171 IO_BYTE m_D1 :1; 8172 IO_BYTE m_D0 :1; 8173 } mb ; 8174 } tPILR14STR ; 8176 IO_BYTE mby ; 8178 IO_BYTE m_D7 :1; 8179 IO_BYTE m_D6 :1; 8180 IO_BYTE m_D5 :1; 8181 IO_BYTE m_D4 :1; 8182 IO_BYTE m_D3 :1; 8183 IO_BYTE m_D2 :1; 8184 IO_BYTE m_D1 :1; 8185 IO_BYTE m_D0 :1; 8186 } mb ; 8187 } tPILR15STR ; 8189 IO_BYTE mby ; 8191 IO_BYTE m_D7 :1; 8192 IO_BYTE m_D6 :1; 8193 IO_BYTE m_D5 :1; 8194 IO_BYTE m_D4 :1; 8195 IO_BYTE m_D3 :1; 8196 IO_BYTE m_D2 :1; 8197 IO_BYTE m_D1 :1; 8198 IO_BYTE m_D0 :1; 8199 } mb ; 8200 } tPILR16STR ; 8202 IO_BYTE mby ; 8204 IO_BYTE m_D7 :1; 8205 IO_BYTE m_D6 :1; 8206 IO_BYTE m_D5 :1; 8207 IO_BYTE m_D4 :1; 8208 IO_BYTE m_D3 :1; 8209 IO_BYTE m_D2 :1; 8210 IO_BYTE m_D1 :1; 8211 IO_BYTE m_D0 :1; 8212 } mb ; 8213 } tPILR17STR ; 8215 IO_BYTE mby ; 8217 mIO_BYTE :1; 8218 IO_BYTE m_D6 :1; 8219 mIO_BYTE :1; 8220 mIO_BYTE :1; 8221 mIO_BYTE :1; 8222 IO_BYTE m_D2 :1; 8223 mIO_BYTE :1; 8224 mIO_BYTE :1; 8225 } mb ; 8226 } tPILR18STR ; 8228 IO_BYTE mby ; 8230 mIO_BYTE :1; 8231 IO_BYTE m_D6 :1; 8232 mIO_BYTE :1; 8233 mIO_BYTE :1; 8234 mIO_BYTE :1; 8235 IO_BYTE m_D2 :1; 8236 IO_BYTE m_D1 :1; 8237 IO_BYTE m_D0 :1; 8238 } mb ; 8239 } tPILR19STR ; 8241 IO_BYTE mby ; 8243 IO_BYTE m_D7 :1; 8244 IO_BYTE m_D6 :1; 8245 IO_BYTE m_D5 :1; 8246 IO_BYTE m_D4 :1; 8247 IO_BYTE m_D3 :1; 8248 IO_BYTE m_D2 :1; 8249 IO_BYTE m_D1 :1; 8250 IO_BYTE m_D0 :1; 8251 } mb ; 8252 } tPILR20STR ; 8254 IO_BYTE mby ; 8256 IO_BYTE m_D7 :1; 8257 IO_BYTE m_D6 :1; 8258 IO_BYTE m_D5 :1; 8259 IO_BYTE m_D4 :1; 8260 IO_BYTE m_D3 :1; 8261 IO_BYTE m_D2 :1; 8262 IO_BYTE m_D1 :1; 8263 IO_BYTE m_D0 :1; 8264 } mb ; 8265 } tPILR21STR ; 8267 IO_BYTE mby ; 8269 mIO_BYTE :1; 8270 mIO_BYTE :1; 8271 IO_BYTE m_D5 :1; 8272 IO_BYTE m_D4 :1; 8273 mIO_BYTE :1; 8274 mIO_BYTE :1; 8275 IO_BYTE m_D1 :1; 8276 IO_BYTE m_D0 :1; 8277 } mb ; 8278 } tPILR22STR ; 8280 IO_BYTE mby ; 8282 IO_BYTE m_D7 :1; 8283 IO_BYTE m_D6 :1; 8284 IO_BYTE m_D5 :1; 8285 IO_BYTE m_D4 :1; 8286 IO_BYTE m_D3 :1; 8287 IO_BYTE m_D2 :1; 8288 IO_BYTE m_D1 :1; 8289 IO_BYTE m_D0 :1; 8290 } mb ; 8291 } tPILR24STR ; 8293 IO_BYTE mby ; 8295 mIO_BYTE :1; 8296 mIO_BYTE :1; 8297 mIO_BYTE :1; 8298 mIO_BYTE :1; 8299 mIO_BYTE :1; 8300 mIO_BYTE :1; 8301 IO_BYTE m_D1 :1; 8302 IO_BYTE m_D0 :1; 8303 } mb ; 8304 } tPILR26STR ; 8306 IO_BYTE mby ; 8308 IO_BYTE m_D7 :1; 8309 IO_BYTE m_D6 :1; 8310 IO_BYTE m_D5 :1; 8311 IO_BYTE m_D4 :1; 8312 IO_BYTE m_D3 :1; 8313 IO_BYTE m_D2 :1; 8314 IO_BYTE m_D1 :1; 8315 IO_BYTE m_D0 :1; 8316 } mb ; 8317 } tPILR27STR ; 8319 IO_BYTE mby ; 8321 IO_BYTE m_D7 :1; 8322 IO_BYTE m_D6 :1; 8323 IO_BYTE m_D5 :1; 8324 IO_BYTE m_D4 :1; 8325 IO_BYTE m_D3 :1; 8326 IO_BYTE m_D2 :1; 8327 IO_BYTE m_D1 :1; 8328 IO_BYTE m_D0 :1; 8329 } mb ; 8330 } tPILR28STR ; 8332 IO_BYTE mby ; 8334 IO_BYTE m_D7 :1; 8335 IO_BYTE m_D6 :1; 8336 IO_BYTE m_D5 :1; 8337 IO_BYTE m_D4 :1; 8338 IO_BYTE m_D3 :1; 8339 IO_BYTE m_D2 :1; 8340 IO_BYTE m_D1 :1; 8341 IO_BYTE m_D0 :1; 8342 } mb ; 8343 } tPILR29STR ; 8345 IO_BYTE mby ; 8347 IO_BYTE m_D7 :1; 8348 IO_BYTE m_D6 :1; 8349 IO_BYTE m_D5 :1; 8350 IO_BYTE m_D4 :1; 8351 IO_BYTE m_D3 :1; 8352 IO_BYTE m_D2 :1; 8353 IO_BYTE m_D1 :1; 8354 IO_BYTE m_D0 :1; 8355 } mb ; 8356 } tEPILR14STR ; 8358 IO_BYTE mby ; 8360 IO_BYTE m_D7 :1; 8361 IO_BYTE m_D6 :1; 8362 IO_BYTE m_D5 :1; 8363 IO_BYTE m_D4 :1; 8364 IO_BYTE m_D3 :1; 8365 IO_BYTE m_D2 :1; 8366 IO_BYTE m_D1 :1; 8367 IO_BYTE m_D0 :1; 8368 } mb ; 8369 } tEPILR15STR ; 8371 IO_BYTE mby ; 8373 IO_BYTE m_D7 :1; 8374 IO_BYTE m_D6 :1; 8375 IO_BYTE m_D5 :1; 8376 IO_BYTE m_D4 :1; 8377 IO_BYTE m_D3 :1; 8378 IO_BYTE m_D2 :1; 8379 IO_BYTE m_D1 :1; 8380 IO_BYTE m_D0 :1; 8381 } mb ; 8382 } tEPILR16STR ; 8384 IO_BYTE mby ; 8386 IO_BYTE m_D7 :1; 8387 IO_BYTE m_D6 :1; 8388 IO_BYTE m_D5 :1; 8389 IO_BYTE m_D4 :1; 8390 IO_BYTE m_D3 :1; 8391 IO_BYTE m_D2 :1; 8392 IO_BYTE m_D1 :1; 8393 IO_BYTE m_D0 :1; 8394 } mb ; 8395 } tEPILR17STR ; 8397 IO_BYTE mby ; 8399 mIO_BYTE :1; 8400 IO_BYTE m_D6 :1; 8401 mIO_BYTE :1; 8402 mIO_BYTE :1; 8403 mIO_BYTE :1; 8404 IO_BYTE m_D2 :1; 8405 mIO_BYTE :1; 8406 mIO_BYTE :1; 8407 } mb ; 8408 } tEPILR18STR ; 8410 IO_BYTE mby ; 8412 mIO_BYTE :1; 8413 IO_BYTE m_D6 :1; 8414 mIO_BYTE :1; 8415 mIO_BYTE :1; 8416 mIO_BYTE :1; 8417 IO_BYTE m_D2 :1; 8418 IO_BYTE m_D1 :1; 8419 IO_BYTE m_D0 :1; 8420 } mb ; 8421 } tEPILR19STR ; 8423 IO_BYTE mby ; 8425 IO_BYTE m_D7 :1; 8426 IO_BYTE m_D6 :1; 8427 IO_BYTE m_D5 :1; 8428 IO_BYTE m_D4 :1; 8429 IO_BYTE m_D3 :1; 8430 IO_BYTE m_D2 :1; 8431 IO_BYTE m_D1 :1; 8432 IO_BYTE m_D0 :1; 8433 } mb ; 8434 } tEPILR20STR ; 8436 IO_BYTE mby ; 8438 IO_BYTE m_D7 :1; 8439 IO_BYTE m_D6 :1; 8440 IO_BYTE m_D5 :1; 8441 IO_BYTE m_D4 :1; 8442 IO_BYTE m_D3 :1; 8443 IO_BYTE m_D2 :1; 8444 IO_BYTE m_D1 :1; 8445 IO_BYTE m_D0 :1; 8446 } mb ; 8447 } tEPILR21STR ; 8449 IO_BYTE mby ; 8451 mIO_BYTE :1; 8452 mIO_BYTE :1; 8453 IO_BYTE m_D5 :1; 8454 IO_BYTE m_D4 :1; 8455 mIO_BYTE :1; 8456 mIO_BYTE :1; 8457 IO_BYTE m_D1 :1; 8458 IO_BYTE m_D0 :1; 8459 } mb ; 8460 } tEPILR22STR ; 8462 IO_BYTE mby ; 8464 IO_BYTE m_D7 :1; 8465 IO_BYTE m_D6 :1; 8466 IO_BYTE m_D5 :1; 8467 IO_BYTE m_D4 :1; 8468 IO_BYTE m_D3 :1; 8469 IO_BYTE m_D2 :1; 8470 IO_BYTE m_D1 :1; 8471 IO_BYTE m_D0 :1; 8472 } mb ; 8473 } tEPILR24STR ; 8475 IO_BYTE mby ; 8477 mIO_BYTE :1; 8478 mIO_BYTE :1; 8479 mIO_BYTE :1; 8480 mIO_BYTE :1; 8481 mIO_BYTE :1; 8482 mIO_BYTE :1; 8483 IO_BYTE m_D1 :1; 8484 IO_BYTE m_D0 :1; 8485 } mb ; 8486 } tEPILR26STR ; 8488 IO_BYTE mby ; 8490 IO_BYTE m_D7 :1; 8491 IO_BYTE m_D6 :1; 8492 IO_BYTE m_D5 :1; 8493 IO_BYTE m_D4 :1; 8494 IO_BYTE m_D3 :1; 8495 IO_BYTE m_D2 :1; 8496 IO_BYTE m_D1 :1; 8497 IO_BYTE m_D0 :1; 8498 } mb ; 8499 } tEPILR27STR ; 8501 IO_BYTE mby ; 8503 IO_BYTE m_D7 :1; 8504 IO_BYTE m_D6 :1; 8505 IO_BYTE m_D5 :1; 8506 IO_BYTE m_D4 :1; 8507 IO_BYTE m_D3 :1; 8508 IO_BYTE m_D2 :1; 8509 IO_BYTE m_D1 :1; 8510 IO_BYTE m_D0 :1; 8511 } mb ; 8512 } tEPILR28STR ; 8514 IO_BYTE mby ; 8516 IO_BYTE m_D7 :1; 8517 IO_BYTE m_D6 :1; 8518 IO_BYTE m_D5 :1; 8519 IO_BYTE m_D4 :1; 8520 IO_BYTE m_D3 :1; 8521 IO_BYTE m_D2 :1; 8522 IO_BYTE m_D1 :1; 8523 IO_BYTE m_D0 :1; 8524 } mb ; 8525 } tEPILR29STR ; 8527 IO_BYTE mby ; 8529 IO_BYTE m_D7 :1; 8530 IO_BYTE m_D6 :1; 8531 IO_BYTE m_D5 :1; 8532 IO_BYTE m_D4 :1; 8533 IO_BYTE m_D3 :1; 8534 IO_BYTE m_D2 :1; 8535 IO_BYTE m_D1 :1; 8536 IO_BYTE m_D0 :1; 8537 } mb ; 8538 } tPPER14STR ; 8540 IO_BYTE mby ; 8542 IO_BYTE m_D7 :1; 8543 IO_BYTE m_D6 :1; 8544 IO_BYTE m_D5 :1; 8545 IO_BYTE m_D4 :1; 8546 IO_BYTE m_D3 :1; 8547 IO_BYTE m_D2 :1; 8548 IO_BYTE m_D1 :1; 8549 IO_BYTE m_D0 :1; 8550 } mb ; 8551 } tPPER15STR ; 8553 IO_BYTE mby ; 8555 IO_BYTE m_D7 :1; 8556 IO_BYTE m_D6 :1; 8557 IO_BYTE m_D5 :1; 8558 IO_BYTE m_D4 :1; 8559 IO_BYTE m_D3 :1; 8560 IO_BYTE m_D2 :1; 8561 IO_BYTE m_D1 :1; 8562 IO_BYTE m_D0 :1; 8563 } mb ; 8564 } tPPER16STR ; 8566 IO_BYTE mby ; 8568 IO_BYTE m_D7 :1; 8569 IO_BYTE m_D6 :1; 8570 IO_BYTE m_D5 :1; 8571 IO_BYTE m_D4 :1; 8572 IO_BYTE m_D3 :1; 8573 IO_BYTE m_D2 :1; 8574 IO_BYTE m_D1 :1; 8575 IO_BYTE m_D0 :1; 8576 } mb ; 8577 } tPPER17STR ; 8579 IO_BYTE mby ; 8581 mIO_BYTE :1; 8582 IO_BYTE m_D6 :1; 8583 mIO_BYTE :1; 8584 mIO_BYTE :1; 8585 mIO_BYTE :1; 8586 IO_BYTE m_D2 :1; 8587 mIO_BYTE :1; 8588 mIO_BYTE :1; 8589 } mb ; 8590 } tPPER18STR ; 8592 IO_BYTE mby ; 8594 mIO_BYTE :1; 8595 IO_BYTE m_D6 :1; 8596 mIO_BYTE :1; 8597 mIO_BYTE :1; 8598 mIO_BYTE :1; 8599 IO_BYTE m_D2 :1; 8600 IO_BYTE m_D1 :1; 8601 IO_BYTE m_D0 :1; 8602 } mb ; 8603 } tPPER19STR ; 8605 IO_BYTE mby ; 8607 IO_BYTE m_D7 :1; 8608 IO_BYTE m_D6 :1; 8609 IO_BYTE m_D5 :1; 8610 IO_BYTE m_D4 :1; 8611 IO_BYTE m_D3 :1; 8612 IO_BYTE m_D2 :1; 8613 IO_BYTE m_D1 :1; 8614 IO_BYTE m_D0 :1; 8615 } mb ; 8616 } tPPER20STR ; 8618 IO_BYTE mby ; 8620 IO_BYTE m_D7 :1; 8621 IO_BYTE m_D6 :1; 8622 IO_BYTE m_D5 :1; 8623 IO_BYTE m_D4 :1; 8624 IO_BYTE m_D3 :1; 8625 IO_BYTE m_D2 :1; 8626 IO_BYTE m_D1 :1; 8627 IO_BYTE m_D0 :1; 8628 } mb ; 8629 } tPPER21STR ; 8631 IO_BYTE mby ; 8633 mIO_BYTE :1; 8634 mIO_BYTE :1; 8635 IO_BYTE m_D5 :1; 8636 IO_BYTE m_D4 :1; 8637 mIO_BYTE :1; 8638 mIO_BYTE :1; 8639 IO_BYTE m_D1 :1; 8640 IO_BYTE m_D0 :1; 8641 } mb ; 8642 } tPPER22STR ; 8644 IO_BYTE mby ; 8646 IO_BYTE m_D7 :1; 8647 IO_BYTE m_D6 :1; 8648 IO_BYTE m_D5 :1; 8649 IO_BYTE m_D4 :1; 8650 IO_BYTE m_D3 :1; 8651 IO_BYTE m_D2 :1; 8652 IO_BYTE m_D1 :1; 8653 IO_BYTE m_D0 :1; 8654 } mb ; 8655 } tPPER24STR ; 8657 IO_BYTE mby ; 8659 mIO_BYTE :1; 8660 mIO_BYTE :1; 8661 mIO_BYTE :1; 8662 mIO_BYTE :1; 8663 mIO_BYTE :1; 8664 mIO_BYTE :1; 8665 IO_BYTE m_D1 :1; 8666 IO_BYTE m_D0 :1; 8667 } mb ; 8668 } tPPER26STR ; 8670 IO_BYTE mby ; 8672 IO_BYTE m_D7 :1; 8673 IO_BYTE m_D6 :1; 8674 IO_BYTE m_D5 :1; 8675 IO_BYTE m_D4 :1; 8676 IO_BYTE m_D3 :1; 8677 IO_BYTE m_D2 :1; 8678 IO_BYTE m_D1 :1; 8679 IO_BYTE m_D0 :1; 8680 } mb ; 8681 } tPPER27STR ; 8683 IO_BYTE mby ; 8685 IO_BYTE m_D7 :1; 8686 IO_BYTE m_D6 :1; 8687 IO_BYTE m_D5 :1; 8688 IO_BYTE m_D4 :1; 8689 IO_BYTE m_D3 :1; 8690 IO_BYTE m_D2 :1; 8691 IO_BYTE m_D1 :1; 8692 IO_BYTE m_D0 :1; 8693 } mb ; 8694 } tPPER28STR ; 8696 IO_BYTE mby ; 8698 IO_BYTE m_D7 :1; 8699 IO_BYTE m_D6 :1; 8700 IO_BYTE m_D5 :1; 8701 IO_BYTE m_D4 :1; 8702 IO_BYTE m_D3 :1; 8703 IO_BYTE m_D2 :1; 8704 IO_BYTE m_D1 :1; 8705 IO_BYTE m_D0 :1; 8706 } mb ; 8707 } tPPER29STR ; 8709 IO_BYTE mby ; 8711 IO_BYTE m_D7 :1; 8712 IO_BYTE m_D6 :1; 8713 IO_BYTE m_D5 :1; 8714 IO_BYTE m_D4 :1; 8715 IO_BYTE m_D3 :1; 8716 IO_BYTE m_D2 :1; 8717 IO_BYTE m_D1 :1; 8718 IO_BYTE m_D0 :1; 8719 } mb ; 8720 } tPPCR14STR ; 8722 IO_BYTE mby ; 8724 IO_BYTE m_D7 :1; 8725 IO_BYTE m_D6 :1; 8726 IO_BYTE m_D5 :1; 8727 IO_BYTE m_D4 :1; 8728 IO_BYTE m_D3 :1; 8729 IO_BYTE m_D2 :1; 8730 IO_BYTE m_D1 :1; 8731 IO_BYTE m_D0 :1; 8732 } mb ; 8733 } tPPCR15STR ; 8735 IO_BYTE mby ; 8737 IO_BYTE m_D7 :1; 8738 IO_BYTE m_D6 :1; 8739 IO_BYTE m_D5 :1; 8740 IO_BYTE m_D4 :1; 8741 IO_BYTE m_D3 :1; 8742 IO_BYTE m_D2 :1; 8743 IO_BYTE m_D1 :1; 8744 IO_BYTE m_D0 :1; 8745 } mb ; 8746 } tPPCR16STR ; 8748 IO_BYTE mby ; 8750 IO_BYTE m_D7 :1; 8751 IO_BYTE m_D6 :1; 8752 IO_BYTE m_D5 :1; 8753 IO_BYTE m_D4 :1; 8754 IO_BYTE m_D3 :1; 8755 IO_BYTE m_D2 :1; 8756 IO_BYTE m_D1 :1; 8757 IO_BYTE m_D0 :1; 8758 } mb ; 8759 } tPPCR17STR ; 8761 IO_BYTE mby ; 8763 mIO_BYTE :1; 8764 IO_BYTE m_D6 :1; 8765 mIO_BYTE :1; 8766 mIO_BYTE :1; 8767 mIO_BYTE :1; 8768 IO_BYTE m_D2 :1; 8769 mIO_BYTE :1; 8770 mIO_BYTE :1; 8771 } mb ; 8772 } tPPCR18STR ; 8774 IO_BYTE mby ; 8776 mIO_BYTE :1; 8777 IO_BYTE m_D6 :1; 8778 mIO_BYTE :1; 8779 IO_BYTE m_D2 :1; 8780 IO_BYTE m_D1 :1; 8781 IO_BYTE m_D0 :1; 8782 mIO_BYTE :1; 8783 mIO_BYTE :1; 8784 } mb ; 8785 } tPPCR19STR ; 8787 IO_BYTE mby ; 8789 IO_BYTE m_D7 :1; 8790 IO_BYTE m_D6 :1; 8791 IO_BYTE m_D5 :1; 8792 IO_BYTE m_D4 :1; 8793 IO_BYTE m_D3 :1; 8794 IO_BYTE m_D2 :1; 8795 IO_BYTE m_D1 :1; 8796 IO_BYTE m_D0 :1; 8797 } mb ; 8798 } tPPCR20STR ; 8800 IO_BYTE mby ; 8802 IO_BYTE m_D7 :1; 8803 IO_BYTE m_D6 :1; 8804 IO_BYTE m_D5 :1; 8805 IO_BYTE m_D4 :1; 8806 IO_BYTE m_D3 :1; 8807 IO_BYTE m_D2 :1; 8808 IO_BYTE m_D1 :1; 8809 IO_BYTE m_D0 :1; 8810 } mb ; 8811 } tPPCR21STR ; 8813 IO_BYTE mby ; 8815 mIO_BYTE :1; 8816 mIO_BYTE :1; 8817 IO_BYTE m_D5 :1; 8818 IO_BYTE m_D4 :1; 8819 mIO_BYTE :1; 8820 mIO_BYTE :1; 8821 IO_BYTE m_D1 :1; 8822 IO_BYTE m_D0 :1; 8823 } mb ; 8824 } tPPCR22STR ; 8826 IO_BYTE mby ; 8828 IO_BYTE m_D7 :1; 8829 IO_BYTE m_D6 :1; 8830 IO_BYTE m_D5 :1; 8831 IO_BYTE m_D4 :1; 8832 IO_BYTE m_D3 :1; 8833 IO_BYTE m_D2 :1; 8834 IO_BYTE m_D1 :1; 8835 IO_BYTE m_D0 :1; 8836 } mb ; 8837 } tPPCR24STR ; 8839 IO_BYTE mby ; 8841 mIO_BYTE :1; 8842 mIO_BYTE :1; 8843 mIO_BYTE :1; 8844 mIO_BYTE :1; 8845 mIO_BYTE :1; 8846 mIO_BYTE :1; 8847 IO_BYTE m_D1 :1; 8848 IO_BYTE m_D0 :1; 8849 } mb ; 8850 } tPPCR26STR ; 8852 IO_BYTE mby ; 8854 IO_BYTE m_D7 :1; 8855 IO_BYTE m_D6 :1; 8856 IO_BYTE m_D5 :1; 8857 IO_BYTE m_D4 :1; 8858 IO_BYTE m_D3 :1; 8859 IO_BYTE m_D2 :1; 8860 IO_BYTE m_D1 :1; 8861 IO_BYTE m_D0 :1; 8862 } mb ; 8863 } tPPCR27STR ; 8865 IO_BYTE mby ; 8867 IO_BYTE m_D7 :1; 8868 IO_BYTE m_D6 :1; 8869 IO_BYTE m_D5 :1; 8870 IO_BYTE m_D4 :1; 8871 IO_BYTE m_D3 :1; 8872 IO_BYTE m_D2 :1; 8873 IO_BYTE m_D1 :1; 8874 IO_BYTE m_D0 :1; 8875 } mb ; 8876 } tPPCR28STR ; 8878 IO_BYTE mby ; 8880 IO_BYTE m_D7 :1; 8881 IO_BYTE m_D6 :1; 8882 IO_BYTE m_D5 :1; 8883 IO_BYTE m_D4 :1; 8884 IO_BYTE m_D3 :1; 8885 IO_BYTE m_D2 :1; 8886 IO_BYTE m_D1 :1; 8887 IO_BYTE m_D0 :1; 8888 } mb ; 8889 } tPPCR29STR ; 8891 IO_BYTE mby ; 8893 IO_BYTE m_ASYNC :1; 8894 IO_BYTE m_FIXE :1; 8895 IO_BYTE m_BIRE :1; 8896 IO_BYTE m_RDYEG :1; 8897 IO_BYTE m_RDY :1; 8898 IO_BYTE m_RDYI :1; 8899 IO_BYTE m_RW16 :1; 8900 IO_BYTE m_LPM :1; 8901 } mb ; 8902 } tFMCSSTR ; 8904 IO_BYTE mby ; 8906 mIO_BYTE :1; 8907 mIO_BYTE :1; 8908 mIO_BYTE :1; 8909 mIO_BYTE :1; 8910 IO_BYTE m_LOCK :1; 8911 IO_BYTE m_PHASE :1; 8912 IO_BYTE m_PF2I :1; 8913 IO_BYTE m_RD64 :1; 8914 } mb ; 8915 } tFMCRSTR ; 8917 IO_WORD mwd ; 8919 mIO_WORD :1; 8920 mIO_WORD :1; 8921 mIO_WORD :1; 8922 mIO_WORD :1; 8923 mIO_WORD :1; 8924 mIO_WORD :1; 8925 IO_WORD m_REN :1; 8926 IO_WORD m_TAGE :1; 8927 IO_WORD m_FLUSH :1; 8928 IO_WORD m_DBEN :1; 8929 IO_WORD m_PFEN :1; 8930 IO_WORD m_PFMC :1; 8931 IO_WORD m_LOCK :1; 8932 IO_WORD m_ENAB :1; 8933 IO_WORD m_SIZE1 :1; 8934 IO_WORD m_SIZE0 :1; 8935 } mb ; 8937 mIO_WORD :1; 8938 mIO_WORD :1; 8939 mIO_WORD :1; 8940 mIO_WORD :1; 8941 mIO_WORD :1; 8942 mIO_WORD :1; 8943 mIO_WORD :1; 8944 mIO_WORD :1; 8945 mIO_WORD :1; 8946 mIO_WORD :1; 8947 mIO_WORD :1; 8948 mIO_WORD :1; 8949 mIO_WORD :1; 8950 mIO_WORD :1; 8951 IO_WORD m_SIZE :2; 8952 } mbc ; 8953 } tFCHCRSTR ; 8955 IO_WORD mwd ; 8957 IO_WORD m_WTP1 :1; 8958 IO_WORD m_WTP0 :1; 8959 IO_WORD m_WEXH1 :1; 8960 IO_WORD m_WEXH0 :1; 8961 IO_WORD m_WTC3 :1; 8962 IO_WORD m_WTC2 :1; 8963 IO_WORD m_WTC1 :1; 8964 IO_WORD m_WTC0 :1; 8965 IO_WORD m_FRAM :1; 8966 IO_WORD m_ATD2 :1; 8967 IO_WORD m_ATD1 :1; 8968 IO_WORD m_ATD0 :1; 8969 IO_WORD m_EQ3 :1; 8970 IO_WORD m_EQ2 :1; 8971 IO_WORD m_EQ1 :1; 8972 IO_WORD m_EQ0 :1; 8973 } mb ; 8975 IO_WORD m_WTP :2; 8976 IO_WORD m_WEXH :2; 8977 IO_WORD m_WTC :4; 8978 mIO_WORD :1; 8979 IO_WORD m_ATD :3; 8980 IO_WORD m_EQ :4; 8981 } mbc ; 8982 } tFMWTSTR ; 8984 IO_BYTE mby ; 8986 mIO_BYTE :1; 8987 IO_BYTE m_ALEH2 :1; 8988 IO_BYTE m_ALEH1 :1; 8989 IO_BYTE m_ALEH0 :1; 8990 mIO_BYTE :1; 8991 mIO_BYTE :1; 8992 mIO_BYTE :1; 8993 mIO_BYTE :1; 8994 } mb ; 8996 mIO_BYTE :1; 8997 IO_BYTE m_ALEH :3; 8998 } mbc ; 8999 } tFMWT2STR ; 9001 IO_BYTE mby ; 9003 mIO_BYTE :1; 9004 mIO_BYTE :1; 9005 mIO_BYTE :1; 9006 mIO_BYTE :1; 9007 mIO_BYTE :1; 9008 IO_BYTE m_PS2 :1; 9009 IO_BYTE m_PS1 :1; 9010 IO_BYTE m_PS0 :1; 9011 } mb ; 9013 mIO_BYTE :1; 9014 mIO_BYTE :1; 9015 mIO_BYTE :1; 9016 mIO_BYTE :1; 9017 mIO_BYTE :1; 9018 IO_BYTE m_PS :3; 9019 } mbc ; 9020 } tFMPSSTR ; 9022 IO_LWORD mlwd ; 9024 IO_LWORD m_CRC31 :1; 9025 IO_LWORD m_CRC30 :1; 9026 IO_LWORD m_CRC29 :1; 9027 IO_LWORD m_CRC28 :1; 9028 IO_LWORD m_CRC27 :1; 9029 IO_LWORD m_CRC26 :1; 9030 IO_LWORD m_CRC25 :1; 9031 IO_LWORD m_CRC24 :1; 9032 IO_LWORD m_CRC23 :1; 9033 IO_LWORD m_CRC22 :1; 9034 IO_LWORD m_CRC21 :1; 9035 IO_LWORD m_CRC20 :1; 9036 IO_LWORD m_CRC19 :1; 9037 IO_LWORD m_CRC18 :1; 9038 IO_LWORD m_CRC17 :1; 9039 IO_LWORD m_CRC16 :1; 9040 IO_LWORD m_CRC15 :1; 9041 IO_LWORD m_CRC14 :1; 9042 IO_LWORD m_CRC13 :1; 9043 IO_LWORD m_CRC12 :1; 9044 IO_LWORD m_CRC11 :1; 9045 IO_LWORD m_CRC10 :1; 9046 IO_LWORD m_CRC9 :1; 9047 IO_LWORD m_CRC8 :1; 9048 IO_LWORD m_CRC7 :1; 9049 IO_LWORD m_CRC6 :1; 9050 IO_LWORD m_CRC5 :1; 9051 IO_LWORD m_CRC4 :1; 9052 IO_LWORD m_CRC3 :1; 9053 IO_LWORD m_CRC2 :1; 9054 IO_LWORD m_CRC1 :1; 9055 IO_LWORD m_CRC0 :1; 9056 } mb ; 9057 } tFSCR0STR ; 9059 IO_LWORD mlwd ; 9061 mIO_LWORD :1; 9062 mIO_LWORD :1; 9063 mIO_LWORD :1; 9064 mIO_LWORD :1; 9065 mIO_LWORD :1; 9066 mIO_LWORD :1; 9067 mIO_LWORD :1; 9068 IO_LWORD m_RDY :1; 9069 mIO_LWORD :1; 9070 mIO_LWORD :1; 9071 mIO_LWORD :1; 9072 mIO_LWORD :1; 9073 IO_LWORD m_CSZ3 :1; 9074 IO_LWORD m_CSZ2 :1; 9075 IO_LWORD m_CSZ1 :1; 9076 IO_LWORD m_CSZ0 :1; 9077 IO_LWORD m_CSA15 :1; 9078 IO_LWORD m_CSA14 :1; 9079 IO_LWORD m_CSA13 :1; 9080 IO_LWORD m_CSA12 :1; 9081 IO_LWORD m_CSA11 :1; 9082 IO_LWORD m_CSA10 :1; 9083 IO_LWORD m_CSA9 :1; 9084 IO_LWORD m_CSA8 :1; 9085 IO_LWORD m_CSA7 :1; 9086 IO_LWORD m_CSA6 :1; 9087 IO_LWORD m_CSA5 :1; 9088 IO_LWORD m_CSA4 :1; 9089 IO_LWORD m_CSA3 :1; 9090 IO_LWORD m_CSA2 :1; 9091 IO_LWORD m_CSA1 :1; 9092 IO_LWORD m_CSA0 :1; 9093 } mb ; 9095 mIO_LWORD :1; 9096 mIO_LWORD :1; 9097 mIO_LWORD :1; 9098 mIO_LWORD :1; 9099 mIO_LWORD :1; 9100 mIO_LWORD :1; 9101 mIO_LWORD :1; 9102 mIO_LWORD :1; 9103 mIO_LWORD :1; 9104 mIO_LWORD :1; 9105 mIO_LWORD :1; 9106 mIO_LWORD :1; 9107 IO_LWORD m_CSZ :4; 9108 } mbc ; 9109 } tFSCR1STR ; 9111 IO_WORD mwd ; 9113 mIO_WORD :1; 9114 mIO_WORD :1; 9115 mIO_WORD :1; 9116 mIO_WORD :1; 9117 mIO_WORD :1; 9118 mIO_WORD :1; 9119 mIO_WORD :1; 9120 mIO_WORD :1; 9121 IO_WORD m_Te :1; 9122 IO_WORD m_CCE :1; 9123 IO_WORD m_DAR :1; 9124 mIO_WORD :1; 9125 IO_WORD m_EIE :1; 9126 IO_WORD m_SIE :1; 9127 IO_WORD m_IE :1; 9128 IO_WORD m_In :1; 9129 } mb ; 9130 } tCTRLR4STR ; 9132 IO_WORD mwd ; 9134 mIO_WORD :1; 9135 mIO_WORD :1; 9136 mIO_WORD :1; 9137 mIO_WORD :1; 9138 mIO_WORD :1; 9139 mIO_WORD :1; 9140 mIO_WORD :1; 9141 mIO_WORD :1; 9142 IO_WORD m_BOff :1; 9143 IO_WORD m_EWn :1; 9144 IO_WORD m_EPass :1; 9145 IO_WORD m_RxOK :1; 9146 IO_WORD m_TxOK :1; 9147 IO_WORD m_LEC2 :1; 9148 IO_WORD m_LEC1 :1; 9149 IO_WORD m_LEC0 :1; 9150 } mb ; 9152 mIO_WORD :1; 9153 mIO_WORD :1; 9154 mIO_WORD :1; 9155 mIO_WORD :1; 9156 mIO_WORD :1; 9157 mIO_WORD :1; 9158 mIO_WORD :1; 9159 mIO_WORD :1; 9160 mIO_WORD :1; 9161 mIO_WORD :1; 9162 mIO_WORD :1; 9163 mIO_WORD :1; 9164 mIO_WORD :1; 9165 IO_WORD m_LEC :3; 9166 } mbc ; 9167 } tSTATR4STR ; 9169 IO_WORD mwd ; 9171 IO_WORD m_RP :1; 9172 IO_WORD m_REC6 :1; 9173 IO_WORD m_REC5 :1; 9174 IO_WORD m_REC4 :1; 9175 IO_WORD m_REC3 :1; 9176 IO_WORD m_REC2 :1; 9177 IO_WORD m_REC1 :1; 9178 IO_WORD m_REC0 :1; 9179 IO_WORD m_TEC7 :1; 9180 IO_WORD m_TEC6 :1; 9181 IO_WORD m_TEC5 :1; 9182 IO_WORD m_TEC4 :1; 9183 IO_WORD m_TEC3 :1; 9184 IO_WORD m_TEC2 :1; 9185 IO_WORD m_TEC1 :1; 9186 IO_WORD m_TEC0 :1; 9187 } mb ; 9189 mIO_WORD :1; 9190 IO_WORD m_REC :7; 9191 IO_WORD m_TEC :8; 9192 } mbc ; 9193 } tERRCNT4STR ; 9195 IO_WORD mwd ; 9197 mIO_WORD :1; 9198 IO_WORD m_Tg22 :1; 9199 IO_WORD m_Tg21 :1; 9200 IO_WORD m_Tg20 :1; 9201 IO_WORD m_Tg13 :1; 9202 IO_WORD m_Tg12 :1; 9203 IO_WORD m_Tg11 :1; 9204 IO_WORD m_Tg10 :1; 9205 IO_WORD m_SJW1 :1; 9206 IO_WORD m_SJW0 :1; 9207 IO_WORD m_BRP5 :1; 9208 IO_WORD m_BRP4 :1; 9209 IO_WORD m_BRP3 :1; 9210 IO_WORD m_BRP2 :1; 9211 IO_WORD m_BRP1 :1; 9212 IO_WORD m_BRP0 :1; 9213 } mb ; 9215 mIO_WORD :1; 9216 IO_WORD m_Tg2 :3; 9217 IO_WORD m_Tg1 :4; 9218 IO_WORD m_SJW :2; 9219 IO_WORD m_BRP :6; 9220 } mbc ; 9221 } tBTR4STR ; 9223 IO_WORD mwd ; 9225 mIO_WORD :1; 9226 mIO_WORD :1; 9227 mIO_WORD :1; 9228 mIO_WORD :1; 9229 mIO_WORD :1; 9230 mIO_WORD :1; 9231 mIO_WORD :1; 9232 mIO_WORD :1; 9233 IO_WORD m_Rx :1; 9234 IO_WORD m_Tx1 :1; 9235 IO_WORD m_Tx0 :1; 9236 IO_WORD m_LBack :1; 9237 IO_WORD m_St :1; 9238 IO_WORD m_Basic :1; 9239 mIO_WORD :1; 9240 mIO_WORD :1; 9241 } mb ; 9243 mIO_WORD :1; 9244 mIO_WORD :1; 9245 mIO_WORD :1; 9246 mIO_WORD :1; 9247 mIO_WORD :1; 9248 mIO_WORD :1; 9249 mIO_WORD :1; 9250 mIO_WORD :1; 9251 mIO_WORD :1; 9252 IO_WORD m_Tx :2; 9253 } mbc ; 9254 } tTESTR4STR ; 9256 IO_WORD mwd ; 9258 mIO_WORD :1; 9259 mIO_WORD :1; 9260 mIO_WORD :1; 9261 mIO_WORD :1; 9262 mIO_WORD :1; 9263 mIO_WORD :1; 9264 mIO_WORD :1; 9265 mIO_WORD :1; 9266 mIO_WORD :1; 9267 mIO_WORD :1; 9268 mIO_WORD :1; 9269 mIO_WORD :1; 9270 IO_WORD m_BRPE3 :1; 9271 IO_WORD m_BRPE2 :1; 9272 IO_WORD m_BRPE1 :1; 9273 IO_WORD m_BRPE0 :1; 9274 } mb ; 9276 mIO_WORD :1; 9277 mIO_WORD :1; 9278 mIO_WORD :1; 9279 mIO_WORD :1; 9280 mIO_WORD :1; 9281 mIO_WORD :1; 9282 mIO_WORD :1; 9283 mIO_WORD :1; 9284 mIO_WORD :1; 9285 mIO_WORD :1; 9286 mIO_WORD :1; 9287 mIO_WORD :1; 9288 IO_WORD m_BRPE :4; 9289 } mbc ; 9290 } tBRPER4STR ; 9292 IO_WORD mwd ; 9294 mIO_WORD :1; 9295 mIO_WORD :1; 9296 mIO_WORD :1; 9297 mIO_WORD :1; 9298 mIO_WORD :1; 9299 mIO_WORD :1; 9300 mIO_WORD :1; 9301 mIO_WORD :1; 9302 mIO_WORD :1; 9303 mIO_WORD :1; 9304 mIO_WORD :1; 9305 mIO_WORD :1; 9306 mIO_WORD :1; 9307 mIO_WORD :1; 9308 mIO_WORD :1; 9309 mIO_WORD :1; 9310 } mb ; 9311 } tBRPE4STR ; 9313 IO_WORD mwd ; 9315 IO_WORD m_Busy :1; 9316 mIO_WORD :1; 9317 mIO_WORD :1; 9318 mIO_WORD :1; 9319 mIO_WORD :1; 9320 mIO_WORD :1; 9321 mIO_WORD :1; 9322 mIO_WORD :1; 9323 mIO_WORD :1; 9324 mIO_WORD :1; 9325 IO_WORD m_MN5 :1; 9326 IO_WORD m_MN4 :1; 9327 IO_WORD m_MN3 :1; 9328 IO_WORD m_MN2 :1; 9329 IO_WORD m_MN1 :1; 9330 IO_WORD m_MN0 :1; 9331 } mb ; 9333 mIO_WORD :1; 9334 mIO_WORD :1; 9335 mIO_WORD :1; 9336 mIO_WORD :1; 9337 mIO_WORD :1; 9338 mIO_WORD :1; 9339 mIO_WORD :1; 9340 mIO_WORD :1; 9341 mIO_WORD :1; 9342 mIO_WORD :1; 9343 IO_WORD m_MN :6; 9344 } mbc ; 9345 } tIF1CREQ4STR ; 9347 IO_WORD mwd ; 9349 mIO_WORD :1; 9350 mIO_WORD :1; 9351 mIO_WORD :1; 9352 mIO_WORD :1; 9353 mIO_WORD :1; 9354 mIO_WORD :1; 9355 mIO_WORD :1; 9356 mIO_WORD :1; 9357 IO_WORD m_WR :1; 9358 IO_WORD m_Mask :1; 9359 IO_WORD m_Arb :1; 9360 IO_WORD m_Cڌ :1; 9361 IO_WORD m_CIP :1; 9362 IO_WORD m_TxReq :1; 9363 IO_WORD m_DaA :1; 9364 IO_WORD m_DaB :1; 9365 } mb ; 9366 } tIF1CMSK4STR ; 9368 IO_WORD mwd ; 9370 IO_WORD m_MXtd :1; 9371 IO_WORD m_MD :1; 9372 mIO_WORD :1; 9373 mIO_WORD :1; 9374 mIO_WORD :1; 9375 mIO_WORD :1; 9376 mIO_WORD :1; 9377 mIO_WORD :1; 9378 mIO_WORD :1; 9379 mIO_WORD :1; 9380 mIO_WORD :1; 9381 mIO_WORD :1; 9382 mIO_WORD :1; 9383 mIO_WORD :1; 9384 mIO_WORD :1; 9385 mIO_WORD :1; 9386 } mb ; 9387 } tIF1MSK24STR ; 9389 IO_WORD mwd ; 9391 IO_WORD m_MsgV :1; 9392 IO_WORD m_Xtd :1; 9393 IO_WORD m_DIR :1; 9394 mIO_WORD :1; 9395 mIO_WORD :1; 9396 mIO_WORD :1; 9397 mIO_WORD :1; 9398 mIO_WORD :1; 9399 mIO_WORD :1; 9400 mIO_WORD :1; 9401 mIO_WORD :1; 9402 mIO_WORD :1; 9403 mIO_WORD :1; 9404 mIO_WORD :1; 9405 mIO_WORD :1; 9406 mIO_WORD :1; 9407 } mb ; 9408 } tIF1ARB24STR ; 9410 IO_WORD mwd ; 9412 IO_WORD m_NewD :1; 9413 IO_WORD m_MsgL :1; 9414 IO_WORD m_IPnd :1; 9415 IO_WORD m_UMask :1; 9416 IO_WORD m_TxIE :1; 9417 IO_WORD m_RxIE :1; 9418 IO_WORD m_RmtEn :1; 9419 IO_WORD m_TxRq :1; 9420 IO_WORD m_EoB :1; 9421 mIO_WORD :1; 9422 mIO_WORD :1; 9423 mIO_WORD :1; 9424 IO_WORD m_DLC3 :1; 9425 IO_WORD m_DLC2 :1; 9426 IO_WORD m_DLC1 :1; 9427 IO_WORD m_DLC0 :1; 9428 } mb ; 9430 mIO_WORD :1; 9431 mIO_WORD :1; 9432 mIO_WORD :1; 9433 mIO_WORD :1; 9434 mIO_WORD :1; 9435 mIO_WORD :1; 9436 mIO_WORD :1; 9437 mIO_WORD :1; 9438 mIO_WORD :1; 9439 mIO_WORD :1; 9440 mIO_WORD :1; 9441 mIO_WORD :1; 9442 IO_WORD m_DLC :4; 9443 } mbc ; 9444 } tIF1MCTR4STR ; 9446 IO_WORD mwd ; 9448 IO_WORD m_Busy :1; 9449 mIO_WORD :1; 9450 mIO_WORD :1; 9451 mIO_WORD :1; 9452 mIO_WORD :1; 9453 mIO_WORD :1; 9454 mIO_WORD :1; 9455 mIO_WORD :1; 9456 mIO_WORD :1; 9457 mIO_WORD :1; 9458 IO_WORD m_MN5 :1; 9459 IO_WORD m_MN4 :1; 9460 IO_WORD m_MN3 :1; 9461 IO_WORD m_MN2 :1; 9462 IO_WORD m_MN1 :1; 9463 IO_WORD m_MN0 :1; 9464 } mb ; 9466 mIO_WORD :1; 9467 mIO_WORD :1; 9468 mIO_WORD :1; 9469 mIO_WORD :1; 9470 mIO_WORD :1; 9471 mIO_WORD :1; 9472 mIO_WORD :1; 9473 mIO_WORD :1; 9474 mIO_WORD :1; 9475 mIO_WORD :1; 9476 IO_WORD m_MN :6; 9477 } mbc ; 9478 } tIF2CREQ4STR ; 9480 IO_WORD mwd ; 9482 mIO_WORD :1; 9483 mIO_WORD :1; 9484 mIO_WORD :1; 9485 mIO_WORD :1; 9486 mIO_WORD :1; 9487 mIO_WORD :1; 9488 mIO_WORD :1; 9489 mIO_WORD :1; 9490 IO_WORD m_WR :1; 9491 IO_WORD m_Mask :1; 9492 IO_WORD m_Arb :1; 9493 IO_WORD m_Cڌ :1; 9494 IO_WORD m_CIP :1; 9495 IO_WORD m_TxReq :1; 9496 IO_WORD m_DaA :1; 9497 IO_WORD m_DaB :1; 9498 } mb ; 9499 } tIF2CMSK4STR ; 9501 IO_WORD mwd ; 9503 IO_WORD m_MXtd :1; 9504 IO_WORD m_MD :1; 9505 mIO_WORD :1; 9506 mIO_WORD :1; 9507 mIO_WORD :1; 9508 mIO_WORD :1; 9509 mIO_WORD :1; 9510 mIO_WORD :1; 9511 mIO_WORD :1; 9512 mIO_WORD :1; 9513 mIO_WORD :1; 9514 mIO_WORD :1; 9515 mIO_WORD :1; 9516 mIO_WORD :1; 9517 mIO_WORD :1; 9518 mIO_WORD :1; 9519 } mb ; 9520 } tIF2MSK24STR ; 9522 IO_WORD mwd ; 9524 IO_WORD m_MsgV :1; 9525 IO_WORD m_Xtd :1; 9526 IO_WORD m_DIR :1; 9527 mIO_WORD :1; 9528 mIO_WORD :1; 9529 mIO_WORD :1; 9530 mIO_WORD :1; 9531 mIO_WORD :1; 9532 mIO_WORD :1; 9533 mIO_WORD :1; 9534 mIO_WORD :1; 9535 mIO_WORD :1; 9536 mIO_WORD :1; 9537 mIO_WORD :1; 9538 mIO_WORD :1; 9539 mIO_WORD :1; 9540 } mb ; 9541 } tIF2ARB24STR ; 9543 IO_WORD mwd ; 9545 IO_WORD m_NewD :1; 9546 IO_WORD m_MsgL :1; 9547 IO_WORD m_IPnd :1; 9548 IO_WORD m_UMask :1; 9549 IO_WORD m_TxIE :1; 9550 IO_WORD m_RxIE :1; 9551 IO_WORD m_RmtEn :1; 9552 IO_WORD m_TxRq :1; 9553 IO_WORD m_EoB :1; 9554 mIO_WORD :1; 9555 mIO_WORD :1; 9556 mIO_WORD :1; 9557 IO_WORD m_DLC3 :1; 9558 IO_WORD m_DLC2 :1; 9559 IO_WORD m_DLC1 :1; 9560 IO_WORD m_DLC0 :1; 9561 } mb ; 9563 mIO_WORD :1; 9564 mIO_WORD :1; 9565 mIO_WORD :1; 9566 mIO_WORD :1; 9567 mIO_WORD :1; 9568 mIO_WORD :1; 9569 mIO_WORD :1; 9570 mIO_WORD :1; 9571 mIO_WORD :1; 9572 mIO_WORD :1; 9573 mIO_WORD :1; 9574 mIO_WORD :1; 9575 IO_WORD m_DLC :4; 9576 } mbc ; 9577 } tIF2MCTR4STR ; 9579 IO_LWORD mlwd ; 9581 mIO_LWORD :1; 9582 mIO_LWORD :1; 9583 mIO_LWORD :1; 9584 mIO_LWORD :1; 9585 mIO_LWORD :1; 9586 mIO_LWORD :1; 9587 mIO_LWORD :1; 9588 mIO_LWORD :1; 9589 mIO_LWORD :1; 9590 mIO_LWORD :1; 9591 mIO_LWORD :1; 9592 mIO_LWORD :1; 9593 mIO_LWORD :1; 9594 mIO_LWORD :1; 9595 mIO_LWORD :1; 9596 mIO_LWORD :1; 9597 IO_LWORD m_SR :1; 9598 IO_LWORD m_SW :1; 9599 IO_LWORD m_SX :1; 9600 IO_LWORD m_UR :1; 9601 IO_LWORD m_UW :1; 9602 IO_LWORD m_UX :1; 9603 IO_LWORD m_FCPU :1; 9604 IO_LWORD m_FDMA :1; 9605 IO_LWORD m_EEMM :1; 9606 IO_LWORD m_PFD :1; 9607 IO_LWORD m_SINT1 :1; 9608 IO_LWORD m_SINT0 :1; 9609 IO_LWORD m_EINT1 :1; 9610 IO_LWORD m_EINT0 :1; 9611 IO_LWORD m_EINTT :1; 9612 IO_LWORD m_EINTR :1; 9613 } mb ; 9615 mIO_LWORD :1; 9616 mIO_LWORD :1; 9617 mIO_LWORD :1; 9618 mIO_LWORD :1; 9619 mIO_LWORD :1; 9620 mIO_LWORD :1; 9621 mIO_LWORD :1; 9622 mIO_LWORD :1; 9623 mIO_LWORD :1; 9624 mIO_LWORD :1; 9625 mIO_LWORD :1; 9626 mIO_LWORD :1; 9627 mIO_LWORD :1; 9628 mIO_LWORD :1; 9629 mIO_LWORD :1; 9630 mIO_LWORD :1; 9631 mIO_LWORD :1; 9632 mIO_LWORD :1; 9633 mIO_LWORD :1; 9634 mIO_LWORD :1; 9635 mIO_LWORD :1; 9636 mIO_LWORD :1; 9637 mIO_LWORD :1; 9638 mIO_LWORD :1; 9639 mIO_LWORD :1; 9640 mIO_LWORD :1; 9641 IO_LWORD m_SINT :2; 9642 IO_LWORD m_EINT :2; 9643 } mbc ; 9644 } tBCTRLSTR ; 9646 IO_LWORD mlwd ; 9648 mIO_LWORD :1; 9649 mIO_LWORD :1; 9650 mIO_LWORD :1; 9651 mIO_LWORD :1; 9652 mIO_LWORD :1; 9653 mIO_LWORD :1; 9654 mIO_LWORD :1; 9655 mIO_LWORD :1; 9656 mIO_LWORD :1; 9657 mIO_LWORD :1; 9658 mIO_LWORD :1; 9659 mIO_LWORD :1; 9660 mIO_LWORD :1; 9661 mIO_LWORD :1; 9662 mIO_LWORD :1; 9663 mIO_LWORD :1; 9664 IO_LWORD m_IDX4 :1; 9665 IO_LWORD m_IDX3 :1; 9666 IO_LWORD m_IDX2 :1; 9667 IO_LWORD m_IDX1 :1; 9668 IO_LWORD m_IDX0 :1; 9669 IO_LWORD m_CDMA :1; 9670 IO_LWORD m_CSZ1 :1; 9671 IO_LWORD m_CSZ0 :1; 9672 IO_LWORD m_CRW1 :1; 9673 IO_LWORD m_CRW0 :1; 9674 IO_LWORD m_PV :1; 9675 IO_LWORD m_RST :1; 9676 IO_LWORD m_INT1 :1; 9677 IO_LWORD m_INT0 :1; 9678 IO_LWORD m_INTT :1; 9679 IO_LWORD m_INTR :1; 9680 } mb ; 9682 mIO_LWORD :1; 9683 mIO_LWORD :1; 9684 mIO_LWORD :1; 9685 mIO_LWORD :1; 9686 mIO_LWORD :1; 9687 mIO_LWORD :1; 9688 mIO_LWORD :1; 9689 mIO_LWORD :1; 9690 mIO_LWORD :1; 9691 mIO_LWORD :1; 9692 mIO_LWORD :1; 9693 mIO_LWORD :1; 9694 mIO_LWORD :1; 9695 mIO_LWORD :1; 9696 mIO_LWORD :1; 9697 mIO_LWORD :1; 9698 IO_LWORD m_IDX :5; 9699 mIO_LWORD :1; 9700 IO_LWORD m_CSZ :2; 9701 IO_LWORD m_CRW :2; 9702 mIO_LWORD :1; 9703 mIO_LWORD :1; 9704 IO_LWORD m_INT :2; 9705 } mbc ; 9706 } tBSTATSTR ; 9708 IO_LWORD mlwd ; 9710 IO_LWORD m_BD31 :1; 9711 IO_LWORD m_BD30 :1; 9712 IO_LWORD m_BD29 :1; 9713 IO_LWORD m_BD28 :1; 9714 IO_LWORD m_BD27 :1; 9715 IO_LWORD m_BD26 :1; 9716 IO_LWORD m_BD25 :1; 9717 IO_LWORD m_BD24 :1; 9718 IO_LWORD m_BD23 :1; 9719 IO_LWORD m_BD22 :1; 9720 IO_LWORD m_BD21 :1; 9721 IO_LWORD m_BD20 :1; 9722 IO_LWORD m_BD19 :1; 9723 IO_LWORD m_BD18 :1; 9724 IO_LWORD m_BD17 :1; 9725 IO_LWORD m_BD16 :1; 9726 IO_LWORD m_BD15 :1; 9727 IO_LWORD m_BD14 :1; 9728 IO_LWORD m_BD13 :1; 9729 IO_LWORD m_BD12 :1; 9730 IO_LWORD m_BD11 :1; 9731 IO_LWORD m_BD10 :1; 9732 IO_LWORD m_BD9 :1; 9733 IO_LWORD m_BD8 :1; 9734 IO_LWORD m_BD7 :1; 9735 IO_LWORD m_BD6 :1; 9736 IO_LWORD m_BD5 :1; 9737 IO_LWORD m_BD4 :1; 9738 IO_LWORD m_BD3 :1; 9739 IO_LWORD m_BD2 :1; 9740 IO_LWORD m_BD1 :1; 9741 IO_LWORD m_BD0 :1; 9742 } mb ; 9743 } tBIRQSTR ; 9745 IO_LWORD mlwd ; 9747 mIO_LWORD :1; 9748 mIO_LWORD :1; 9749 mIO_LWORD :1; 9750 mIO_LWORD :1; 9751 mIO_LWORD :1; 9752 mIO_LWORD :1; 9753 mIO_LWORD :1; 9754 mIO_LWORD :1; 9755 IO_LWORD m_SRX1 :1; 9756 IO_LWORD m_SW1 :1; 9757 IO_LWORD m_SRX0 :1; 9758 IO_LWORD m_SW0 :1; 9759 IO_LWORD m_URX1 :1; 9760 IO_LWORD m_UW1 :1; 9761 IO_LWORD m_URX0 :1; 9762 IO_LWORD m_UW0 :1; 9763 IO_LWORD m_MPE :1; 9764 IO_LWORD m_COMB :1; 9765 IO_LWORD m_CTC1 :1; 9766 IO_LWORD m_CTC0 :1; 9767 IO_LWORD m_OBS1 :1; 9768 IO_LWORD m_OBS0 :1; 9769 IO_LWORD m_OBT1 :1; 9770 IO_LWORD m_OBT0 :1; 9771 IO_LWORD m_EP3 :1; 9772 IO_LWORD m_EP2 :1; 9773 IO_LWORD m_EP1 :1; 9774 IO_LWORD m_EP0 :1; 9775 IO_LWORD m_EM1 :1; 9776 IO_LWORD m_EM0 :1; 9777 IO_LWORD m_ER1 :1; 9778 IO_LWORD m_ER0 :1; 9779 } mb ; 9781 mIO_LWORD :1; 9782 mIO_LWORD :1; 9783 mIO_LWORD :1; 9784 mIO_LWORD :1; 9785 mIO_LWORD :1; 9786 mIO_LWORD :1; 9787 mIO_LWORD :1; 9788 mIO_LWORD :1; 9789 mIO_LWORD :1; 9790 mIO_LWORD :1; 9791 mIO_LWORD :1; 9792 mIO_LWORD :1; 9793 mIO_LWORD :1; 9794 mIO_LWORD :1; 9795 mIO_LWORD :1; 9796 mIO_LWORD :1; 9797 mIO_LWORD :1; 9798 mIO_LWORD :1; 9799 IO_LWORD m_CTC :2; 9800 IO_LWORD m_OBS :2; 9801 IO_LWORD m_OBT :2; 9802 IO_LWORD m_EP :4; 9803 IO_LWORD m_EM :2; 9804 IO_LWORD m_ER :2; 9805 } mbc ; 9806 } tBCR0STR ; 9808 IO_LWORD mlwd ; 9810 mIO_LWORD :1; 9811 mIO_LWORD :1; 9812 mIO_LWORD :1; 9813 mIO_LWORD :1; 9814 mIO_LWORD :1; 9815 mIO_LWORD :1; 9816 mIO_LWORD :1; 9817 mIO_LWORD :1; 9818 IO_LWORD m_SRX1 :1; 9819 IO_LWORD m_SW1 :1; 9820 IO_LWORD m_SRX0 :1; 9821 IO_LWORD m_SW0 :1; 9822 IO_LWORD m_URX1 :1; 9823 IO_LWORD m_UW1 :1; 9824 IO_LWORD m_URX0 :1; 9825 IO_LWORD m_UW0 :1; 9826 IO_LWORD m_MPE :1; 9827 IO_LWORD m_COMB :1; 9828 IO_LWORD m_CTC1 :1; 9829 IO_LWORD m_CTC0 :1; 9830 IO_LWORD m_OBS1 :1; 9831 IO_LWORD m_OBS0 :1; 9832 IO_LWORD m_OBT1 :1; 9833 IO_LWORD m_OBT0 :1; 9834 IO_LWORD m_EP3 :1; 9835 IO_LWORD m_EP2 :1; 9836 IO_LWORD m_EP1 :1; 9837 IO_LWORD m_EP0 :1; 9838 IO_LWORD m_EM1 :1; 9839 IO_LWORD m_EM0 :1; 9840 IO_LWORD m_ER1 :1; 9841 IO_LWORD m_ER0 :1; 9842 } mb ; 9844 mIO_LWORD :1; 9845 mIO_LWORD :1; 9846 mIO_LWORD :1; 9847 mIO_LWORD :1; 9848 mIO_LWORD :1; 9849 mIO_LWORD :1; 9850 mIO_LWORD :1; 9851 mIO_LWORD :1; 9852 mIO_LWORD :1; 9853 mIO_LWORD :1; 9854 mIO_LWORD :1; 9855 mIO_LWORD :1; 9856 mIO_LWORD :1; 9857 mIO_LWORD :1; 9858 mIO_LWORD :1; 9859 mIO_LWORD :1; 9860 mIO_LWORD :1; 9861 mIO_LWORD :1; 9862 IO_LWORD m_CTC :2; 9863 IO_LWORD m_OBS :2; 9864 IO_LWORD m_OBT :2; 9865 IO_LWORD m_EP :4; 9866 IO_LWORD m_EM :2; 9867 IO_LWORD m_ER :2; 9868 } mbc ; 9869 } tBCR1STR ; 9873 __IO_EXTERN __io PDR14STR gpdr14 ; 9874  #PDR14 pdr14 . by ) 9875  #PDR14_D7 pdr14 . b . _D7 ) 9876  #PDR14_D6 pdr14 . b . _D6 ) 9877  #PDR14_D5 pdr14 . b . _D5 ) 9878  #PDR14_D4 pdr14 . b . _D4 ) 9879  #PDR14_D3 pdr14 . b . _D3 ) 9880  #PDR14_D2 pdr14 . b . _D2 ) 9881  #PDR14_D1 pdr14 . b . _D1 ) 9882  #PDR14_D0 pdr14 . b . _D0 ) 9883 __IO_EXTERN __io PDR15STR gpdr15 ; 9884  #PDR15 pdr15 . by ) 9885  #PDR15_D7 pdr15 . b . _D7 ) 9886  #PDR15_D6 pdr15 . b . _D6 ) 9887  #PDR15_D5 pdr15 . b . _D5 ) 9888  #PDR15_D4 pdr15 . b . _D4 ) 9889  #PDR15_D3 pdr15 . b . _D3 ) 9890  #PDR15_D2 pdr15 . b . _D2 ) 9891  #PDR15_D1 pdr15 . b . _D1 ) 9892  #PDR15_D0 pdr15 . b . _D0 ) 9893 __IO_EXTERN __io PDR16STR gpdr16 ; 9894  #PDR16 pdr16 . by ) 9895  #PDR16_D7 pdr16 . b . _D7 ) 9896  #PDR16_D6 pdr16 . b . _D6 ) 9897  #PDR16_D5 pdr16 . b . _D5 ) 9898  #PDR16_D4 pdr16 . b . _D4 ) 9899  #PDR16_D3 pdr16 . b . _D3 ) 9900  #PDR16_D2 pdr16 . b . _D2 ) 9901  #PDR16_D1 pdr16 . b . _D1 ) 9902  #PDR16_D0 pdr16 . b . _D0 ) 9903 __IO_EXTERN __io PDR17STR gpdr17 ; 9904  #PDR17 pdr17 . by ) 9905  #PDR17_D7 pdr17 . b . _D7 ) 9906  #PDR17_D6 pdr17 . b . _D6 ) 9907  #PDR17_D5 pdr17 . b . _D5 ) 9908  #PDR17_D4 pdr17 . b . _D4 ) 9909  #PDR17_D3 pdr17 . b . _D3 ) 9910  #PDR17_D2 pdr17 . b . _D2 ) 9911  #PDR17_D1 pdr17 . b . _D1 ) 9912  #PDR17_D0 pdr17 . b . _D0 ) 9913 __IO_EXTERN __io PDR18STR gpdr18 ; 9914  #PDR18 pdr18 . by ) 9915  #PDR18_D6 pdr18 . b . _D6 ) 9916  #PDR18_D2 pdr18 . b . _D2 ) 9917 __IO_EXTERN __io PDR19STR gpdr19 ; 9918  #PDR19 pdr19 . by ) 9919  #PDR19_D6 pdr19 . b . _D6 ) 9920  #PDR19_D2 pdr19 . b . _D2 ) 9921  #PDR19_D1 pdr19 . b . _D1 ) 9922  #PDR19_D0 pdr19 . b . _D0 ) 9923 __IO_EXTERN __io PDR20STR gpdr20 ; 9924  #PDR20 pdr20 . by ) 9925  #PDR20_D7 pdr20 . b . _D7 ) 9926  #PDR20_D6 pdr20 . b . _D6 ) 9927  #PDR20_D5 pdr20 . b . _D5 ) 9928  #PDR20_D4 pdr20 . b . _D4 ) 9929  #PDR20_D3 pdr20 . b . _D3 ) 9930  #PDR20_D2 pdr20 . b . _D2 ) 9931  #PDR20_D1 pdr20 . b . _D1 ) 9932  #PDR20_D0 pdr20 . b . _D0 ) 9933 __IO_EXTERN __io PDR21STR gpdr21 ; 9934  #PDR21 pdr21 . by ) 9935  #PDR21_D7 pdr21 . b . _D7 ) 9936  #PDR21_D6 pdr21 . b . _D6 ) 9937  #PDR21_D5 pdr21 . b . _D5 ) 9938  #PDR21_D4 pdr21 . b . _D4 ) 9939  #PDR21_D3 pdr21 . b . _D3 ) 9940  #PDR21_D2 pdr21 . b . _D2 ) 9941  #PDR21_D1 pdr21 . b . _D1 ) 9942  #PDR21_D0 pdr21 . b . _D0 ) 9943 __IO_EXTERN __io PDR22STR gpdr22 ; 9944  #PDR22 pdr22 . by ) 9945  #PDR22_D5 pdr22 . b . _D5 ) 9946  #PDR22_D4 pdr22 . b . _D4 ) 9947  #PDR22_D1 pdr22 . b . _D1 ) 9948  #PDR22_D0 pdr22 . b . _D0 ) 9949 __IO_EXTERN __io PDR24STR gpdr24 ; 9950  #PDR24 pdr24 . by ) 9951  #PDR24_D7 pdr24 . b . _D7 ) 9952  #PDR24_D6 pdr24 . b . _D6 ) 9953  #PDR24_D5 pdr24 . b . _D5 ) 9954  #PDR24_D4 pdr24 . b . _D4 ) 9955  #PDR24_D3 pdr24 . b . _D3 ) 9956  #PDR24_D2 pdr24 . b . _D2 ) 9957  #PDR24_D1 pdr24 . b . _D1 ) 9958  #PDR24_D0 pdr24 . b . _D0 ) 9959 __IO_EXTERN __io PDR26STR gpdr26 ; 9960  #PDR26 pdr26 . by ) 9961  #PDR26_D1 pdr26 . b . _D1 ) 9962  #PDR26_D0 pdr26 . b . _D0 ) 9963 __IO_EXTERN __io PDR27STR gpdr27 ; 9964  #PDR27 pdr27 . by ) 9965  #PDR27_D7 pdr27 . b . _D7 ) 9966  #PDR27_D6 pdr27 . b . _D6 ) 9967  #PDR27_D5 pdr27 . b . _D5 ) 9968  #PDR27_D4 pdr27 . b . _D4 ) 9969  #PDR27_D3 pdr27 . b . _D3 ) 9970  #PDR27_D2 pdr27 . b . _D2 ) 9971  #PDR27_D1 pdr27 . b . _D1 ) 9972  #PDR27_D0 pdr27 . b . _D0 ) 9973 __IO_EXTERN __io PDR28STR gpdr28 ; 9974  #PDR28 pdr28 . by ) 9975  #PDR28_D7 pdr28 . b . _D7 ) 9976  #PDR28_D6 pdr28 . b . _D6 ) 9977  #PDR28_D5 pdr28 . b . _D5 ) 9978  #PDR28_D4 pdr28 . b . _D4 ) 9979  #PDR28_D3 pdr28 . b . _D3 ) 9980  #PDR28_D2 pdr28 . b . _D2 ) 9981  #PDR28_D1 pdr28 . b . _D1 ) 9982  #PDR28_D0 pdr28 . b . _D0 ) 9983 __IO_EXTERN __io PDR29STR gpdr29 ; 9984  #PDR29 pdr29 . by ) 9985  #PDR29_D7 pdr29 . b . _D7 ) 9986  #PDR29_D6 pdr29 . b . _D6 ) 9987  #PDR29_D5 pdr29 . b . _D5 ) 9988  #PDR29_D4 pdr29 . b . _D4 ) 9989  #PDR29_D3 pdr29 . b . _D3 ) 9990  #PDR29_D2 pdr29 . b . _D2 ) 9991  #PDR29_D1 pdr29 . b . _D1 ) 9992  #PDR29_D0 pdr29 . b . _D0 ) 9993 __IO_EXTERN __io EIRR0STR ger0 ; 9994  #EIRR0 er0 . by ) 9995  #EIRR0_ER7 er0 . b . _ER7 ) 9996  #EIRR0_ER6 er0 . b . _ER6 ) 9997  #EIRR0_ER5 er0 . b . _ER5 ) 9998  #EIRR0_ER4 er0 . b . _ER4 ) 9999  #EIRR0_ER3 er0 . b . _ER3 ) 10000  #EIRR0_ER2 er0 . b . _ER2 ) 10001  #EIRR0_ER1 er0 . b . _ER1 ) 10002  #EIRR0_ER0 er0 . b . _ER0 ) 10003 __IO_EXTERN __io ENIR0STR g0 ; 10004  #ENIR0 0 . by ) 10005  #ENIR0_EN7 0 . b . _EN7 ) 10006  #ENIR0_EN6 0 . b . _EN6 ) 10007  #ENIR0_EN5 0 . b . _EN5 ) 10008  #ENIR0_EN4 0 . b . _EN4 ) 10009  #ENIR0_EN3 0 . b . _EN3 ) 10010  #ENIR0_EN2 0 . b . _EN2 ) 10011  #ENIR0_EN1 0 . b . _EN1 ) 10012  #ENIR0_EN0 0 . b . _EN0 ) 10013 __IO_EXTERN __io ELVR0STR gvr0 ; 10014  #ELVR0 vr0 . wd ) 10015  #ELVR0_LB7 vr0 . b . _LB7 ) 10016  #ELVR0_LA7 vr0 . b . _LA7 ) 10017  #ELVR0_LB6 vr0 . b . _LB6 ) 10018  #ELVR0_LA6 vr0 . b . _LA6 ) 10019  #ELVR0_LB5 vr0 . b . _LB5 ) 10020  #ELVR0_LA5 vr0 . b . _LA5 ) 10021  #ELVR0_LB4 vr0 . b . _LB4 ) 10022  #ELVR0_LA4 vr0 . b . _LA4 ) 10023  #ELVR0_LB3 vr0 . b . _LB3 ) 10024  #ELVR0_LA3 vr0 . b . _LA3 ) 10025  #ELVR0_LB2 vr0 . b . _LB2 ) 10026  #ELVR0_LA2 vr0 . b . _LA2 ) 10027  #ELVR0_LB1 vr0 . b . _LB1 ) 10028  #ELVR0_LA1 vr0 . b . _LA1 ) 10029  #ELVR0_LB0 vr0 . b . _LB0 ) 10030  #ELVR0_LA0 vr0 . b . _LA0 ) 10031 __IO_EXTERN __io EIRR1STR ger1 ; 10032  #EIRR1 er1 . by ) 10033  #EIRR1_ER15 er1 . b . _ER15 ) 10034  #EIRR1_ER14 er1 . b . _ER14 ) 10035  #EIRR1_ER13 er1 . b . _ER13 ) 10036  #EIRR1_ER12 er1 . b . _ER12 ) 10037  #EIRR1_ER11 er1 . b . _ER11 ) 10038  #EIRR1_ER10 er1 . b . _ER10 ) 10039  #EIRR1_ER9 er1 . b . _ER9 ) 10040  #EIRR1_ER8 er1 . b . _ER8 ) 10041 __IO_EXTERN __io ENIR1STR g1 ; 10042  #ENIR1 1 . by ) 10043  #ENIR1_EN15 1 . b . _EN15 ) 10044  #ENIR1_EN14 1 . b . _EN14 ) 10045  #ENIR1_EN13 1 . b . _EN13 ) 10046  #ENIR1_EN12 1 . b . _EN12 ) 10047  #ENIR1_EN11 1 . b . _EN11 ) 10048  #ENIR1_EN10 1 . b . _EN10 ) 10049  #ENIR1_EN9 1 . b . _EN9 ) 10050  #ENIR1_EN8 1 . b . _EN8 ) 10051 __IO_EXTERN __io ELVR1STR gvr1 ; 10052  #ELVR1 vr1 . wd ) 10053  #ELVR1_LB15 vr1 . b . _LB15 ) 10054  #ELVR1_LA15 vr1 . b . _LA15 ) 10055  #ELVR1_LB14 vr1 . b . _LB14 ) 10056  #ELVR1_LA14 vr1 . b . _LA14 ) 10057  #ELVR1_LB13 vr1 . b . _LB13 ) 10058  #ELVR1_LA13 vr1 . b . _LA13 ) 10059  #ELVR1_LB12 vr1 . b . _LB12 ) 10060  #ELVR1_LA12 vr1 . b . _LA12 ) 10061  #ELVR1_LB11 vr1 . b . _LB11 ) 10062  #ELVR1_LA11 vr1 . b . _LA11 ) 10063  #ELVR1_LB10 vr1 . b . _LB10 ) 10064  #ELVR1_LA10 vr1 . b . _LA10 ) 10065  #ELVR1_LB9 vr1 . b . _LB9 ) 10066  #ELVR1_LA9 vr1 . b . _LA9 ) 10067  #ELVR1_LB8 vr1 . b . _LB8 ) 10068  #ELVR1_LA8 vr1 . b . _LA8 ) 10069 __IO_EXTERN __io DICRSTR gdi ; 10070  #DICR di . by ) 10071  #DICR_DLYI di . b . _DLYI ) 10072 __IO_EXTERN __io HRCLSTR ghr ; 10073  #HRCL hr . by ) 10074  #HRCL_MHALTI hr . b . _MHALTI ) 10075  #HRCL_LVL4 hr . b . _LVL4 ) 10076  #HRCL_LVL3 hr . b . _LVL3 ) 10077  #HRCL_LVL2 hr . b . _LVL2 ) 10078  #HRCL_LVL1 hr . b . _LVL1 ) 10079  #HRCL_LVL0 hr . b . _LVL0 ) 10080  #HRCL_LVL hr . bc . _LVL ) 10081 __IO_EXTERN __io IO_WORD grbsync ; 10082  #RBSYNC rbsync ) 10083 __IO_EXTERN __io SCR00STR gs00 ; 10084  #SCR00 s00 . by ) 10085  #SCR00_PEN s00 . b . _PEN ) 10086  #SCR00_P s00 . b . _P ) 10087  #SCR00_SBL s00 . b . _SBL ) 10088  #SCR00_CL s00 . b . _CL ) 10089  #SCR00_AD s00 . b . _AD ) 10090  #SCR00_CRE s00 . b . _CRE ) 10091  #SCR00_RXE s00 . b . _RXE ) 10092  #SCR00_TXE s00 . b . _TXE ) 10093 __IO_EXTERN __io SMR00STR gsmr00 ; 10094  #SMR00 smr00 . by ) 10095  #SMR00_MD1 smr00 . b . _MD1 ) 10096  #SMR00_MD0 smr00 . b . _MD0 ) 10097  #SMR00_OTO smr00 . b . _OTO ) 10098  #SMR00_EXT smr00 . b . _EXT ) 10099  #SMR00_REST smr00 . b . _REST ) 10100  #SMR00_UPCL smr00 . b . _UPCL ) 10101  #SMR00_SCKE smr00 . b . _SCKE ) 10102  #SMR00_SOE smr00 . b . _SOE ) 10103  #SMR00_MD smr00 . bc . _MD ) 10104 __IO_EXTERN __io SSR00STR gs00 ; 10105  #SSR00 s00 . by ) 10106  #SSR00_PE s00 . b . _PE ) 10107  #SSR00_ORE s00 . b . _ORE ) 10108  #SSR00_FRE s00 . b . _FRE ) 10109  #SSR00_RDRF s00 . b . _RDRF ) 10110  #SSR00_TDRE s00 . b . _TDRE ) 10111  #SSR00_BDS s00 . b . _BDS ) 10112  #SSR00_RIE s00 . b . _RIE ) 10113  #SSR00_TIE s00 . b . _TIE ) 10114 __IO_EXTERN __io IO_BYTE grdr00 ; 10115  #RDR00 rdr00 ) 10116 __IO_EXTERN __io IO_BYTE gtdr00 ; 10117  #TDR00 tdr00 ) 10118 __IO_EXTERN __io ESCR00STR ges00 ; 10119  #ESCR00 es00 . by ) 10120  #ESCR00_LBIE es00 . b . _LBIE ) 10121  #ESCR00_LBD es00 . b . _LBD ) 10122  #ESCR00_LBL1 es00 . b . _LBL1 ) 10123  #ESCR00_LBL0 es00 . b . _LBL0 ) 10124  #ESCR00_SOPE es00 . b . _SOPE ) 10125  #ESCR00_SIOP es00 . b . _SIOP ) 10126  #ESCR00_CCO es00 . b . _CCO ) 10127  #ESCR00_SCES es00 . b . _SCES ) 10128  #ESCR00_LBL es00 . bc . _LBL ) 10129 __IO_EXTERN __io ECCR00STR gec00 ; 10130  #ECCR00 ec00 . by ) 10131  #ECCR00_INV ec00 . b . _INV ) 10132  #ECCR00_LBR ec00 . b . _LBR ) 10133  #ECCR00_MS ec00 . b . _MS ) 10134  #ECCR00_SCDE ec00 . b . _SCDE ) 10135  #ECCR00_SSM ec00 . b . _SSM ) 10136  #ECCR00_BIE ec00 . b . _BIE ) 10137  #ECCR00_RBI ec00 . b . _RBI ) 10138  #ECCR00_TBI ec00 . b . _TBI ) 10139 __IO_EXTERN __io SCR01STR gs01 ; 10140  #SCR01 s01 . by ) 10141  #SCR01_PEN s01 . b . _PEN ) 10142  #SCR01_P s01 . b . _P ) 10143  #SCR01_SBL s01 . b . _SBL ) 10144  #SCR01_CL s01 . b . _CL ) 10145  #SCR01_AD s01 . b . _AD ) 10146  #SCR01_CRE s01 . b . _CRE ) 10147  #SCR01_RXE s01 . b . _RXE ) 10148  #SCR01_TXE s01 . b . _TXE ) 10149 __IO_EXTERN __io SMR01STR gsmr01 ; 10150  #SMR01 smr01 . by ) 10151  #SMR01_MD1 smr01 . b . _MD1 ) 10152  #SMR01_MD0 smr01 . b . _MD0 ) 10153  #SMR01_OTO smr01 . b . _OTO ) 10154  #SMR01_EXT smr01 . b . _EXT ) 10155  #SMR01_REST smr01 . b . _REST ) 10156  #SMR01_UPCL smr01 . b . _UPCL ) 10157  #SMR01_SCKE smr01 . b . _SCKE ) 10158  #SMR01_SOE smr01 . b . _SOE ) 10159  #SMR01_MD smr01 . bc . _MD ) 10160 __IO_EXTERN __io SSR01STR gs01 ; 10161  #SSR01 s01 . by ) 10162  #SSR01_PE s01 . b . _PE ) 10163  #SSR01_ORE s01 . b . _ORE ) 10164  #SSR01_FRE s01 . b . _FRE ) 10165  #SSR01_RDRF s01 . b . _RDRF ) 10166  #SSR01_TDRE s01 . b . _TDRE ) 10167  #SSR01_BDS s01 . b . _BDS ) 10168  #SSR01_RIE s01 . b . _RIE ) 10169  #SSR01_TIE s01 . b . _TIE ) 10170 __IO_EXTERN __io IO_BYTE grdr01 ; 10171  #RDR01 rdr01 ) 10172 __IO_EXTERN __io IO_BYTE gtdr01 ; 10173  #TDR01 tdr01 ) 10174 __IO_EXTERN __io ESCR01STR ges01 ; 10175  #ESCR01 es01 . by ) 10176  #ESCR01_LBIE es01 . b . _LBIE ) 10177  #ESCR01_LBD es01 . b . _LBD ) 10178  #ESCR01_LBL1 es01 . b . _LBL1 ) 10179  #ESCR01_LBL0 es01 . b . _LBL0 ) 10180  #ESCR01_SOPE es01 . b . _SOPE ) 10181  #ESCR01_SIOP es01 . b . _SIOP ) 10182  #ESCR01_CCO es01 . b . _CCO ) 10183  #ESCR01_SCES es01 . b . _SCES ) 10184  #ESCR01_LBL es01 . bc . _LBL ) 10185 __IO_EXTERN __io ECCR01STR gec01 ; 10186  #ECCR01 ec01 . by ) 10187  #ECCR01_INV ec01 . b . _INV ) 10188  #ECCR01_LBR ec01 . b . _LBR ) 10189  #ECCR01_MS ec01 . b . _MS ) 10190  #ECCR01_SCDE ec01 . b . _SCDE ) 10191  #ECCR01_SSM ec01 . b . _SSM ) 10192  #ECCR01_BIE ec01 . b . _BIE ) 10193  #ECCR01_RBI ec01 . b . _RBI ) 10194  #ECCR01_TBI ec01 . b . _TBI ) 10195 __IO_EXTERN __io SCR02STR gs02 ; 10196  #SCR02 s02 . by ) 10197  #SCR02_PEN s02 . b . _PEN ) 10198  #SCR02_P s02 . b . _P ) 10199  #SCR02_SBL s02 . b . _SBL ) 10200  #SCR02_CL s02 . b . _CL ) 10201  #SCR02_AD s02 . b . _AD ) 10202  #SCR02_CRE s02 . b . _CRE ) 10203  #SCR02_RXE s02 . b . _RXE ) 10204  #SCR02_TXE s02 . b . _TXE ) 10205 __IO_EXTERN __io SMR02STR gsmr02 ; 10206  #SMR02 smr02 . by ) 10207  #SMR02_MD1 smr02 . b . _MD1 ) 10208  #SMR02_MD0 smr02 . b . _MD0 ) 10209  #SMR02_OTO smr02 . b . _OTO ) 10210  #SMR02_EXT smr02 . b . _EXT ) 10211  #SMR02_REST smr02 . b . _REST ) 10212  #SMR02_UPCL smr02 . b . _UPCL ) 10213  #SMR02_SCKE smr02 . b . _SCKE ) 10214  #SMR02_SOE smr02 . b . _SOE ) 10215  #SMR02_MD smr02 . bc . _MD ) 10216 __IO_EXTERN __io SSR02STR gs02 ; 10217  #SSR02 s02 . by ) 10218  #SSR02_PE s02 . b . _PE ) 10219  #SSR02_ORE s02 . b . _ORE ) 10220  #SSR02_FRE s02 . b . _FRE ) 10221  #SSR02_RDRF s02 . b . _RDRF ) 10222  #SSR02_TDRE s02 . b . _TDRE ) 10223  #SSR02_BDS s02 . b . _BDS ) 10224  #SSR02_RIE s02 . b . _RIE ) 10225  #SSR02_TIE s02 . b . _TIE ) 10226 __IO_EXTERN __io IO_BYTE grdr02 ; 10227  #RDR02 rdr02 ) 10228 __IO_EXTERN __io IO_BYTE gtdr02 ; 10229  #TDR02 tdr02 ) 10230 __IO_EXTERN __io ESCR02STR ges02 ; 10231  #ESCR02 es02 . by ) 10232  #ESCR02_LBIE es02 . b . _LBIE ) 10233  #ESCR02_LBD es02 . b . _LBD ) 10234  #ESCR02_LBL1 es02 . b . _LBL1 ) 10235  #ESCR02_LBL0 es02 . b . _LBL0 ) 10236  #ESCR02_SOPE es02 . b . _SOPE ) 10237  #ESCR02_SIOP es02 . b . _SIOP ) 10238  #ESCR02_CCO es02 . b . _CCO ) 10239  #ESCR02_SCES es02 . b . _SCES ) 10240  #ESCR02_LBL es02 . bc . _LBL ) 10241 __IO_EXTERN __io ECCR02STR gec02 ; 10242  #ECCR02 ec02 . by ) 10243  #ECCR02_INV ec02 . b . _INV ) 10244  #ECCR02_LBR ec02 . b . _LBR ) 10245  #ECCR02_MS ec02 . b . _MS ) 10246  #ECCR02_SCDE ec02 . b . _SCDE ) 10247  #ECCR02_SSM ec02 . b . _SSM ) 10248  #ECCR02_BIE ec02 . b . _BIE ) 10249  #ECCR02_RBI ec02 . b . _RBI ) 10250  #ECCR02_TBI ec02 . b . _TBI ) 10251 __IO_EXTERN __io SCR03STR gs03 ; 10252  #SCR03 s03 . by ) 10253  #SCR03_PEN s03 . b . _PEN ) 10254  #SCR03_P s03 . b . _P ) 10255  #SCR03_SBL s03 . b . _SBL ) 10256  #SCR03_CL s03 . b . _CL ) 10257  #SCR03_AD s03 . b . _AD ) 10258  #SCR03_CRE s03 . b . _CRE ) 10259  #SCR03_RXE s03 . b . _RXE ) 10260  #SCR03_TXE s03 . b . _TXE ) 10261 __IO_EXTERN __io SMR03STR gsmr03 ; 10262  #SMR03 smr03 . by ) 10263  #SMR03_MD1 smr03 . b . _MD1 ) 10264  #SMR03_MD0 smr03 . b . _MD0 ) 10265  #SMR03_OTO smr03 . b . _OTO ) 10266  #SMR03_EXT smr03 . b . _EXT ) 10267  #SMR03_REST smr03 . b . _REST ) 10268  #SMR03_UPCL smr03 . b . _UPCL ) 10269  #SMR03_SCKE smr03 . b . _SCKE ) 10270  #SMR03_SOE smr03 . b . _SOE ) 10271  #SMR03_MD smr03 . bc . _MD ) 10272 __IO_EXTERN __io SSR03STR gs03 ; 10273  #SSR03 s03 . by ) 10274  #SSR03_PE s03 . b . _PE ) 10275  #SSR03_ORE s03 . b . _ORE ) 10276  #SSR03_FRE s03 . b . _FRE ) 10277  #SSR03_RDRF s03 . b . _RDRF ) 10278  #SSR03_TDRE s03 . b . _TDRE ) 10279  #SSR03_BDS s03 . b . _BDS ) 10280  #SSR03_RIE s03 . b . _RIE ) 10281  #SSR03_TIE s03 . b . _TIE ) 10282 __IO_EXTERN __io IO_BYTE grdr03 ; 10283  #RDR03 rdr03 ) 10284 __IO_EXTERN __io IO_BYTE gtdr03 ; 10285  #TDR03 tdr03 ) 10286 __IO_EXTERN __io ESCR03STR ges03 ; 10287  #ESCR03 es03 . by ) 10288  #ESCR03_LBIE es03 . b . _LBIE ) 10289  #ESCR03_LBD es03 . b . _LBD ) 10290  #ESCR03_LBL1 es03 . b . _LBL1 ) 10291  #ESCR03_LBL0 es03 . b . _LBL0 ) 10292  #ESCR03_SOPE es03 . b . _SOPE ) 10293  #ESCR03_SIOP es03 . b . _SIOP ) 10294  #ESCR03_CCO es03 . b . _CCO ) 10295  #ESCR03_SCES es03 . b . _SCES ) 10296  #ESCR03_LBL es03 . bc . _LBL ) 10297 __IO_EXTERN __io ECCR03STR gec03 ; 10298  #ECCR03 ec03 . by ) 10299  #ECCR03_INV ec03 . b . _INV ) 10300  #ECCR03_LBR ec03 . b . _LBR ) 10301  #ECCR03_MS ec03 . b . _MS ) 10302  #ECCR03_SCDE ec03 . b . _SCDE ) 10303  #ECCR03_SSM ec03 . b . _SSM ) 10304  #ECCR03_BIE ec03 . b . _BIE ) 10305  #ECCR03_RBI ec03 . b . _RBI ) 10306  #ECCR03_TBI ec03 . b . _TBI ) 10307 __IO_EXTERN __io SCR04STR gs04 ; 10308  #SCR04 s04 . by ) 10309  #SCR04_PEN s04 . b . _PEN ) 10310  #SCR04_P s04 . b . _P ) 10311  #SCR04_SBL s04 . b . _SBL ) 10312  #SCR04_CL s04 . b . _CL ) 10313  #SCR04_AD s04 . b . _AD ) 10314  #SCR04_CRE s04 . b . _CRE ) 10315  #SCR04_RXE s04 . b . _RXE ) 10316  #SCR04_TXE s04 . b . _TXE ) 10317 __IO_EXTERN __io SMR04STR gsmr04 ; 10318  #SMR04 smr04 . by ) 10319  #SMR04_MD1 smr04 . b . _MD1 ) 10320  #SMR04_MD0 smr04 . b . _MD0 ) 10321  #SMR04_OTO smr04 . b . _OTO ) 10322  #SMR04_EXT smr04 . b . _EXT ) 10323  #SMR04_REST smr04 . b . _REST ) 10324  #SMR04_UPCL smr04 . b . _UPCL ) 10325  #SMR04_SCKE smr04 . b . _SCKE ) 10326  #SMR04_SOE smr04 . b . _SOE ) 10327  #SMR04_MD smr04 . bc . _MD ) 10328 __IO_EXTERN __io SSR04STR gs04 ; 10329  #SSR04 s04 . by ) 10330  #SSR04_PE s04 . b . _PE ) 10331  #SSR04_ORE s04 . b . _ORE ) 10332  #SSR04_FRE s04 . b . _FRE ) 10333  #SSR04_RDRF s04 . b . _RDRF ) 10334  #SSR04_TDRE s04 . b . _TDRE ) 10335  #SSR04_BDS s04 . b . _BDS ) 10336  #SSR04_RIE s04 . b . _RIE ) 10337  #SSR04_TIE s04 . b . _TIE ) 10338 __IO_EXTERN __io IO_BYTE grdr04 ; 10339  #RDR04 rdr04 ) 10340 __IO_EXTERN __io IO_BYTE gtdr04 ; 10341  #TDR04 tdr04 ) 10342 __IO_EXTERN __io ESCR04STR ges04 ; 10343  #ESCR04 es04 . by ) 10344  #ESCR04_LBIE es04 . b . _LBIE ) 10345  #ESCR04_LBD es04 . b . _LBD ) 10346  #ESCR04_LBL1 es04 . b . _LBL1 ) 10347  #ESCR04_LBL0 es04 . b . _LBL0 ) 10348  #ESCR04_SOPE es04 . b . _SOPE ) 10349  #ESCR04_SIOP es04 . b . _SIOP ) 10350  #ESCR04_CCO es04 . b . _CCO ) 10351  #ESCR04_SCES es04 . b . _SCES ) 10352  #ESCR04_LBL es04 . bc . _LBL ) 10353 __IO_EXTERN __io ECCR04STR gec04 ; 10354  #ECCR04 ec04 . by ) 10355  #ECCR04_INV ec04 . b . _INV ) 10356  #ECCR04_LBR ec04 . b . _LBR ) 10357  #ECCR04_MS ec04 . b . _MS ) 10358  #ECCR04_SCDE ec04 . b . _SCDE ) 10359  #ECCR04_SSM ec04 . b . _SSM ) 10360  #ECCR04_BIE ec04 . b . _BIE ) 10361  #ECCR04_RBI ec04 . b . _RBI ) 10362  #ECCR04_TBI ec04 . b . _TBI ) 10363 __IO_EXTERN __io IO_BYTE gf04 ; 10364  #FSR04 f04 ) 10365 __IO_EXTERN __io FCR04STR gf04 ; 10366  #FCR04 f04 . by ) 10367  #FCR04_RXL3 f04 . b . _RXL3 ) 10368  #FCR04_RXL2 f04 . b . _RXL2 ) 10369  #FCR04_RXL1 f04 . b . _RXL1 ) 10370  #FCR04_RXL0 f04 . b . _RXL0 ) 10371  #FCR04_ERX f04 . b . _ERX ) 10372  #FCR04_ETX f04 . b . _ETX ) 10373  #FCR04_SVD f04 . b . _SVD ) 10374  #FCR04_RXL f04 . bc . _RXL ) 10375 __IO_EXTERN __io IO_WORD gbgr00 ; 10376  #BGR00 bgr00 ) 10377 __IO_EXTERN __io IO_BYTE gbgr100 ; 10378  #BGR100 bgr100 ) 10379 __IO_EXTERN __io IO_BYTE gbgr000 ; 10380  #BGR000 bgr000 ) 10381 __IO_EXTERN __io IO_WORD gbgr01 ; 10382  #BGR01 bgr01 ) 10383 __IO_EXTERN __io IO_BYTE gbgr101 ; 10384  #BGR101 bgr101 ) 10385 __IO_EXTERN __io IO_BYTE gbgr001 ; 10386  #BGR001 bgr001 ) 10387 __IO_EXTERN __io IO_WORD gbgr02 ; 10388  #BGR02 bgr02 ) 10389 __IO_EXTERN __io IO_BYTE gbgr102 ; 10390  #BGR102 bgr102 ) 10391 __IO_EXTERN __io IO_BYTE gbgr002 ; 10392  #BGR002 bgr002 ) 10393 __IO_EXTERN __io IO_WORD gbgr03 ; 10394  #BGR03 bgr03 ) 10395 __IO_EXTERN __io IO_BYTE gbgr103 ; 10396  #BGR103 bgr103 ) 10397 __IO_EXTERN __io IO_BYTE gbgr003 ; 10398  #BGR003 bgr003 ) 10399 __IO_EXTERN __io IO_WORD gbgr04 ; 10400  #BGR04 bgr04 ) 10401 __IO_EXTERN __io IO_BYTE gbgr104 ; 10402  #BGR104 bgr104 ) 10403 __IO_EXTERN __io IO_BYTE gbgr004 ; 10404  #BGR004 bgr004 ) 10405 __IO_EXTERN __io IBCR0STR gib0 ; 10406  #IBCR0 ib0 . by ) 10407  #IBCR0_BER ib0 . b . _BER ) 10408  #IBCR0_BEIE ib0 . b . _BEIE ) 10409  #IBCR0_SCC ib0 . b . _SCC ) 10410  #IBCR0_MSS ib0 . b . _MSS ) 10411  #IBCR0_ACK ib0 . b . _ACK ) 10412  #IBCR0_GCAA ib0 . b . _GCAA ) 10413  #IBCR0_INTE ib0 . b . _INTE ) 10414  #IBCR0_INT ib0 . b . _INT ) 10415 __IO_EXTERN __io IBSR0STR gib0 ; 10416  #IBSR0 ib0 . by ) 10417  #IBSR0_BB ib0 . b . _BB ) 10418  #IBSR0_RSC ib0 . b . _RSC ) 10419  #IBSR0_AL ib0 . b . _AL ) 10420  #IBSR0_LRB ib0 . b . _LRB ) 10421  #IBSR0_TRX ib0 . b . _TRX ) 10422  #IBSR0_AAS ib0 . b . _AAS ) 10423  #IBSR0_GCA ib0 . b . _GCA ) 10424  #IBSR0_ADT ib0 . b . _ADT ) 10425 __IO_EXTERN __io ITBA0STR gba0 ; 10426  #ITBA0 ba0 . wd ) 10427  #ITBA0_TA9 ba0 . b . _TA9 ) 10428  #ITBA0_TA8 ba0 . b . _TA8 ) 10429  #ITBA0_TA7 ba0 . b . _TA7 ) 10430  #ITBA0_TA6 ba0 . b . _TA6 ) 10431  #ITBA0_TA5 ba0 . b . _TA5 ) 10432  #ITBA0_TA4 ba0 . b . _TA4 ) 10433  #ITBA0_TA3 ba0 . b . _TA3 ) 10434  #ITBA0_TA2 ba0 . b . _TA2 ) 10435  #ITBA0_TA1 ba0 . b . _TA1 ) 10436  #ITBA0_TA0 ba0 . b . _TA0 ) 10437 __IO_EXTERN __io ITBAH0STR gbah0 ; 10438  #ITBAH0 bah0 . by ) 10439  #ITBAH0_TA9 bah0 . b . _TA9 ) 10440  #ITBAH0_TA8 bah0 . b . _TA8 ) 10441 __IO_EXTERN __io ITBAL0STR gb0 ; 10442  #ITBAL0 b0 . by ) 10443  #ITBAL0_TA7 b0 . b . _TA7 ) 10444  #ITBAL0_TA6 b0 . b . _TA6 ) 10445  #ITBAL0_TA5 b0 . b . _TA5 ) 10446  #ITBAL0_TA4 b0 . b . _TA4 ) 10447  #ITBAL0_TA3 b0 . b . _TA3 ) 10448  #ITBAL0_TA2 b0 . b . _TA2 ) 10449  #ITBAL0_TA1 b0 . b . _TA1 ) 10450  #ITBAL0_TA0 b0 . b . _TA0 ) 10451 __IO_EXTERN __io ITMK0STR gmk0 ; 10452  #ITMK0 mk0 . wd ) 10453  #ITMK0_ENTB mk0 . b . _ENTB ) 10454  #ITMK0_RAL mk0 . b . _RAL ) 10455  #ITMK0_TM9 mk0 . b . _TM9 ) 10456  #ITMK0_TM8 mk0 . b . _TM8 ) 10457  #ITMK0_TM7 mk0 . b . _TM7 ) 10458  #ITMK0_TM6 mk0 . b . _TM6 ) 10459  #ITMK0_TM5 mk0 . b . _TM5 ) 10460  #ITMK0_TM4 mk0 . b . _TM4 ) 10461  #ITMK0_TM3 mk0 . b . _TM3 ) 10462  #ITMK0_TM2 mk0 . b . _TM2 ) 10463  #ITMK0_TM1 mk0 . b . _TM1 ) 10464  #ITMK0_TM0 mk0 . b . _TM0 ) 10465 __IO_EXTERN __io ITMKH0STR gmkh0 ; 10466  #ITMKH0 mkh0 . by ) 10467  #ITMKH0_ENTB mkh0 . b . _ENTB ) 10468  #ITMKH0_RAL mkh0 . b . _RAL ) 10469  #ITMKH0_TM9 mkh0 . b . _TM9 ) 10470  #ITMKH0_TM8 mkh0 . b . _TM8 ) 10471 __IO_EXTERN __io ITMKL0STR gmkl0 ; 10472  #ITMKL0 mkl0 . by ) 10473  #ITMKL0_TM7 mkl0 . b . _TM7 ) 10474  #ITMKL0_TM6 mkl0 . b . _TM6 ) 10475  #ITMKL0_TM5 mkl0 . b . _TM5 ) 10476  #ITMKL0_TM4 mkl0 . b . _TM4 ) 10477  #ITMKL0_TM3 mkl0 . b . _TM3 ) 10478  #ITMKL0_TM2 mkl0 . b . _TM2 ) 10479  #ITMKL0_TM1 mkl0 . b . _TM1 ) 10480  #ITMKL0_TM0 mkl0 . b . _TM0 ) 10481 __IO_EXTERN __io ISMK0STR gismk0 ; 10482  #ISMK0 ismk0 . by ) 10483  #ISMK0_ENSB ismk0 . b . _ENSB ) 10484  #ISMK0_SM6 ismk0 . b . _SM6 ) 10485  #ISMK0_SM5 ismk0 . b . _SM5 ) 10486  #ISMK0_SM4 ismk0 . b . _SM4 ) 10487  #ISMK0_SM3 ismk0 . b . _SM3 ) 10488  #ISMK0_SM2 ismk0 . b . _SM2 ) 10489  #ISMK0_SM1 ismk0 . b . _SM1 ) 10490  #ISMK0_SM0 ismk0 . b . _SM0 ) 10491 __IO_EXTERN __io ISBA0STR gisba0 ; 10492  #ISBA0 isba0 . by ) 10493  #ISBA0_SA6 isba0 . b . _SA6 ) 10494  #ISBA0_SA5 isba0 . b . _SA5 ) 10495  #ISBA0_SA4 isba0 . b . _SA4 ) 10496  #ISBA0_SA3 isba0 . b . _SA3 ) 10497  #ISBA0_SA2 isba0 . b . _SA2 ) 10498  #ISBA0_SA1 isba0 . b . _SA1 ) 10499  #ISBA0_SA0 isba0 . b . _SA0 ) 10500 __IO_EXTERN __io IDAR0STR gid0 ; 10501  #IDAR0 id0 . by ) 10502  #IDAR0_D7 id0 . b . _D7 ) 10503  #IDAR0_D6 id0 . b . _D6 ) 10504  #IDAR0_D5 id0 . b . _D5 ) 10505  #IDAR0_D4 id0 . b . _D4 ) 10506  #IDAR0_D3 id0 . b . _D3 ) 10507  #IDAR0_D2 id0 . b . _D2 ) 10508  #IDAR0_D1 id0 . b . _D1 ) 10509  #IDAR0_D0 id0 . b . _D0 ) 10510 __IO_EXTERN __io ICCR0STR gic0 ; 10511  #ICCR0 ic0 . by ) 10512  #ICCR0_NSF ic0 . b . _NSF ) 10513  #ICCR0_EN ic0 . b . _EN ) 10514  #ICCR0_CS4 ic0 . b . _CS4 ) 10515  #ICCR0_CS3 ic0 . b . _CS3 ) 10516  #ICCR0_CS2 ic0 . b . _CS2 ) 10517  #ICCR0_CS1 ic0 . b . _CS1 ) 10518  #ICCR0_CS0 ic0 . b . _CS0 ) 10519  #ICCR0_CS ic0 . bc . _CS ) 10520 __IO_EXTERN GCN10STR gg10 ; 10521  #GCN10 g10 . wd ) 10522  #GCN10_TSEL33 g10 . b . _TSEL33 ) 10523  #GCN10_TSEL32 g10 . b . _TSEL32 ) 10524  #GCN10_TSEL31 g10 . b . _TSEL31 ) 10525  #GCN10_TSEL30 g10 . b . _TSEL30 ) 10526  #GCN10_TSEL23 g10 . b . _TSEL23 ) 10527  #GCN10_TSEL22 g10 . b . _TSEL22 ) 10528  #GCN10_TSEL21 g10 . b . _TSEL21 ) 10529  #GCN10_TSEL20 g10 . b . _TSEL20 ) 10530  #GCN10_TSEL13 g10 . b . _TSEL13 ) 10531  #GCN10_TSEL12 g10 . b . _TSEL12 ) 10532  #GCN10_TSEL11 g10 . b . _TSEL11 ) 10533  #GCN10_TSEL10 g10 . b . _TSEL10 ) 10534  #GCN10_TSEL03 g10 . b . _TSEL03 ) 10535  #GCN10_TSEL02 g10 . b . _TSEL02 ) 10536  #GCN10_TSEL01 g10 . b . _TSEL01 ) 10537  #GCN10_TSEL00 g10 . b . _TSEL00 ) 10538 __IO_EXTERN GCN20STR gg20 ; 10539  #GCN20 g20 . by ) 10540  #GCN20_EN3 g20 . b . _EN3 ) 10541  #GCN20_EN2 g20 . b . _EN2 ) 10542  #GCN20_EN1 g20 . b . _EN1 ) 10543  #GCN20_EN0 g20 . b . _EN0 ) 10544 __IO_EXTERN GCN11STR gg11 ; 10545  #GCN11 g11 . wd ) 10546  #GCN11_TSEL33 g11 . b . _TSEL33 ) 10547  #GCN11_TSEL32 g11 . b . _TSEL32 ) 10548  #GCN11_TSEL31 g11 . b . _TSEL31 ) 10549  #GCN11_TSEL30 g11 . b . _TSEL30 ) 10550  #GCN11_TSEL23 g11 . b . _TSEL23 ) 10551  #GCN11_TSEL22 g11 . b . _TSEL22 ) 10552  #GCN11_TSEL21 g11 . b . _TSEL21 ) 10553  #GCN11_TSEL20 g11 . b . _TSEL20 ) 10554  #GCN11_TSEL13 g11 . b . _TSEL13 ) 10555  #GCN11_TSEL12 g11 . b . _TSEL12 ) 10556  #GCN11_TSEL11 g11 . b . _TSEL11 ) 10557  #GCN11_TSEL10 g11 . b . _TSEL10 ) 10558  #GCN11_TSEL03 g11 . b . _TSEL03 ) 10559  #GCN11_TSEL02 g11 . b . _TSEL02 ) 10560  #GCN11_TSEL01 g11 . b . _TSEL01 ) 10561  #GCN11_TSEL00 g11 . b . _TSEL00 ) 10562 __IO_EXTERN GCN21STR gg21 ; 10563  #GCN21 g21 . by ) 10564  #GCN21_EN3 g21 . b . _EN3 ) 10565  #GCN21_EN2 g21 . b . _EN2 ) 10566  #GCN21_EN1 g21 . b . _EN1 ) 10567  #GCN21_EN0 g21 . b . _EN0 ) 10568 __IO_EXTERN GCN12STR gg12 ; 10569  #GCN12 g12 . wd ) 10570  #GCN12_TSEL33 g12 . b . _TSEL33 ) 10571  #GCN12_TSEL32 g12 . b . _TSEL32 ) 10572  #GCN12_TSEL31 g12 . b . _TSEL31 ) 10573  #GCN12_TSEL30 g12 . b . _TSEL30 ) 10574  #GCN12_TSEL23 g12 . b . _TSEL23 ) 10575  #GCN12_TSEL22 g12 . b . _TSEL22 ) 10576  #GCN12_TSEL21 g12 . b . _TSEL21 ) 10577  #GCN12_TSEL20 g12 . b . _TSEL20 ) 10578  #GCN12_TSEL13 g12 . b . _TSEL13 ) 10579  #GCN12_TSEL12 g12 . b . _TSEL12 ) 10580  #GCN12_TSEL11 g12 . b . _TSEL11 ) 10581  #GCN12_TSEL10 g12 . b . _TSEL10 ) 10582  #GCN12_TSEL03 g12 . b . _TSEL03 ) 10583  #GCN12_TSEL02 g12 . b . _TSEL02 ) 10584  #GCN12_TSEL01 g12 . b . _TSEL01 ) 10585  #GCN12_TSEL00 g12 . b . _TSEL00 ) 10586 __IO_EXTERN GCN22STR gg22 ; 10587  #GCN22 g22 . by ) 10588  #GCN22_EN3 g22 . b . _EN3 ) 10589  #GCN22_EN2 g22 . b . _EN2 ) 10590  #GCN22_EN1 g22 . b . _EN1 ) 10591  #GCN22_EN0 g22 . b . _EN0 ) 10592 __IO_EXTERN IO_WORD gmr00 ; 10593  #PTMR00 mr00 ) 10594 __IO_EXTERN IO_WORD gpc00 ; 10595  #PCSR00 pc00 ) 10596 __IO_EXTERN IO_WORD gpdut00 ; 10597  #PDUT00 pdut00 ) 10598 __IO_EXTERN PCN00STR gp00 ; 10599  #PCN00 p00 . wd ) 10600  #PCN00_CNTE p00 . b . _CNTE ) 10601  #PCN00_STGR p00 . b . _STGR ) 10602  #PCN00_MDSE p00 . b . _MDSE ) 10603  #PCN00_RTRG p00 . b . _RTRG ) 10604  #PCN00_CKS1 p00 . b . _CKS1 ) 10605  #PCN00_CKS0 p00 . b . _CKS0 ) 10606  #PCN00_PGMS p00 . b . _PGMS ) 10607  #PCN00_EGS1 p00 . b . _EGS1 ) 10608  #PCN00_EGS0 p00 . b . _EGS0 ) 10609  #PCN00_IREN p00 . b . _IREN ) 10610  #PCN00_IRQF p00 . b . _IRQF ) 10611  #PCN00_IRS1 p00 . b . _IRS1 ) 10612  #PCN00_IRS0 p00 . b . _IRS0 ) 10613  #PCN00_OSEL p00 . b . _OSEL ) 10614  #PCN00_CKS p00 . bc . _CKS ) 10615  #PCN00_EGS p00 . bc . _EGS ) 10616  #PCN00_IRS p00 . bc . _IRS ) 10617 __IO_EXTERN PCNH00STR gph00 ; 10618  #PCNH00 ph00 . by ) 10619  #PCNH00_CNTE ph00 . b . _CNTE ) 10620  #PCNH00_STGR ph00 . b . _STGR ) 10621  #PCNH00_MDSE ph00 . b . _MDSE ) 10622  #PCNH00_RTRG ph00 . b . _RTRG ) 10623  #PCNH00_CKS1 ph00 . b . _CKS1 ) 10624  #PCNH00_CKS0 ph00 . b . _CKS0 ) 10625  #PCNH00_PGMS ph00 . b . _PGMS ) 10626  #PCNH00_CKS ph00 . bc . _CKS ) 10627 __IO_EXTERN PCNL00STR gpl00 ; 10628  #PCNL00 pl00 . by ) 10629  #PCNL00_EGS1 pl00 . b . _EGS1 ) 10630  #PCNL00_EGS0 pl00 . b . _EGS0 ) 10631  #PCNL00_IREN pl00 . b . _IREN ) 10632  #PCNL00_IRQF pl00 . b . _IRQF ) 10633  #PCNL00_IRS1 pl00 . b . _IRS1 ) 10634  #PCNL00_IRS0 pl00 . b . _IRS0 ) 10635  #PCNL00_OSEL pl00 . b . _OSEL ) 10636  #PCNL00_EGS pl00 . bc . _EGS ) 10637  #PCNL00_IRS pl00 . bc . _IRS ) 10638 __IO_EXTERN IO_WORD gmr01 ; 10639  #PTMR01 mr01 ) 10640 __IO_EXTERN IO_WORD gpc01 ; 10641  #PCSR01 pc01 ) 10642 __IO_EXTERN IO_WORD gpdut01 ; 10643  #PDUT01 pdut01 ) 10644 __IO_EXTERN PCN01STR gp01 ; 10645  #PCN01 p01 . wd ) 10646  #PCN01_CNTE p01 . b . _CNTE ) 10647  #PCN01_STGR p01 . b . _STGR ) 10648  #PCN01_MDSE p01 . b . _MDSE ) 10649  #PCN01_RTRG p01 . b . _RTRG ) 10650  #PCN01_CKS1 p01 . b . _CKS1 ) 10651  #PCN01_CKS0 p01 . b . _CKS0 ) 10652  #PCN01_PGMS p01 . b . _PGMS ) 10653  #PCN01_EGS1 p01 . b . _EGS1 ) 10654  #PCN01_EGS0 p01 . b . _EGS0 ) 10655  #PCN01_IREN p01 . b . _IREN ) 10656  #PCN01_IRQF p01 . b . _IRQF ) 10657  #PCN01_IRS1 p01 . b . _IRS1 ) 10658  #PCN01_IRS0 p01 . b . _IRS0 ) 10659  #PCN01_OSEL p01 . b . _OSEL ) 10660  #PCN01_CKS p01 . bc . _CKS ) 10661  #PCN01_EGS p01 . bc . _EGS ) 10662  #PCN01_IRS p01 . bc . _IRS ) 10663 __IO_EXTERN PCNH01STR gph01 ; 10664  #PCNH01 ph01 . by ) 10665  #PCNH01_CNTE ph01 . b . _CNTE ) 10666  #PCNH01_STGR ph01 . b . _STGR ) 10667  #PCNH01_MDSE ph01 . b . _MDSE ) 10668  #PCNH01_RTRG ph01 . b . _RTRG ) 10669  #PCNH01_CKS1 ph01 . b . _CKS1 ) 10670  #PCNH01_CKS0 ph01 . b . _CKS0 ) 10671  #PCNH01_PGMS ph01 . b . _PGMS ) 10672  #PCNH01_CKS ph01 . bc . _CKS ) 10673 __IO_EXTERN PCNL01STR gpl01 ; 10674  #PCNL01 pl01 . by ) 10675  #PCNL01_EGS1 pl01 . b . _EGS1 ) 10676  #PCNL01_EGS0 pl01 . b . _EGS0 ) 10677  #PCNL01_IREN pl01 . b . _IREN ) 10678  #PCNL01_IRQF pl01 . b . _IRQF ) 10679  #PCNL01_IRS1 pl01 . b . _IRS1 ) 10680  #PCNL01_IRS0 pl01 . b . _IRS0 ) 10681  #PCNL01_OSEL pl01 . b . _OSEL ) 10682  #PCNL01_EGS pl01 . bc . _EGS ) 10683  #PCNL01_IRS pl01 . bc . _IRS ) 10684 __IO_EXTERN IO_WORD gmr02 ; 10685  #PTMR02 mr02 ) 10686 __IO_EXTERN IO_WORD gpc02 ; 10687  #PCSR02 pc02 ) 10688 __IO_EXTERN IO_WORD gpdut02 ; 10689  #PDUT02 pdut02 ) 10690 __IO_EXTERN PCN02STR gp02 ; 10691  #PCN02 p02 . wd ) 10692  #PCN02_CNTE p02 . b . _CNTE ) 10693  #PCN02_STGR p02 . b . _STGR ) 10694  #PCN02_MDSE p02 . b . _MDSE ) 10695  #PCN02_RTRG p02 . b . _RTRG ) 10696  #PCN02_CKS1 p02 . b . _CKS1 ) 10697  #PCN02_CKS0 p02 . b . _CKS0 ) 10698  #PCN02_PGMS p02 . b . _PGMS ) 10699  #PCN02_EGS1 p02 . b . _EGS1 ) 10700  #PCN02_EGS0 p02 . b . _EGS0 ) 10701  #PCN02_IREN p02 . b . _IREN ) 10702  #PCN02_IRQF p02 . b . _IRQF ) 10703  #PCN02_IRS1 p02 . b . _IRS1 ) 10704  #PCN02_IRS0 p02 . b . _IRS0 ) 10705  #PCN02_OSEL p02 . b . _OSEL ) 10706  #PCN02_CKS p02 . bc . _CKS ) 10707  #PCN02_EGS p02 . bc . _EGS ) 10708  #PCN02_IRS p02 . bc . _IRS ) 10709 __IO_EXTERN PCNH02STR gph02 ; 10710  #PCNH02 ph02 . by ) 10711  #PCNH02_CNTE ph02 . b . _CNTE ) 10712  #PCNH02_STGR ph02 . b . _STGR ) 10713  #PCNH02_MDSE ph02 . b . _MDSE ) 10714  #PCNH02_RTRG ph02 . b . _RTRG ) 10715  #PCNH02_CKS1 ph02 . b . _CKS1 ) 10716  #PCNH02_CKS0 ph02 . b . _CKS0 ) 10717  #PCNH02_PGMS ph02 . b . _PGMS ) 10718  #PCNH02_CKS ph02 . bc . _CKS ) 10719 __IO_EXTERN PCNL02STR gpl02 ; 10720  #PCNL02 pl02 . by ) 10721  #PCNL02_EGS1 pl02 . b . _EGS1 ) 10722  #PCNL02_EGS0 pl02 . b . _EGS0 ) 10723  #PCNL02_IREN pl02 . b . _IREN ) 10724  #PCNL02_IRQF pl02 . b . _IRQF ) 10725  #PCNL02_IRS1 pl02 . b . _IRS1 ) 10726  #PCNL02_IRS0 pl02 . b . _IRS0 ) 10727  #PCNL02_OSEL pl02 . b . _OSEL ) 10728  #PCNL02_EGS pl02 . bc . _EGS ) 10729  #PCNL02_IRS pl02 . bc . _IRS ) 10730 __IO_EXTERN IO_WORD gmr03 ; 10731  #PTMR03 mr03 ) 10732 __IO_EXTERN IO_WORD gpc03 ; 10733  #PCSR03 pc03 ) 10734 __IO_EXTERN IO_WORD gpdut03 ; 10735  #PDUT03 pdut03 ) 10736 __IO_EXTERN PCN03STR gp03 ; 10737  #PCN03 p03 . wd ) 10738  #PCN03_CNTE p03 . b . _CNTE ) 10739  #PCN03_STGR p03 . b . _STGR ) 10740  #PCN03_MDSE p03 . b . _MDSE ) 10741  #PCN03_RTRG p03 . b . _RTRG ) 10742  #PCN03_CKS1 p03 . b . _CKS1 ) 10743  #PCN03_CKS0 p03 . b . _CKS0 ) 10744  #PCN03_PGMS p03 . b . _PGMS ) 10745  #PCN03_EGS1 p03 . b . _EGS1 ) 10746  #PCN03_EGS0 p03 . b . _EGS0 ) 10747  #PCN03_IREN p03 . b . _IREN ) 10748  #PCN03_IRQF p03 . b . _IRQF ) 10749  #PCN03_IRS1 p03 . b . _IRS1 ) 10750  #PCN03_IRS0 p03 . b . _IRS0 ) 10751  #PCN03_OSEL p03 . b . _OSEL ) 10752  #PCN03_CKS p03 . bc . _CKS ) 10753  #PCN03_EGS p03 . bc . _EGS ) 10754  #PCN03_IRS p03 . bc . _IRS ) 10755 __IO_EXTERN PCNH03STR gph03 ; 10756  #PCNH03 ph03 . by ) 10757  #PCNH03_CNTE ph03 . b . _CNTE ) 10758  #PCNH03_STGR ph03 . b . _STGR ) 10759  #PCNH03_MDSE ph03 . b . _MDSE ) 10760  #PCNH03_RTRG ph03 . b . _RTRG ) 10761  #PCNH03_CKS1 ph03 . b . _CKS1 ) 10762  #PCNH03_CKS0 ph03 . b . _CKS0 ) 10763  #PCNH03_PGMS ph03 . b . _PGMS ) 10764  #PCNH03_CKS ph03 . bc . _CKS ) 10765 __IO_EXTERN PCNL03STR gpl03 ; 10766  #PCNL03 pl03 . by ) 10767  #PCNL03_EGS1 pl03 . b . _EGS1 ) 10768  #PCNL03_EGS0 pl03 . b . _EGS0 ) 10769  #PCNL03_IREN pl03 . b . _IREN ) 10770  #PCNL03_IRQF pl03 . b . _IRQF ) 10771  #PCNL03_IRS1 pl03 . b . _IRS1 ) 10772  #PCNL03_IRS0 pl03 . b . _IRS0 ) 10773  #PCNL03_OSEL pl03 . b . _OSEL ) 10774  #PCNL03_EGS pl03 . bc . _EGS ) 10775  #PCNL03_IRS pl03 . bc . _IRS ) 10776 __IO_EXTERN IO_WORD gmr04 ; 10777  #PTMR04 mr04 ) 10778 __IO_EXTERN IO_WORD gpc04 ; 10779  #PCSR04 pc04 ) 10780 __IO_EXTERN IO_WORD gpdut04 ; 10781  #PDUT04 pdut04 ) 10782 __IO_EXTERN PCN04STR gp04 ; 10783  #PCN04 p04 . wd ) 10784  #PCN04_CNTE p04 . b . _CNTE ) 10785  #PCN04_STGR p04 . b . _STGR ) 10786  #PCN04_MDSE p04 . b . _MDSE ) 10787  #PCN04_RTRG p04 . b . _RTRG ) 10788  #PCN04_CKS1 p04 . b . _CKS1 ) 10789  #PCN04_CKS0 p04 . b . _CKS0 ) 10790  #PCN04_PGMS p04 . b . _PGMS ) 10791  #PCN04_EGS1 p04 . b . _EGS1 ) 10792  #PCN04_EGS0 p04 . b . _EGS0 ) 10793  #PCN04_IREN p04 . b . _IREN ) 10794  #PCN04_IRQF p04 . b . _IRQF ) 10795  #PCN04_IRS1 p04 . b . _IRS1 ) 10796  #PCN04_IRS0 p04 . b . _IRS0 ) 10797  #PCN04_OSEL p04 . b . _OSEL ) 10798  #PCN04_CKS p04 . bc . _CKS ) 10799  #PCN04_EGS p04 . bc . _EGS ) 10800  #PCN04_IRS p04 . bc . _IRS ) 10801 __IO_EXTERN PCNH04STR gph04 ; 10802  #PCNH04 ph04 . by ) 10803  #PCNH04_CNTE ph04 . b . _CNTE ) 10804  #PCNH04_STGR ph04 . b . _STGR ) 10805  #PCNH04_MDSE ph04 . b . _MDSE ) 10806  #PCNH04_RTRG ph04 . b . _RTRG ) 10807  #PCNH04_CKS1 ph04 . b . _CKS1 ) 10808  #PCNH04_CKS0 ph04 . b . _CKS0 ) 10809  #PCNH04_PGMS ph04 . b . _PGMS ) 10810  #PCNH04_CKS ph04 . bc . _CKS ) 10811 __IO_EXTERN PCNL04STR gpl04 ; 10812  #PCNL04 pl04 . by ) 10813  #PCNL04_EGS1 pl04 . b . _EGS1 ) 10814  #PCNL04_EGS0 pl04 . b . _EGS0 ) 10815  #PCNL04_IREN pl04 . b . _IREN ) 10816  #PCNL04_IRQF pl04 . b . _IRQF ) 10817  #PCNL04_IRS1 pl04 . b . _IRS1 ) 10818  #PCNL04_IRS0 pl04 . b . _IRS0 ) 10819  #PCNL04_OSEL pl04 . b . _OSEL ) 10820  #PCNL04_EGS pl04 . bc . _EGS ) 10821  #PCNL04_IRS pl04 . bc . _IRS ) 10822 __IO_EXTERN IO_WORD gmr05 ; 10823  #PTMR05 mr05 ) 10824 __IO_EXTERN IO_WORD gpc05 ; 10825  #PCSR05 pc05 ) 10826 __IO_EXTERN IO_WORD gpdut05 ; 10827  #PDUT05 pdut05 ) 10828 __IO_EXTERN PCN05STR gp05 ; 10829  #PCN05 p05 . wd ) 10830  #PCN05_CNTE p05 . b . _CNTE ) 10831  #PCN05_STGR p05 . b . _STGR ) 10832  #PCN05_MDSE p05 . b . _MDSE ) 10833  #PCN05_RTRG p05 . b . _RTRG ) 10834  #PCN05_CKS1 p05 . b . _CKS1 ) 10835  #PCN05_CKS0 p05 . b . _CKS0 ) 10836  #PCN05_PGMS p05 . b . _PGMS ) 10837  #PCN05_EGS1 p05 . b . _EGS1 ) 10838  #PCN05_EGS0 p05 . b . _EGS0 ) 10839  #PCN05_IREN p05 . b . _IREN ) 10840  #PCN05_IRQF p05 . b . _IRQF ) 10841  #PCN05_IRS1 p05 . b . _IRS1 ) 10842  #PCN05_IRS0 p05 . b . _IRS0 ) 10843  #PCN05_OSEL p05 . b . _OSEL ) 10844  #PCN05_CKS p05 . bc . _CKS ) 10845  #PCN05_EGS p05 . bc . _EGS ) 10846  #PCN05_IRS p05 . bc . _IRS ) 10847 __IO_EXTERN PCNH05STR gph05 ; 10848  #PCNH05 ph05 . by ) 10849  #PCNH05_CNTE ph05 . b . _CNTE ) 10850  #PCNH05_STGR ph05 . b . _STGR ) 10851  #PCNH05_MDSE ph05 . b . _MDSE ) 10852  #PCNH05_RTRG ph05 . b . _RTRG ) 10853  #PCNH05_CKS1 ph05 . b . _CKS1 ) 10854  #PCNH05_CKS0 ph05 . b . _CKS0 ) 10855  #PCNH05_PGMS ph05 . b . _PGMS ) 10856  #PCNH05_CKS ph05 . bc . _CKS ) 10857 __IO_EXTERN PCNL05STR gpl05 ; 10858  #PCNL05 pl05 . by ) 10859  #PCNL05_EGS1 pl05 . b . _EGS1 ) 10860  #PCNL05_EGS0 pl05 . b . _EGS0 ) 10861  #PCNL05_IREN pl05 . b . _IREN ) 10862  #PCNL05_IRQF pl05 . b . _IRQF ) 10863  #PCNL05_IRS1 pl05 . b . _IRS1 ) 10864  #PCNL05_IRS0 pl05 . b . _IRS0 ) 10865  #PCNL05_OSEL pl05 . b . _OSEL ) 10866  #PCNL05_EGS pl05 . bc . _EGS ) 10867  #PCNL05_IRS pl05 . bc . _IRS ) 10868 __IO_EXTERN IO_WORD gmr06 ; 10869  #PTMR06 mr06 ) 10870 __IO_EXTERN IO_WORD gpc06 ; 10871  #PCSR06 pc06 ) 10872 __IO_EXTERN IO_WORD gpdut06 ; 10873  #PDUT06 pdut06 ) 10874 __IO_EXTERN PCN06STR gp06 ; 10875  #PCN06 p06 . wd ) 10876  #PCN06_CNTE p06 . b . _CNTE ) 10877  #PCN06_STGR p06 . b . _STGR ) 10878  #PCN06_MDSE p06 . b . _MDSE ) 10879  #PCN06_RTRG p06 . b . _RTRG ) 10880  #PCN06_CKS1 p06 . b . _CKS1 ) 10881  #PCN06_CKS0 p06 . b . _CKS0 ) 10882  #PCN06_PGMS p06 . b . _PGMS ) 10883  #PCN06_EGS1 p06 . b . _EGS1 ) 10884  #PCN06_EGS0 p06 . b . _EGS0 ) 10885  #PCN06_IREN p06 . b . _IREN ) 10886  #PCN06_IRQF p06 . b . _IRQF ) 10887  #PCN06_IRS1 p06 . b . _IRS1 ) 10888  #PCN06_IRS0 p06 . b . _IRS0 ) 10889  #PCN06_OSEL p06 . b . _OSEL ) 10890  #PCN06_CKS p06 . bc . _CKS ) 10891  #PCN06_EGS p06 . bc . _EGS ) 10892  #PCN06_IRS p06 . bc . _IRS ) 10893 __IO_EXTERN PCNH06STR gph06 ; 10894  #PCNH06 ph06 . by ) 10895  #PCNH06_CNTE ph06 . b . _CNTE ) 10896  #PCNH06_STGR ph06 . b . _STGR ) 10897  #PCNH06_MDSE ph06 . b . _MDSE ) 10898  #PCNH06_RTRG ph06 . b . _RTRG ) 10899  #PCNH06_CKS1 ph06 . b . _CKS1 ) 10900  #PCNH06_CKS0 ph06 . b . _CKS0 ) 10901  #PCNH06_PGMS ph06 . b . _PGMS ) 10902  #PCNH06_CKS ph06 . bc . _CKS ) 10903 __IO_EXTERN PCNL06STR gpl06 ; 10904  #PCNL06 pl06 . by ) 10905  #PCNL06_EGS1 pl06 . b . _EGS1 ) 10906  #PCNL06_EGS0 pl06 . b . _EGS0 ) 10907  #PCNL06_IREN pl06 . b . _IREN ) 10908  #PCNL06_IRQF pl06 . b . _IRQF ) 10909  #PCNL06_IRS1 pl06 . b . _IRS1 ) 10910  #PCNL06_IRS0 pl06 . b . _IRS0 ) 10911  #PCNL06_OSEL pl06 . b . _OSEL ) 10912  #PCNL06_EGS pl06 . bc . _EGS ) 10913  #PCNL06_IRS pl06 . bc . _IRS ) 10914 __IO_EXTERN IO_WORD gmr07 ; 10915  #PTMR07 mr07 ) 10916 __IO_EXTERN IO_WORD gpc07 ; 10917  #PCSR07 pc07 ) 10918 __IO_EXTERN IO_WORD gpdut07 ; 10919  #PDUT07 pdut07 ) 10920 __IO_EXTERN PCN07STR gp07 ; 10921  #PCN07 p07 . wd ) 10922  #PCN07_CNTE p07 . b . _CNTE ) 10923  #PCN07_STGR p07 . b . _STGR ) 10924  #PCN07_MDSE p07 . b . _MDSE ) 10925  #PCN07_RTRG p07 . b . _RTRG ) 10926  #PCN07_CKS1 p07 . b . _CKS1 ) 10927  #PCN07_CKS0 p07 . b . _CKS0 ) 10928  #PCN07_PGMS p07 . b . _PGMS ) 10929  #PCN07_EGS1 p07 . b . _EGS1 ) 10930  #PCN07_EGS0 p07 . b . _EGS0 ) 10931  #PCN07_IREN p07 . b . _IREN ) 10932  #PCN07_IRQF p07 . b . _IRQF ) 10933  #PCN07_IRS1 p07 . b . _IRS1 ) 10934  #PCN07_IRS0 p07 . b . _IRS0 ) 10935  #PCN07_OSEL p07 . b . _OSEL ) 10936  #PCN07_CKS p07 . bc . _CKS ) 10937  #PCN07_EGS p07 . bc . _EGS ) 10938  #PCN07_IRS p07 . bc . _IRS ) 10939 __IO_EXTERN PCNH07STR gph07 ; 10940  #PCNH07 ph07 . by ) 10941  #PCNH07_CNTE ph07 . b . _CNTE ) 10942  #PCNH07_STGR ph07 . b . _STGR ) 10943  #PCNH07_MDSE ph07 . b . _MDSE ) 10944  #PCNH07_RTRG ph07 . b . _RTRG ) 10945  #PCNH07_CKS1 ph07 . b . _CKS1 ) 10946  #PCNH07_CKS0 ph07 . b . _CKS0 ) 10947  #PCNH07_PGMS ph07 . b . _PGMS ) 10948  #PCNH07_CKS ph07 . bc . _CKS ) 10949 __IO_EXTERN PCNL07STR gpl07 ; 10950  #PCNL07 pl07 . by ) 10951  #PCNL07_EGS1 pl07 . b . _EGS1 ) 10952  #PCNL07_EGS0 pl07 . b . _EGS0 ) 10953  #PCNL07_IREN pl07 . b . _IREN ) 10954  #PCNL07_IRQF pl07 . b . _IRQF ) 10955  #PCNL07_IRS1 pl07 . b . _IRS1 ) 10956  #PCNL07_IRS0 pl07 . b . _IRS0 ) 10957  #PCNL07_OSEL pl07 . b . _OSEL ) 10958  #PCNL07_EGS pl07 . bc . _EGS ) 10959  #PCNL07_IRS pl07 . bc . _IRS ) 10960 __IO_EXTERN IO_WORD gmr08 ; 10961  #PTMR08 mr08 ) 10962 __IO_EXTERN IO_WORD gpc08 ; 10963  #PCSR08 pc08 ) 10964 __IO_EXTERN IO_WORD gpdut08 ; 10965  #PDUT08 pdut08 ) 10966 __IO_EXTERN PCN08STR gp08 ; 10967  #PCN08 p08 . wd ) 10968  #PCN08_CNTE p08 . b . _CNTE ) 10969  #PCN08_STGR p08 . b . _STGR ) 10970  #PCN08_MDSE p08 . b . _MDSE ) 10971  #PCN08_RTRG p08 . b . _RTRG ) 10972  #PCN08_CKS1 p08 . b . _CKS1 ) 10973  #PCN08_CKS0 p08 . b . _CKS0 ) 10974  #PCN08_PGMS p08 . b . _PGMS ) 10975  #PCN08_EGS1 p08 . b . _EGS1 ) 10976  #PCN08_EGS0 p08 . b . _EGS0 ) 10977  #PCN08_IREN p08 . b . _IREN ) 10978  #PCN08_IRQF p08 . b . _IRQF ) 10979  #PCN08_IRS1 p08 . b . _IRS1 ) 10980  #PCN08_IRS0 p08 . b . _IRS0 ) 10981  #PCN08_OSEL p08 . b . _OSEL ) 10982  #PCN08_CKS p08 . bc . _CKS ) 10983  #PCN08_EGS p08 . bc . _EGS ) 10984  #PCN08_IRS p08 . bc . _IRS ) 10985 __IO_EXTERN PCNH08STR gph08 ; 10986  #PCNH08 ph08 . by ) 10987  #PCNH08_CNTE ph08 . b . _CNTE ) 10988  #PCNH08_STGR ph08 . b . _STGR ) 10989  #PCNH08_MDSE ph08 . b . _MDSE ) 10990  #PCNH08_RTRG ph08 . b . _RTRG ) 10991  #PCNH08_CKS1 ph08 . b . _CKS1 ) 10992  #PCNH08_CKS0 ph08 . b . _CKS0 ) 10993  #PCNH08_PGMS ph08 . b . _PGMS ) 10994  #PCNH08_CKS ph08 . bc . _CKS ) 10995 __IO_EXTERN PCNL08STR gpl08 ; 10996  #PCNL08 pl08 . by ) 10997  #PCNL08_EGS1 pl08 . b . _EGS1 ) 10998  #PCNL08_EGS0 pl08 . b . _EGS0 ) 10999  #PCNL08_IREN pl08 . b . _IREN ) 11000  #PCNL08_IRQF pl08 . b . _IRQF ) 11001  #PCNL08_IRS1 pl08 . b . _IRS1 ) 11002  #PCNL08_IRS0 pl08 . b . _IRS0 ) 11003  #PCNL08_OSEL pl08 . b . _OSEL ) 11004  #PCNL08_EGS pl08 . bc . _EGS ) 11005  #PCNL08_IRS pl08 . bc . _IRS ) 11006 __IO_EXTERN IO_WORD gmr09 ; 11007  #PTMR09 mr09 ) 11008 __IO_EXTERN IO_WORD gpc09 ; 11009  #PCSR09 pc09 ) 11010 __IO_EXTERN IO_WORD gpdut09 ; 11011  #PDUT09 pdut09 ) 11012 __IO_EXTERN PCN09STR gp09 ; 11013  #PCN09 p09 . wd ) 11014  #PCN09_CNTE p09 . b . _CNTE ) 11015  #PCN09_STGR p09 . b . _STGR ) 11016  #PCN09_MDSE p09 . b . _MDSE ) 11017  #PCN09_RTRG p09 . b . _RTRG ) 11018  #PCN09_CKS1 p09 . b . _CKS1 ) 11019  #PCN09_CKS0 p09 . b . _CKS0 ) 11020  #PCN09_PGMS p09 . b . _PGMS ) 11021  #PCN09_EGS1 p09 . b . _EGS1 ) 11022  #PCN09_EGS0 p09 . b . _EGS0 ) 11023  #PCN09_IREN p09 . b . _IREN ) 11024  #PCN09_IRQF p09 . b . _IRQF ) 11025  #PCN09_IRS1 p09 . b . _IRS1 ) 11026  #PCN09_IRS0 p09 . b . _IRS0 ) 11027  #PCN09_OSEL p09 . b . _OSEL ) 11028  #PCN09_CKS p09 . bc . _CKS ) 11029  #PCN09_EGS p09 . bc . _EGS ) 11030  #PCN09_IRS p09 . bc . _IRS ) 11031 __IO_EXTERN PCNH09STR gph09 ; 11032  #PCNH09 ph09 . by ) 11033  #PCNH09_CNTE ph09 . b . _CNTE ) 11034  #PCNH09_STGR ph09 . b . _STGR ) 11035  #PCNH09_MDSE ph09 . b . _MDSE ) 11036  #PCNH09_RTRG ph09 . b . _RTRG ) 11037  #PCNH09_CKS1 ph09 . b . _CKS1 ) 11038  #PCNH09_CKS0 ph09 . b . _CKS0 ) 11039  #PCNH09_PGMS ph09 . b . _PGMS ) 11040  #PCNH09_CKS ph09 . bc . _CKS ) 11041 __IO_EXTERN PCNL09STR gpl09 ; 11042  #PCNL09 pl09 . by ) 11043  #PCNL09_EGS1 pl09 . b . _EGS1 ) 11044  #PCNL09_EGS0 pl09 . b . _EGS0 ) 11045  #PCNL09_IREN pl09 . b . _IREN ) 11046  #PCNL09_IRQF pl09 . b . _IRQF ) 11047  #PCNL09_IRS1 pl09 . b . _IRS1 ) 11048  #PCNL09_IRS0 pl09 . b . _IRS0 ) 11049  #PCNL09_OSEL pl09 . b . _OSEL ) 11050  #PCNL09_EGS pl09 . bc . _EGS ) 11051  #PCNL09_IRS pl09 . bc . _IRS ) 11052 __IO_EXTERN IO_WORD gmr10 ; 11053  #PTMR10 mr10 ) 11054 __IO_EXTERN IO_WORD gpc10 ; 11055  #PCSR10 pc10 ) 11056 __IO_EXTERN IO_WORD gpdut10 ; 11057  #PDUT10 pdut10 ) 11058 __IO_EXTERN PCN10STR gp10 ; 11059  #PCN10 p10 . wd ) 11060  #PCN10_CNTE p10 . b . _CNTE ) 11061  #PCN10_STGR p10 . b . _STGR ) 11062  #PCN10_MDSE p10 . b . _MDSE ) 11063  #PCN10_RTRG p10 . b . _RTRG ) 11064  #PCN10_CKS1 p10 . b . _CKS1 ) 11065  #PCN10_CKS0 p10 . b . _CKS0 ) 11066  #PCN10_PGMS p10 . b . _PGMS ) 11067  #PCN10_EGS1 p10 . b . _EGS1 ) 11068  #PCN10_EGS0 p10 . b . _EGS0 ) 11069  #PCN10_IREN p10 . b . _IREN ) 11070  #PCN10_IRQF p10 . b . _IRQF ) 11071  #PCN10_IRS1 p10 . b . _IRS1 ) 11072  #PCN10_IRS0 p10 . b . _IRS0 ) 11073  #PCN10_OSEL p10 . b . _OSEL ) 11074  #PCN10_CKS p10 . bc . _CKS ) 11075  #PCN10_EGS p10 . bc . _EGS ) 11076  #PCN10_IRS p10 . bc . _IRS ) 11077 __IO_EXTERN PCNH10STR gph10 ; 11078  #PCNH10 ph10 . by ) 11079  #PCNH10_CNTE ph10 . b . _CNTE ) 11080  #PCNH10_STGR ph10 . b . _STGR ) 11081  #PCNH10_MDSE ph10 . b . _MDSE ) 11082  #PCNH10_RTRG ph10 . b . _RTRG ) 11083  #PCNH10_CKS1 ph10 . b . _CKS1 ) 11084  #PCNH10_CKS0 ph10 . b . _CKS0 ) 11085  #PCNH10_PGMS ph10 . b . _PGMS ) 11086  #PCNH10_CKS ph10 . bc . _CKS ) 11087 __IO_EXTERN PCNL10STR gpl10 ; 11088  #PCNL10 pl10 . by ) 11089  #PCNL10_EGS1 pl10 . b . _EGS1 ) 11090  #PCNL10_EGS0 pl10 . b . _EGS0 ) 11091  #PCNL10_IREN pl10 . b . _IREN ) 11092  #PCNL10_IRQF pl10 . b . _IRQF ) 11093  #PCNL10_IRS1 pl10 . b . _IRS1 ) 11094  #PCNL10_IRS0 pl10 . b . _IRS0 ) 11095  #PCNL10_OSEL pl10 . b . _OSEL ) 11096  #PCNL10_EGS pl10 . bc . _EGS ) 11097  #PCNL10_IRS pl10 . bc . _IRS ) 11098 __IO_EXTERN IO_WORD gmr11 ; 11099  #PTMR11 mr11 ) 11100 __IO_EXTERN IO_WORD gpc11 ; 11101  #PCSR11 pc11 ) 11102 __IO_EXTERN IO_WORD gpdut11 ; 11103  #PDUT11 pdut11 ) 11104 __IO_EXTERN PCN11STR gp11 ; 11105  #PCN11 p11 . wd ) 11106  #PCN11_CNTE p11 . b . _CNTE ) 11107  #PCN11_STGR p11 . b . _STGR ) 11108  #PCN11_MDSE p11 . b . _MDSE ) 11109  #PCN11_RTRG p11 . b . _RTRG ) 11110  #PCN11_CKS1 p11 . b . _CKS1 ) 11111  #PCN11_CKS0 p11 . b . _CKS0 ) 11112  #PCN11_PGMS p11 . b . _PGMS ) 11113  #PCN11_EGS1 p11 . b . _EGS1 ) 11114  #PCN11_EGS0 p11 . b . _EGS0 ) 11115  #PCN11_IREN p11 . b . _IREN ) 11116  #PCN11_IRQF p11 . b . _IRQF ) 11117  #PCN11_IRS1 p11 . b . _IRS1 ) 11118  #PCN11_IRS0 p11 . b . _IRS0 ) 11119  #PCN11_OSEL p11 . b . _OSEL ) 11120  #PCN11_CKS p11 . bc . _CKS ) 11121  #PCN11_EGS p11 . bc . _EGS ) 11122  #PCN11_IRS p11 . bc . _IRS ) 11123 __IO_EXTERN PCNH11STR gph11 ; 11124  #PCNH11 ph11 . by ) 11125  #PCNH11_CNTE ph11 . b . _CNTE ) 11126  #PCNH11_STGR ph11 . b . _STGR ) 11127  #PCNH11_MDSE ph11 . b . _MDSE ) 11128  #PCNH11_RTRG ph11 . b . _RTRG ) 11129  #PCNH11_CKS1 ph11 . b . _CKS1 ) 11130  #PCNH11_CKS0 ph11 . b . _CKS0 ) 11131  #PCNH11_PGMS ph11 . b . _PGMS ) 11132  #PCNH11_CKS ph11 . bc . _CKS ) 11133 __IO_EXTERN PCNL11STR gpl11 ; 11134  #PCNL11 pl11 . by ) 11135  #PCNL11_EGS1 pl11 . b . _EGS1 ) 11136  #PCNL11_EGS0 pl11 . b . _EGS0 ) 11137  #PCNL11_IREN pl11 . b . _IREN ) 11138  #PCNL11_IRQF pl11 . b . _IRQF ) 11139  #PCNL11_IRS1 pl11 . b . _IRS1 ) 11140  #PCNL11_IRS0 pl11 . b . _IRS0 ) 11141  #PCNL11_OSEL pl11 . b . _OSEL ) 11142  #PCNL11_EGS pl11 . bc . _EGS ) 11143  #PCNL11_IRS pl11 . bc . _IRS ) 11144 __IO_EXTERN ICS01STR gics01 ; 11145  #ICS01 ics01 . by ) 11146  #ICS01_ICP1 ics01 . b . _ICP1 ) 11147  #ICS01_ICP0 ics01 . b . _ICP0 ) 11148  #ICS01_ICE1 ics01 . b . _ICE1 ) 11149  #ICS01_ICE0 ics01 . b . _ICE0 ) 11150  #ICS01_EG11 ics01 . b . _EG11 ) 11151  #ICS01_EG10 ics01 . b . _EG10 ) 11152  #ICS01_EG01 ics01 . b . _EG01 ) 11153  #ICS01_EG00 ics01 . b . _EG00 ) 11154  #ICS01_EG1 ics01 . bc . _EG1 ) 11155  #ICS01_EG0 ics01 . bc . _EG0 ) 11156 __IO_EXTERN ICS23STR gics23 ; 11157  #ICS23 ics23 . by ) 11158  #ICS23_ICP3 ics23 . b . _ICP3 ) 11159  #ICS23_ICP2 ics23 . b . _ICP2 ) 11160  #ICS23_ICE3 ics23 . b . _ICE3 ) 11161  #ICS23_ICE2 ics23 . b . _ICE2 ) 11162  #ICS23_EG31 ics23 . b . _EG31 ) 11163  #ICS23_EG30 ics23 . b . _EG30 ) 11164  #ICS23_EG21 ics23 . b . _EG21 ) 11165  #ICS23_EG20 ics23 . b . _EG20 ) 11166  #ICS23_EG3 ics23 . bc . _EG3 ) 11167  #ICS23_EG2 ics23 . bc . _EG2 ) 11168 __IO_EXTERN IPCP0STR g0 ; 11169  #IPCP0 0 . wd ) 11170  #IPCP0_CP15 0 . b . _CP15 ) 11171  #IPCP0_CP14 0 . b . _CP14 ) 11172  #IPCP0_CP13 0 . b . _CP13 ) 11173  #IPCP0_CP12 0 . b . _CP12 ) 11174  #IPCP0_CP11 0 . b . _CP11 ) 11175  #IPCP0_CP10 0 . b . _CP10 ) 11176  #IPCP0_CP9 0 . b . _CP9 ) 11177  #IPCP0_CP8 0 . b . _CP8 ) 11178  #IPCP0_CP7 0 . b . _CP7 ) 11179  #IPCP0_CP6 0 . b . _CP6 ) 11180  #IPCP0_CP5 0 . b . _CP5 ) 11181  #IPCP0_CP4 0 . b . _CP4 ) 11182  #IPCP0_CP3 0 . b . _CP3 ) 11183  #IPCP0_CP2 0 . b . _CP2 ) 11184  #IPCP0_CP1 0 . b . _CP1 ) 11185  #IPCP0_CP0 0 . b . _CP0 ) 11186 __IO_EXTERN IPCP1STR g1 ; 11187  #IPCP1 1 . wd ) 11188  #IPCP1_CP15 1 . b . _CP15 ) 11189  #IPCP1_CP14 1 . b . _CP14 ) 11190  #IPCP1_CP13 1 . b . _CP13 ) 11191  #IPCP1_CP12 1 . b . _CP12 ) 11192  #IPCP1_CP11 1 . b . _CP11 ) 11193  #IPCP1_CP10 1 . b . _CP10 ) 11194  #IPCP1_CP9 1 . b . _CP9 ) 11195  #IPCP1_CP8 1 . b . _CP8 ) 11196  #IPCP1_CP7 1 . b . _CP7 ) 11197  #IPCP1_CP6 1 . b . _CP6 ) 11198  #IPCP1_CP5 1 . b . _CP5 ) 11199  #IPCP1_CP4 1 . b . _CP4 ) 11200  #IPCP1_CP3 1 . b . _CP3 ) 11201  #IPCP1_CP2 1 . b . _CP2 ) 11202  #IPCP1_CP1 1 . b . _CP1 ) 11203  #IPCP1_CP0 1 . b . _CP0 ) 11204 __IO_EXTERN IPCP2STR g2 ; 11205  #IPCP2 2 . wd ) 11206  #IPCP2_CP15 2 . b . _CP15 ) 11207  #IPCP2_CP14 2 . b . _CP14 ) 11208  #IPCP2_CP13 2 . b . _CP13 ) 11209  #IPCP2_CP12 2 . b . _CP12 ) 11210  #IPCP2_CP11 2 . b . _CP11 ) 11211  #IPCP2_CP10 2 . b . _CP10 ) 11212  #IPCP2_CP9 2 . b . _CP9 ) 11213  #IPCP2_CP8 2 . b . _CP8 ) 11214  #IPCP2_CP7 2 . b . _CP7 ) 11215  #IPCP2_CP6 2 . b . _CP6 ) 11216  #IPCP2_CP5 2 . b . _CP5 ) 11217  #IPCP2_CP4 2 . b . _CP4 ) 11218  #IPCP2_CP3 2 . b . _CP3 ) 11219  #IPCP2_CP2 2 . b . _CP2 ) 11220  #IPCP2_CP1 2 . b . _CP1 ) 11221  #IPCP2_CP0 2 . b . _CP0 ) 11222 __IO_EXTERN IPCP3STR g3 ; 11223  #IPCP3 3 . wd ) 11224  #IPCP3_CP15 3 . b . _CP15 ) 11225  #IPCP3_CP14 3 . b . _CP14 ) 11226  #IPCP3_CP13 3 . b . _CP13 ) 11227  #IPCP3_CP12 3 . b . _CP12 ) 11228  #IPCP3_CP11 3 . b . _CP11 ) 11229  #IPCP3_CP10 3 . b . _CP10 ) 11230  #IPCP3_CP9 3 . b . _CP9 ) 11231  #IPCP3_CP8 3 . b . _CP8 ) 11232  #IPCP3_CP7 3 . b . _CP7 ) 11233  #IPCP3_CP6 3 . b . _CP6 ) 11234  #IPCP3_CP5 3 . b . _CP5 ) 11235  #IPCP3_CP4 3 . b . _CP4 ) 11236  #IPCP3_CP3 3 . b . _CP3 ) 11237  #IPCP3_CP2 3 . b . _CP2 ) 11238  #IPCP3_CP1 3 . b . _CP1 ) 11239  #IPCP3_CP0 3 . b . _CP0 ) 11240 __IO_EXTERN OCS01STR gocs01 ; 11241  #OCS01 ocs01 . wd ) 11242  #OCS01_CMOD ocs01 . b . _CMOD ) 11243  #OCS01_OTD1 ocs01 . b . _OTD1 ) 11244  #OCS01_OTD0 ocs01 . b . _OTD0 ) 11245  #OCS01_ICP1 ocs01 . b . _ICP1 ) 11246  #OCS01_ICP0 ocs01 . b . _ICP0 ) 11247  #OCS01_ICE1 ocs01 . b . _ICE1 ) 11248  #OCS01_ICE0 ocs01 . b . _ICE0 ) 11249  #OCS01_CST1 ocs01 . b . _CST1 ) 11250  #OCS01_CST0 ocs01 . b . _CST0 ) 11251 __IO_EXTERN OCS23STR gocs23 ; 11252  #OCS23 ocs23 . wd ) 11253  #OCS23_CMOD ocs23 . b . _CMOD ) 11254  #OCS23_OTD3 ocs23 . b . _OTD3 ) 11255  #OCS23_OTD2 ocs23 . b . _OTD2 ) 11256  #OCS23_ICP3 ocs23 . b . _ICP3 ) 11257  #OCS23_ICP2 ocs23 . b . _ICP2 ) 11258  #OCS23_ICE3 ocs23 . b . _ICE3 ) 11259  #OCS23_ICE2 ocs23 . b . _ICE2 ) 11260  #OCS23_CST3 ocs23 . b . _CST3 ) 11261  #OCS23_CST2 ocs23 . b . _CST2 ) 11262 __IO_EXTERN OCCP0STR goc0 ; 11263  #OCCP0 oc0 . wd ) 11264  #OCCP0_C15 oc0 . b . _C15 ) 11265  #OCCP0_C14 oc0 . b . _C14 ) 11266  #OCCP0_C13 oc0 . b . _C13 ) 11267  #OCCP0_C12 oc0 . b . _C12 ) 11268  #OCCP0_C11 oc0 . b . _C11 ) 11269  #OCCP0_C10 oc0 . b . _C10 ) 11270  #OCCP0_C9 oc0 . b . _C9 ) 11271  #OCCP0_C8 oc0 . b . _C8 ) 11272  #OCCP0_C7 oc0 . b . _C7 ) 11273  #OCCP0_C6 oc0 . b . _C6 ) 11274  #OCCP0_C5 oc0 . b . _C5 ) 11275  #OCCP0_C4 oc0 . b . _C4 ) 11276  #OCCP0_C3 oc0 . b . _C3 ) 11277  #OCCP0_C2 oc0 . b . _C2 ) 11278  #OCCP0_C1 oc0 . b . _C1 ) 11279  #OCCP0_C0 oc0 . b . _C0 ) 11280 __IO_EXTERN OCCP1STR goc1 ; 11281  #OCCP1 oc1 . wd ) 11282  #OCCP1_C15 oc1 . b . _C15 ) 11283  #OCCP1_C14 oc1 . b . _C14 ) 11284  #OCCP1_C13 oc1 . b . _C13 ) 11285  #OCCP1_C12 oc1 . b . _C12 ) 11286  #OCCP1_C11 oc1 . b . _C11 ) 11287  #OCCP1_C10 oc1 . b . _C10 ) 11288  #OCCP1_C9 oc1 . b . _C9 ) 11289  #OCCP1_C8 oc1 . b . _C8 ) 11290  #OCCP1_C7 oc1 . b . _C7 ) 11291  #OCCP1_C6 oc1 . b . _C6 ) 11292  #OCCP1_C5 oc1 . b . _C5 ) 11293  #OCCP1_C4 oc1 . b . _C4 ) 11294  #OCCP1_C3 oc1 . b . _C3 ) 11295  #OCCP1_C2 oc1 . b . _C2 ) 11296  #OCCP1_C1 oc1 . b . _C1 ) 11297  #OCCP1_C0 oc1 . b . _C0 ) 11298 __IO_EXTERN OCCP2STR goc2 ; 11299  #OCCP2 oc2 . wd ) 11300  #OCCP2_C15 oc2 . b . _C15 ) 11301  #OCCP2_C14 oc2 . b . _C14 ) 11302  #OCCP2_C13 oc2 . b . _C13 ) 11303  #OCCP2_C12 oc2 . b . _C12 ) 11304  #OCCP2_C11 oc2 . b . _C11 ) 11305  #OCCP2_C10 oc2 . b . _C10 ) 11306  #OCCP2_C9 oc2 . b . _C9 ) 11307  #OCCP2_C8 oc2 . b . _C8 ) 11308  #OCCP2_C7 oc2 . b . _C7 ) 11309  #OCCP2_C6 oc2 . b . _C6 ) 11310  #OCCP2_C5 oc2 . b . _C5 ) 11311  #OCCP2_C4 oc2 . b . _C4 ) 11312  #OCCP2_C3 oc2 . b . _C3 ) 11313  #OCCP2_C2 oc2 . b . _C2 ) 11314  #OCCP2_C1 oc2 . b . _C1 ) 11315  #OCCP2_C0 oc2 . b . _C0 ) 11316 __IO_EXTERN OCCP3STR goc3 ; 11317  #OCCP3 oc3 . wd ) 11318  #OCCP3_C15 oc3 . b . _C15 ) 11319  #OCCP3_C14 oc3 . b . _C14 ) 11320  #OCCP3_C13 oc3 . b . _C13 ) 11321  #OCCP3_C12 oc3 . b . _C12 ) 11322  #OCCP3_C11 oc3 . b . _C11 ) 11323  #OCCP3_C10 oc3 . b . _C10 ) 11324  #OCCP3_C9 oc3 . b . _C9 ) 11325  #OCCP3_C8 oc3 . b . _C8 ) 11326  #OCCP3_C7 oc3 . b . _C7 ) 11327  #OCCP3_C6 oc3 . b . _C6 ) 11328  #OCCP3_C5 oc3 . b . _C5 ) 11329  #OCCP3_C4 oc3 . b . _C4 ) 11330  #OCCP3_C3 oc3 . b . _C3 ) 11331  #OCCP3_C2 oc3 . b . _C2 ) 11332  #OCCP3_C1 oc3 . b . _C1 ) 11333  #OCCP3_C0 oc3 . b . _C0 ) 11334 __IO_EXTERN ADERHSTR gadh ; 11335  #ADERH adh . wd ) 11336  #ADERH_ADE31 adh . b . _ADE31 ) 11337  #ADERH_ADE30 adh . b . _ADE30 ) 11338  #ADERH_ADE29 adh . b . _ADE29 ) 11339  #ADERH_ADE28 adh . b . _ADE28 ) 11340  #ADERH_ADE27 adh . b . _ADE27 ) 11341  #ADERH_ADE26 adh . b . _ADE26 ) 11342  #ADERH_ADE25 adh . b . _ADE25 ) 11343  #ADERH_ADE24 adh . b . _ADE24 ) 11344  #ADERH_ADE23 adh . b . _ADE23 ) 11345  #ADERH_ADE22 adh . b . _ADE22 ) 11346  #ADERH_ADE21 adh . b . _ADE21 ) 11347  #ADERH_ADE20 adh . b . _ADE20 ) 11348  #ADERH_ADE19 adh . b . _ADE19 ) 11349  #ADERH_ADE18 adh . b . _ADE18 ) 11350  #ADERH_ADE17 adh . b . _ADE17 ) 11351  #ADERH_ADE16 adh . b . _ADE16 ) 11352 __IO_EXTERN ADERLSTR gadl ; 11353  #ADERL adl . wd ) 11354  #ADERL_ADE15 adl . b . _ADE15 ) 11355  #ADERL_ADE14 adl . b . _ADE14 ) 11356  #ADERL_ADE13 adl . b . _ADE13 ) 11357  #ADERL_ADE12 adl . b . _ADE12 ) 11358  #ADERL_ADE11 adl . b . _ADE11 ) 11359  #ADERL_ADE10 adl . b . _ADE10 ) 11360  #ADERL_ADE9 adl . b . _ADE9 ) 11361  #ADERL_ADE8 adl . b . _ADE8 ) 11362  #ADERL_ADE7 adl . b . _ADE7 ) 11363  #ADERL_ADE6 adl . b . _ADE6 ) 11364  #ADERL_ADE5 adl . b . _ADE5 ) 11365  #ADERL_ADE4 adl . b . _ADE4 ) 11366  #ADERL_ADE3 adl . b . _ADE3 ) 11367  #ADERL_ADE2 adl . b . _ADE2 ) 11368  #ADERL_ADE1 adl . b . _ADE1 ) 11369  #ADERL_ADE0 adl . b . _ADE0 ) 11370 __IO_EXTERN IO_LWORD gad ; 11371  #ADER ad ) 11372 __IO_EXTERN ADCS1STR gadcs1 ; 11373  #ADCS1 adcs1 . by ) 11374  #ADCS1_BUSY adcs1 . b . _BUSY ) 11375  #ADCS1_INT adcs1 . b . _INT ) 11376  #ADCS1_INTE adcs1 . b . _INTE ) 11377  #ADCS1_PAUS adcs1 . b . _PAUS ) 11378  #ADCS1_STS1 adcs1 . b . _STS1 ) 11379  #ADCS1_STS0 adcs1 . b . _STS0 ) 11380  #ADCS1_STRT adcs1 . b . _STRT ) 11381  #ADCS1_STS adcs1 . bc . _STS ) 11382 __IO_EXTERN ADCS0STR gadcs0 ; 11383  #ADCS0 adcs0 . by ) 11384  #ADCS0_MD1 adcs0 . b . _MD1 ) 11385  #ADCS0_MD0 adcs0 . b . _MD0 ) 11386  #ADCS0_S10 adcs0 . b . _S10 ) 11387  #ADCS0_ACH4 adcs0 . b . _ACH4 ) 11388  #ADCS0_ACH3 adcs0 . b . _ACH3 ) 11389  #ADCS0_ACH2 adcs0 . b . _ACH2 ) 11390  #ADCS0_ACH1 adcs0 . b . _ACH1 ) 11391  #ADCS0_ACH0 adcs0 . b . _ACH0 ) 11392  #ADCS0_MD adcs0 . bc . _MD ) 11393  #ADCS0_ACH adcs0 . bc . _ACH ) 11394 __IO_EXTERN IO_WORD gadcs ; 11395  #ADCS adcs ) 11396 __IO_EXTERN ADCR1STR gad1 ; 11397  #ADCR1 ad1 . by ) 11398  #ADCR1_D9 ad1 . b . _D9 ) 11399  #ADCR1_D8 ad1 . b . _D8 ) 11400 __IO_EXTERN ADCR0STR gad0 ; 11401  #ADCR0 ad0 . by ) 11402  #ADCR0_D7 ad0 . b . _D7 ) 11403  #ADCR0_D6 ad0 . b . _D6 ) 11404  #ADCR0_D5 ad0 . b . _D5 ) 11405  #ADCR0_D4 ad0 . b . _D4 ) 11406  #ADCR0_D3 ad0 . b . _D3 ) 11407  #ADCR0_D2 ad0 . b . _D2 ) 11408  #ADCR0_D1 ad0 . b . _D1 ) 11409  #ADCR0_D0 ad0 . b . _D0 ) 11410 __IO_EXTERN IO_WORD gad ; 11411  #ADCR ad ) 11412 __IO_EXTERN ADCT1STR gad1 ; 11413  #ADCT1 ad1 . by ) 11414  #ADCT1_CT5 ad1 . b . _CT5 ) 11415  #ADCT1_CT4 ad1 . b . _CT4 ) 11416  #ADCT1_CT3 ad1 . b . _CT3 ) 11417  #ADCT1_CT2 ad1 . b . _CT2 ) 11418  #ADCT1_CT1 ad1 . b . _CT1 ) 11419  #ADCT1_CT0 ad1 . b . _CT0 ) 11420  #ADCT1_ST9 ad1 . b . _ST9 ) 11421  #ADCT1_ST8 ad1 . b . _ST8 ) 11422 __IO_EXTERN ADCT0STR gad0 ; 11423  #ADCT0 ad0 . by ) 11424  #ADCT0_ST7 ad0 . b . _ST7 ) 11425  #ADCT0_ST6 ad0 . b . _ST6 ) 11426  #ADCT0_ST5 ad0 . b . _ST5 ) 11427  #ADCT0_ST4 ad0 . b . _ST4 ) 11428  #ADCT0_ST3 ad0 . b . _ST3 ) 11429  #ADCT0_ST2 ad0 . b . _ST2 ) 11430  #ADCT0_ST1 ad0 . b . _ST1 ) 11431  #ADCT0_ST0 ad0 . b . _ST0 ) 11432 __IO_EXTERN IO_WORD gad ; 11433  #ADCT ad ) 11434 __IO_EXTERN ADSCHSTR gadsch ; 11435  #ADSCH adsch . by ) 11436  #ADSCH_ANS4 adsch . b . _ANS4 ) 11437  #ADSCH_ANS3 adsch . b . _ANS3 ) 11438  #ADSCH_ANS2 adsch . b . _ANS2 ) 11439  #ADSCH_ANS1 adsch . b . _ANS1 ) 11440  #ADSCH_ASN0 adsch . b . _ASN0 ) 11441  #ADSCH_ANS adsch . bc . _ANS ) 11442 __IO_EXTERN ADECHSTR gadech ; 11443  #ADECH adech . by ) 11444  #ADECH_ANE4 adech . b . _ANE4 ) 11445  #ADECH_ANE3 adech . b . _ANE3 ) 11446  #ADECH_ANE2 adech . b . _ANE2 ) 11447  #ADECH_ANE1 adech . b . _ANE1 ) 11448  #ADECH_ANE0 adech . b . _ANE0 ) 11449  #ADECH_ANE adech . bc . _ANE ) 11450 __IO_EXTERN TMRLR0STR gtmr0 ; 11451  #TMRLR0 tmr0 . wd ) 11452  #TMRLR0_D15 tmr0 . b . _D15 ) 11453  #TMRLR0_D14 tmr0 . b . _D14 ) 11454  #TMRLR0_D13 tmr0 . b . _D13 ) 11455  #TMRLR0_D12 tmr0 . b . _D12 ) 11456  #TMRLR0_D11 tmr0 . b . _D11 ) 11457  #TMRLR0_D10 tmr0 . b . _D10 ) 11458  #TMRLR0_D9 tmr0 . b . _D9 ) 11459  #TMRLR0_D8 tmr0 . b . _D8 ) 11460  #TMRLR0_D7 tmr0 . b . _D7 ) 11461  #TMRLR0_D6 tmr0 . b . _D6 ) 11462  #TMRLR0_D5 tmr0 . b . _D5 ) 11463  #TMRLR0_D4 tmr0 . b . _D4 ) 11464  #TMRLR0_D3 tmr0 . b . _D3 ) 11465  #TMRLR0_D2 tmr0 . b . _D2 ) 11466  #TMRLR0_D1 tmr0 . b . _D1 ) 11467  #TMRLR0_D0 tmr0 . b . _D0 ) 11468 __IO_EXTERN TMR0STR gtmr0 ; 11469  #TMR0 tmr0 . wd ) 11470  #TMR0_D15 tmr0 . b . _D15 ) 11471  #TMR0_D14 tmr0 . b . _D14 ) 11472  #TMR0_D13 tmr0 . b . _D13 ) 11473  #TMR0_D12 tmr0 . b . _D12 ) 11474  #TMR0_D11 tmr0 . b . _D11 ) 11475  #TMR0_D10 tmr0 . b . _D10 ) 11476  #TMR0_D9 tmr0 . b . _D9 ) 11477  #TMR0_D8 tmr0 . b . _D8 ) 11478  #TMR0_D7 tmr0 . b . _D7 ) 11479  #TMR0_D6 tmr0 . b . _D6 ) 11480  #TMR0_D5 tmr0 . b . _D5 ) 11481  #TMR0_D4 tmr0 . b . _D4 ) 11482  #TMR0_D3 tmr0 . b . _D3 ) 11483  #TMR0_D2 tmr0 . b . _D2 ) 11484  #TMR0_D1 tmr0 . b . _D1 ) 11485  #TMR0_D0 tmr0 . b . _D0 ) 11486 __IO_EXTERN TMCSR0STR gtmc0 ; 11487  #TMCSR0 tmc0 . wd ) 11488  #TMCSR0_CSL2 tmc0 . b . _CSL2 ) 11489  #TMCSR0_CSL1 tmc0 . b . _CSL1 ) 11490  #TMCSR0_CSL0 tmc0 . b . _CSL0 ) 11491  #TMCSR0_MOD2 tmc0 . b . _MOD2 ) 11492  #TMCSR0_MOD1 tmc0 . b . _MOD1 ) 11493  #TMCSR0_MOD0 tmc0 . b . _MOD0 ) 11494  #TMCSR0_OULT tmc0 . b . _OULT ) 11495  #TMCSR0_RELD tmc0 . b . _RELD ) 11496  #TMCSR0_INTE tmc0 . b . _INTE ) 11497  #TMCSR0_UF tmc0 . b . _UF ) 11498  #TMCSR0_CNTE tmc0 . b . _CNTE ) 11499  #TMCSR0_TRG tmc0 . b . _TRG ) 11500  #TMCSR0_CSL tmc0 . bc . _CSL ) 11501  #TMCSR0_MOD tmc0 . bc . _MOD ) 11502 __IO_EXTERN TMCSRH0STR gtmch0 ; 11503  #TMCSRH0 tmch0 . by ) 11504  #TMCSRH0_CSL2 tmch0 . b . _CSL2 ) 11505  #TMCSRH0_CSL1 tmch0 . b . _CSL1 ) 11506  #TMCSRH0_CSL0 tmch0 . b . _CSL0 ) 11507  #TMCSRH0_MOD2 tmch0 . b . _MOD2 ) 11508  #TMCSRH0_MOD1 tmch0 . b . _MOD1 ) 11509  #TMCSRH0_CSL tmch0 . bc . _CSL ) 11510 __IO_EXTERN TMCSRL0STR gtmcl0 ; 11511  #TMCSRL0 tmcl0 . by ) 11512  #TMCSRL0_MOD0 tmcl0 . b . _MOD0 ) 11513  #TMCSRL0_OULT tmcl0 . b . _OULT ) 11514  #TMCSRL0_RELD tmcl0 . b . _RELD ) 11515  #TMCSRL0_INTE tmcl0 . b . _INTE ) 11516  #TMCSRL0_UF tmcl0 . b . _UF ) 11517  #TMCSRL0_CNTE tmcl0 . b . _CNTE ) 11518  #TMCSRL0_TRG tmcl0 . b . _TRG ) 11519 __IO_EXTERN TMRLR1STR gtmr1 ; 11520  #TMRLR1 tmr1 . wd ) 11521  #TMRLR1_D15 tmr1 . b . _D15 ) 11522  #TMRLR1_D14 tmr1 . b . _D14 ) 11523  #TMRLR1_D13 tmr1 . b . _D13 ) 11524  #TMRLR1_D12 tmr1 . b . _D12 ) 11525  #TMRLR1_D11 tmr1 . b . _D11 ) 11526  #TMRLR1_D10 tmr1 . b . _D10 ) 11527  #TMRLR1_D9 tmr1 . b . _D9 ) 11528  #TMRLR1_D8 tmr1 . b . _D8 ) 11529  #TMRLR1_D7 tmr1 . b . _D7 ) 11530  #TMRLR1_D6 tmr1 . b . _D6 ) 11531  #TMRLR1_D5 tmr1 . b . _D5 ) 11532  #TMRLR1_D4 tmr1 . b . _D4 ) 11533  #TMRLR1_D3 tmr1 . b . _D3 ) 11534  #TMRLR1_D2 tmr1 . b . _D2 ) 11535  #TMRLR1_D1 tmr1 . b . _D1 ) 11536  #TMRLR1_D0 tmr1 . b . _D0 ) 11537 __IO_EXTERN TMR1STR gtmr1 ; 11538  #TMR1 tmr1 . wd ) 11539  #TMR1_D15 tmr1 . b . _D15 ) 11540  #TMR1_D14 tmr1 . b . _D14 ) 11541  #TMR1_D13 tmr1 . b . _D13 ) 11542  #TMR1_D12 tmr1 . b . _D12 ) 11543  #TMR1_D11 tmr1 . b . _D11 ) 11544  #TMR1_D10 tmr1 . b . _D10 ) 11545  #TMR1_D9 tmr1 . b . _D9 ) 11546  #TMR1_D8 tmr1 . b . _D8 ) 11547  #TMR1_D7 tmr1 . b . _D7 ) 11548  #TMR1_D6 tmr1 . b . _D6 ) 11549  #TMR1_D5 tmr1 . b . _D5 ) 11550  #TMR1_D4 tmr1 . b . _D4 ) 11551  #TMR1_D3 tmr1 . b . _D3 ) 11552  #TMR1_D2 tmr1 . b . _D2 ) 11553  #TMR1_D1 tmr1 . b . _D1 ) 11554  #TMR1_D0 tmr1 . b . _D0 ) 11555 __IO_EXTERN TMCSR1STR gtmc1 ; 11556  #TMCSR1 tmc1 . wd ) 11557  #TMCSR1_CSL2 tmc1 . b . _CSL2 ) 11558  #TMCSR1_CSL1 tmc1 . b . _CSL1 ) 11559  #TMCSR1_CSL0 tmc1 . b . _CSL0 ) 11560  #TMCSR1_MOD2 tmc1 . b . _MOD2 ) 11561  #TMCSR1_MOD1 tmc1 . b . _MOD1 ) 11562  #TMCSR1_MOD0 tmc1 . b . _MOD0 ) 11563  #TMCSR1_OULT tmc1 . b . _OULT ) 11564  #TMCSR1_RELD tmc1 . b . _RELD ) 11565  #TMCSR1_INTE tmc1 . b . _INTE ) 11566  #TMCSR1_UF tmc1 . b . _UF ) 11567  #TMCSR1_CNTE tmc1 . b . _CNTE ) 11568  #TMCSR1_TRG tmc1 . b . _TRG ) 11569  #TMCSR1_CSL tmc1 . bc . _CSL ) 11570  #TMCSR1_MOD tmc1 . bc . _MOD ) 11571 __IO_EXTERN TMCSRH1STR gtmch1 ; 11572  #TMCSRH1 tmch1 . by ) 11573  #TMCSRH1_CSL2 tmch1 . b . _CSL2 ) 11574  #TMCSRH1_CSL1 tmch1 . b . _CSL1 ) 11575  #TMCSRH1_CSL0 tmch1 . b . _CSL0 ) 11576  #TMCSRH1_MOD2 tmch1 . b . _MOD2 ) 11577  #TMCSRH1_MOD1 tmch1 . b . _MOD1 ) 11578  #TMCSRH1_CSL tmch1 . bc . _CSL ) 11579 __IO_EXTERN TMCSRL1STR gtmcl1 ; 11580  #TMCSRL1 tmcl1 . by ) 11581  #TMCSRL1_MOD0 tmcl1 . b . _MOD0 ) 11582  #TMCSRL1_OULT tmcl1 . b . _OULT ) 11583  #TMCSRL1_RELD tmcl1 . b . _RELD ) 11584  #TMCSRL1_INTE tmcl1 . b . _INTE ) 11585  #TMCSRL1_UF tmcl1 . b . _UF ) 11586  #TMCSRL1_CNTE tmcl1 . b . _CNTE ) 11587  #TMCSRL1_TRG tmcl1 . b . _TRG ) 11588 __IO_EXTERN TMRLR2STR gtmr2 ; 11589  #TMRLR2 tmr2 . wd ) 11590  #TMRLR2_D15 tmr2 . b . _D15 ) 11591  #TMRLR2_D14 tmr2 . b . _D14 ) 11592  #TMRLR2_D13 tmr2 . b . _D13 ) 11593  #TMRLR2_D12 tmr2 . b . _D12 ) 11594  #TMRLR2_D11 tmr2 . b . _D11 ) 11595  #TMRLR2_D10 tmr2 . b . _D10 ) 11596  #TMRLR2_D9 tmr2 . b . _D9 ) 11597  #TMRLR2_D8 tmr2 . b . _D8 ) 11598  #TMRLR2_D7 tmr2 . b . _D7 ) 11599  #TMRLR2_D6 tmr2 . b . _D6 ) 11600  #TMRLR2_D5 tmr2 . b . _D5 ) 11601  #TMRLR2_D4 tmr2 . b . _D4 ) 11602  #TMRLR2_D3 tmr2 . b . _D3 ) 11603  #TMRLR2_D2 tmr2 . b . _D2 ) 11604  #TMRLR2_D1 tmr2 . b . _D1 ) 11605  #TMRLR2_D0 tmr2 . b . _D0 ) 11606 __IO_EXTERN TMR2STR gtmr2 ; 11607  #TMR2 tmr2 . wd ) 11608  #TMR2_D15 tmr2 . b . _D15 ) 11609  #TMR2_D14 tmr2 . b . _D14 ) 11610  #TMR2_D13 tmr2 . b . _D13 ) 11611  #TMR2_D12 tmr2 . b . _D12 ) 11612  #TMR2_D11 tmr2 . b . _D11 ) 11613  #TMR2_D10 tmr2 . b . _D10 ) 11614  #TMR2_D9 tmr2 . b . _D9 ) 11615  #TMR2_D8 tmr2 . b . _D8 ) 11616  #TMR2_D7 tmr2 . b . _D7 ) 11617  #TMR2_D6 tmr2 . b . _D6 ) 11618  #TMR2_D5 tmr2 . b . _D5 ) 11619  #TMR2_D4 tmr2 . b . _D4 ) 11620  #TMR2_D3 tmr2 . b . _D3 ) 11621  #TMR2_D2 tmr2 . b . _D2 ) 11622  #TMR2_D1 tmr2 . b . _D1 ) 11623  #TMR2_D0 tmr2 . b . _D0 ) 11624 __IO_EXTERN TMCSR2STR gtmc2 ; 11625  #TMCSR2 tmc2 . wd ) 11626  #TMCSR2_CSL2 tmc2 . b . _CSL2 ) 11627  #TMCSR2_CSL1 tmc2 . b . _CSL1 ) 11628  #TMCSR2_CSL0 tmc2 . b . _CSL0 ) 11629  #TMCSR2_MOD2 tmc2 . b . _MOD2 ) 11630  #TMCSR2_MOD1 tmc2 . b . _MOD1 ) 11631  #TMCSR2_MOD0 tmc2 . b . _MOD0 ) 11632  #TMCSR2_OULT tmc2 . b . _OULT ) 11633  #TMCSR2_RELD tmc2 . b . _RELD ) 11634  #TMCSR2_INTE tmc2 . b . _INTE ) 11635  #TMCSR2_UF tmc2 . b . _UF ) 11636  #TMCSR2_CNTE tmc2 . b . _CNTE ) 11637  #TMCSR2_TRG tmc2 . b . _TRG ) 11638  #TMCSR2_CSL tmc2 . bc . _CSL ) 11639  #TMCSR2_MOD tmc2 . bc . _MOD ) 11640 __IO_EXTERN TMCSRH2STR gtmch2 ; 11641  #TMCSRH2 tmch2 . by ) 11642  #TMCSRH2_CSL2 tmch2 . b . _CSL2 ) 11643  #TMCSRH2_CSL1 tmch2 . b . _CSL1 ) 11644  #TMCSRH2_CSL0 tmch2 . b . _CSL0 ) 11645  #TMCSRH2_MOD2 tmch2 . b . _MOD2 ) 11646  #TMCSRH2_MOD1 tmch2 . b . _MOD1 ) 11647  #TMCSRH2_CSL tmch2 . bc . _CSL ) 11648 __IO_EXTERN TMCSRL2STR gtmcl2 ; 11649  #TMCSRL2 tmcl2 . by ) 11650  #TMCSRL2_MOD0 tmcl2 . b . _MOD0 ) 11651  #TMCSRL2_OULT tmcl2 . b . _OULT ) 11652  #TMCSRL2_RELD tmcl2 . b . _RELD ) 11653  #TMCSRL2_INTE tmcl2 . b . _INTE ) 11654  #TMCSRL2_UF tmcl2 . b . _UF ) 11655  #TMCSRL2_CNTE tmcl2 . b . _CNTE ) 11656  #TMCSRL2_TRG tmcl2 . b . _TRG ) 11657 __IO_EXTERN TMRLR3STR gtmr3 ; 11658  #TMRLR3 tmr3 . wd ) 11659  #TMRLR3_D15 tmr3 . b . _D15 ) 11660  #TMRLR3_D14 tmr3 . b . _D14 ) 11661  #TMRLR3_D13 tmr3 . b . _D13 ) 11662  #TMRLR3_D12 tmr3 . b . _D12 ) 11663  #TMRLR3_D11 tmr3 . b . _D11 ) 11664  #TMRLR3_D10 tmr3 . b . _D10 ) 11665  #TMRLR3_D9 tmr3 . b . _D9 ) 11666  #TMRLR3_D8 tmr3 . b . _D8 ) 11667  #TMRLR3_D7 tmr3 . b . _D7 ) 11668  #TMRLR3_D6 tmr3 . b . _D6 ) 11669  #TMRLR3_D5 tmr3 . b . _D5 ) 11670  #TMRLR3_D4 tmr3 . b . _D4 ) 11671  #TMRLR3_D3 tmr3 . b . _D3 ) 11672  #TMRLR3_D2 tmr3 . b . _D2 ) 11673  #TMRLR3_D1 tmr3 . b . _D1 ) 11674  #TMRLR3_D0 tmr3 . b . _D0 ) 11675 __IO_EXTERN TMR3STR gtmr3 ; 11676  #TMR3 tmr3 . wd ) 11677  #TMR3_D15 tmr3 . b . _D15 ) 11678  #TMR3_D14 tmr3 . b . _D14 ) 11679  #TMR3_D13 tmr3 . b . _D13 ) 11680  #TMR3_D12 tmr3 . b . _D12 ) 11681  #TMR3_D11 tmr3 . b . _D11 ) 11682  #TMR3_D10 tmr3 . b . _D10 ) 11683  #TMR3_D9 tmr3 . b . _D9 ) 11684  #TMR3_D8 tmr3 . b . _D8 ) 11685  #TMR3_D7 tmr3 . b . _D7 ) 11686  #TMR3_D6 tmr3 . b . _D6 ) 11687  #TMR3_D5 tmr3 . b . _D5 ) 11688  #TMR3_D4 tmr3 . b . _D4 ) 11689  #TMR3_D3 tmr3 . b . _D3 ) 11690  #TMR3_D2 tmr3 . b . _D2 ) 11691  #TMR3_D1 tmr3 . b . _D1 ) 11692  #TMR3_D0 tmr3 . b . _D0 ) 11693 __IO_EXTERN TMCSR3STR gtmc3 ; 11694  #TMCSR3 tmc3 . wd ) 11695  #TMCSR3_CSL2 tmc3 . b . _CSL2 ) 11696  #TMCSR3_CSL1 tmc3 . b . _CSL1 ) 11697  #TMCSR3_CSL0 tmc3 . b . _CSL0 ) 11698  #TMCSR3_MOD2 tmc3 . b . _MOD2 ) 11699  #TMCSR3_MOD1 tmc3 . b . _MOD1 ) 11700  #TMCSR3_MOD0 tmc3 . b . _MOD0 ) 11701  #TMCSR3_OULT tmc3 . b . _OULT ) 11702  #TMCSR3_RELD tmc3 . b . _RELD ) 11703  #TMCSR3_INTE tmc3 . b . _INTE ) 11704  #TMCSR3_UF tmc3 . b . _UF ) 11705  #TMCSR3_CNTE tmc3 . b . _CNTE ) 11706  #TMCSR3_TRG tmc3 . b . _TRG ) 11707  #TMCSR3_CSL tmc3 . bc . _CSL ) 11708  #TMCSR3_MOD tmc3 . bc . _MOD ) 11709 __IO_EXTERN TMCSRH3STR gtmch3 ; 11710  #TMCSRH3 tmch3 . by ) 11711  #TMCSRH3_CSL2 tmch3 . b . _CSL2 ) 11712  #TMCSRH3_CSL1 tmch3 . b . _CSL1 ) 11713  #TMCSRH3_CSL0 tmch3 . b . _CSL0 ) 11714  #TMCSRH3_MOD2 tmch3 . b . _MOD2 ) 11715  #TMCSRH3_MOD1 tmch3 . b . _MOD1 ) 11716  #TMCSRH3_CSL tmch3 . bc . _CSL ) 11717 __IO_EXTERN TMCSRL3STR gtmcl3 ; 11718  #TMCSRL3 tmcl3 . by ) 11719  #TMCSRL3_MOD0 tmcl3 . b . _MOD0 ) 11720  #TMCSRL3_OULT tmcl3 . b . _OULT ) 11721  #TMCSRL3_RELD tmcl3 . b . _RELD ) 11722  #TMCSRL3_INTE tmcl3 . b . _INTE ) 11723  #TMCSRL3_UF tmcl3 . b . _UF ) 11724  #TMCSRL3_CNTE tmcl3 . b . _CNTE ) 11725  #TMCSRL3_TRG tmcl3 . b . _TRG ) 11726 __IO_EXTERN TMRLR4STR gtmr4 ; 11727  #TMRLR4 tmr4 . wd ) 11728  #TMRLR4_D15 tmr4 . b . _D15 ) 11729  #TMRLR4_D14 tmr4 . b . _D14 ) 11730  #TMRLR4_D13 tmr4 . b . _D13 ) 11731  #TMRLR4_D12 tmr4 . b . _D12 ) 11732  #TMRLR4_D11 tmr4 . b . _D11 ) 11733  #TMRLR4_D10 tmr4 . b . _D10 ) 11734  #TMRLR4_D9 tmr4 . b . _D9 ) 11735  #TMRLR4_D8 tmr4 . b . _D8 ) 11736  #TMRLR4_D7 tmr4 . b . _D7 ) 11737  #TMRLR4_D6 tmr4 . b . _D6 ) 11738  #TMRLR4_D5 tmr4 . b . _D5 ) 11739  #TMRLR4_D4 tmr4 . b . _D4 ) 11740  #TMRLR4_D3 tmr4 . b . _D3 ) 11741  #TMRLR4_D2 tmr4 . b . _D2 ) 11742  #TMRLR4_D1 tmr4 . b . _D1 ) 11743  #TMRLR4_D0 tmr4 . b . _D0 ) 11744 __IO_EXTERN TMR4STR gtmr4 ; 11745  #TMR4 tmr4 . wd ) 11746  #TMR4_D15 tmr4 . b . _D15 ) 11747  #TMR4_D14 tmr4 . b . _D14 ) 11748  #TMR4_D13 tmr4 . b . _D13 ) 11749  #TMR4_D12 tmr4 . b . _D12 ) 11750  #TMR4_D11 tmr4 . b . _D11 ) 11751  #TMR4_D10 tmr4 . b . _D10 ) 11752  #TMR4_D9 tmr4 . b . _D9 ) 11753  #TMR4_D8 tmr4 . b . _D8 ) 11754  #TMR4_D7 tmr4 . b . _D7 ) 11755  #TMR4_D6 tmr4 . b . _D6 ) 11756  #TMR4_D5 tmr4 . b . _D5 ) 11757  #TMR4_D4 tmr4 . b . _D4 ) 11758  #TMR4_D3 tmr4 . b . _D3 ) 11759  #TMR4_D2 tmr4 . b . _D2 ) 11760  #TMR4_D1 tmr4 . b . _D1 ) 11761  #TMR4_D0 tmr4 . b . _D0 ) 11762 __IO_EXTERN TMCSR4STR gtmc4 ; 11763  #TMCSR4 tmc4 . wd ) 11764  #TMCSR4_CSL2 tmc4 . b . _CSL2 ) 11765  #TMCSR4_CSL1 tmc4 . b . _CSL1 ) 11766  #TMCSR4_CSL0 tmc4 . b . _CSL0 ) 11767  #TMCSR4_MOD2 tmc4 . b . _MOD2 ) 11768  #TMCSR4_MOD1 tmc4 . b . _MOD1 ) 11769  #TMCSR4_MOD0 tmc4 . b . _MOD0 ) 11770  #TMCSR4_OULT tmc4 . b . _OULT ) 11771  #TMCSR4_RELD tmc4 . b . _RELD ) 11772  #TMCSR4_INTE tmc4 . b . _INTE ) 11773  #TMCSR4_UF tmc4 . b . _UF ) 11774  #TMCSR4_CNTE tmc4 . b . _CNTE ) 11775  #TMCSR4_TRG tmc4 . b . _TRG ) 11776  #TMCSR4_CSL tmc4 . bc . _CSL ) 11777  #TMCSR4_MOD tmc4 . bc . _MOD ) 11778 __IO_EXTERN TMCSRH4STR gtmch4 ; 11779  #TMCSRH4 tmch4 . by ) 11780  #TMCSRH4_CSL2 tmch4 . b . _CSL2 ) 11781  #TMCSRH4_CSL1 tmch4 . b . _CSL1 ) 11782  #TMCSRH4_CSL0 tmch4 . b . _CSL0 ) 11783  #TMCSRH4_MOD2 tmch4 . b . _MOD2 ) 11784  #TMCSRH4_MOD1 tmch4 . b . _MOD1 ) 11785  #TMCSRH4_CSL tmch4 . bc . _CSL ) 11786 __IO_EXTERN TMCSRL4STR gtmcl4 ; 11787  #TMCSRL4 tmcl4 . by ) 11788  #TMCSRL4_MOD0 tmcl4 . b . _MOD0 ) 11789  #TMCSRL4_OULT tmcl4 . b . _OULT ) 11790  #TMCSRL4_RELD tmcl4 . b . _RELD ) 11791  #TMCSRL4_INTE tmcl4 . b . _INTE ) 11792  #TMCSRL4_UF tmcl4 . b . _UF ) 11793  #TMCSRL4_CNTE tmcl4 . b . _CNTE ) 11794  #TMCSRL4_TRG tmcl4 . b . _TRG ) 11795 __IO_EXTERN TMRLR5STR gtmr5 ; 11796  #TMRLR5 tmr5 . wd ) 11797  #TMRLR5_D15 tmr5 . b . _D15 ) 11798  #TMRLR5_D14 tmr5 . b . _D14 ) 11799  #TMRLR5_D13 tmr5 . b . _D13 ) 11800  #TMRLR5_D12 tmr5 . b . _D12 ) 11801  #TMRLR5_D11 tmr5 . b . _D11 ) 11802  #TMRLR5_D10 tmr5 . b . _D10 ) 11803  #TMRLR5_D9 tmr5 . b . _D9 ) 11804  #TMRLR5_D8 tmr5 . b . _D8 ) 11805  #TMRLR5_D7 tmr5 . b . _D7 ) 11806  #TMRLR5_D6 tmr5 . b . _D6 ) 11807  #TMRLR5_D5 tmr5 . b . _D5 ) 11808  #TMRLR5_D4 tmr5 . b . _D4 ) 11809  #TMRLR5_D3 tmr5 . b . _D3 ) 11810  #TMRLR5_D2 tmr5 . b . _D2 ) 11811  #TMRLR5_D1 tmr5 . b . _D1 ) 11812  #TMRLR5_D0 tmr5 . b . _D0 ) 11813 __IO_EXTERN TMR5STR gtmr5 ; 11814  #TMR5 tmr5 . wd ) 11815  #TMR5_D15 tmr5 . b . _D15 ) 11816  #TMR5_D14 tmr5 . b . _D14 ) 11817  #TMR5_D13 tmr5 . b . _D13 ) 11818  #TMR5_D12 tmr5 . b . _D12 ) 11819  #TMR5_D11 tmr5 . b . _D11 ) 11820  #TMR5_D10 tmr5 . b . _D10 ) 11821  #TMR5_D9 tmr5 . b . _D9 ) 11822  #TMR5_D8 tmr5 . b . _D8 ) 11823  #TMR5_D7 tmr5 . b . _D7 ) 11824  #TMR5_D6 tmr5 . b . _D6 ) 11825  #TMR5_D5 tmr5 . b . _D5 ) 11826  #TMR5_D4 tmr5 . b . _D4 ) 11827  #TMR5_D3 tmr5 . b . _D3 ) 11828  #TMR5_D2 tmr5 . b . _D2 ) 11829  #TMR5_D1 tmr5 . b . _D1 ) 11830  #TMR5_D0 tmr5 . b . _D0 ) 11831 __IO_EXTERN TMCSR5STR gtmc5 ; 11832  #TMCSR5 tmc5 . wd ) 11833  #TMCSR5_CSL2 tmc5 . b . _CSL2 ) 11834  #TMCSR5_CSL1 tmc5 . b . _CSL1 ) 11835  #TMCSR5_CSL0 tmc5 . b . _CSL0 ) 11836  #TMCSR5_MOD2 tmc5 . b . _MOD2 ) 11837  #TMCSR5_MOD1 tmc5 . b . _MOD1 ) 11838  #TMCSR5_MOD0 tmc5 . b . _MOD0 ) 11839  #TMCSR5_OULT tmc5 . b . _OULT ) 11840  #TMCSR5_RELD tmc5 . b . _RELD ) 11841  #TMCSR5_INTE tmc5 . b . _INTE ) 11842  #TMCSR5_UF tmc5 . b . _UF ) 11843  #TMCSR5_CNTE tmc5 . b . _CNTE ) 11844  #TMCSR5_TRG tmc5 . b . _TRG ) 11845  #TMCSR5_CSL tmc5 . bc . _CSL ) 11846  #TMCSR5_MOD tmc5 . bc . _MOD ) 11847 __IO_EXTERN TMCSRH5STR gtmch5 ; 11848  #TMCSRH5 tmch5 . by ) 11849  #TMCSRH5_CSL2 tmch5 . b . _CSL2 ) 11850  #TMCSRH5_CSL1 tmch5 . b . _CSL1 ) 11851  #TMCSRH5_CSL0 tmch5 . b . _CSL0 ) 11852  #TMCSRH5_MOD2 tmch5 . b . _MOD2 ) 11853  #TMCSRH5_MOD1 tmch5 . b . _MOD1 ) 11854  #TMCSRH5_CSL tmch5 . bc . _CSL ) 11855 __IO_EXTERN TMCSRL5STR gtmcl5 ; 11856  #TMCSRL5 tmcl5 . by ) 11857  #TMCSRL5_MOD0 tmcl5 . b . _MOD0 ) 11858  #TMCSRL5_OULT tmcl5 . b . _OULT ) 11859  #TMCSRL5_RELD tmcl5 . b . _RELD ) 11860  #TMCSRL5_INTE tmcl5 . b . _INTE ) 11861  #TMCSRL5_UF tmcl5 . b . _UF ) 11862  #TMCSRL5_CNTE tmcl5 . b . _CNTE ) 11863  #TMCSRL5_TRG tmcl5 . b . _TRG ) 11864 __IO_EXTERN TMRLR6STR gtmr6 ; 11865  #TMRLR6 tmr6 . wd ) 11866  #TMRLR6_D15 tmr6 . b . _D15 ) 11867  #TMRLR6_D14 tmr6 . b . _D14 ) 11868  #TMRLR6_D13 tmr6 . b . _D13 ) 11869  #TMRLR6_D12 tmr6 . b . _D12 ) 11870  #TMRLR6_D11 tmr6 . b . _D11 ) 11871  #TMRLR6_D10 tmr6 . b . _D10 ) 11872  #TMRLR6_D9 tmr6 . b . _D9 ) 11873  #TMRLR6_D8 tmr6 . b . _D8 ) 11874  #TMRLR6_D7 tmr6 . b . _D7 ) 11875  #TMRLR6_D6 tmr6 . b . _D6 ) 11876  #TMRLR6_D5 tmr6 . b . _D5 ) 11877  #TMRLR6_D4 tmr6 . b . _D4 ) 11878  #TMRLR6_D3 tmr6 . b . _D3 ) 11879  #TMRLR6_D2 tmr6 . b . _D2 ) 11880  #TMRLR6_D1 tmr6 . b . _D1 ) 11881  #TMRLR6_D0 tmr6 . b . _D0 ) 11882 __IO_EXTERN TMR6STR gtmr6 ; 11883  #TMR6 tmr6 . wd ) 11884  #TMR6_D15 tmr6 . b . _D15 ) 11885  #TMR6_D14 tmr6 . b . _D14 ) 11886  #TMR6_D13 tmr6 . b . _D13 ) 11887  #TMR6_D12 tmr6 . b . _D12 ) 11888  #TMR6_D11 tmr6 . b . _D11 ) 11889  #TMR6_D10 tmr6 . b . _D10 ) 11890  #TMR6_D9 tmr6 . b . _D9 ) 11891  #TMR6_D8 tmr6 . b . _D8 ) 11892  #TMR6_D7 tmr6 . b . _D7 ) 11893  #TMR6_D6 tmr6 . b . _D6 ) 11894  #TMR6_D5 tmr6 . b . _D5 ) 11895  #TMR6_D4 tmr6 . b . _D4 ) 11896  #TMR6_D3 tmr6 . b . _D3 ) 11897  #TMR6_D2 tmr6 . b . _D2 ) 11898  #TMR6_D1 tmr6 . b . _D1 ) 11899  #TMR6_D0 tmr6 . b . _D0 ) 11900 __IO_EXTERN TMCSR6STR gtmc6 ; 11901  #TMCSR6 tmc6 . wd ) 11902  #TMCSR6_CSL2 tmc6 . b . _CSL2 ) 11903  #TMCSR6_CSL1 tmc6 . b . _CSL1 ) 11904  #TMCSR6_CSL0 tmc6 . b . _CSL0 ) 11905  #TMCSR6_MOD2 tmc6 . b . _MOD2 ) 11906  #TMCSR6_MOD1 tmc6 . b . _MOD1 ) 11907  #TMCSR6_MOD0 tmc6 . b . _MOD0 ) 11908  #TMCSR6_OULT tmc6 . b . _OULT ) 11909  #TMCSR6_RELD tmc6 . b . _RELD ) 11910  #TMCSR6_INTE tmc6 . b . _INTE ) 11911  #TMCSR6_UF tmc6 . b . _UF ) 11912  #TMCSR6_CNTE tmc6 . b . _CNTE ) 11913  #TMCSR6_TRG tmc6 . b . _TRG ) 11914  #TMCSR6_CSL tmc6 . bc . _CSL ) 11915  #TMCSR6_MOD tmc6 . bc . _MOD ) 11916 __IO_EXTERN TMCSRH6STR gtmch6 ; 11917  #TMCSRH6 tmch6 . by ) 11918  #TMCSRH6_CSL2 tmch6 . b . _CSL2 ) 11919  #TMCSRH6_CSL1 tmch6 . b . _CSL1 ) 11920  #TMCSRH6_CSL0 tmch6 . b . _CSL0 ) 11921  #TMCSRH6_MOD2 tmch6 . b . _MOD2 ) 11922  #TMCSRH6_MOD1 tmch6 . b . _MOD1 ) 11923  #TMCSRH6_CSL tmch6 . bc . _CSL ) 11924 __IO_EXTERN TMCSRL6STR gtmcl6 ; 11925  #TMCSRL6 tmcl6 . by ) 11926  #TMCSRL6_MOD0 tmcl6 . b . _MOD0 ) 11927  #TMCSRL6_OULT tmcl6 . b . _OULT ) 11928  #TMCSRL6_RELD tmcl6 . b . _RELD ) 11929  #TMCSRL6_INTE tmcl6 . b . _INTE ) 11930  #TMCSRL6_UF tmcl6 . b . _UF ) 11931  #TMCSRL6_CNTE tmcl6 . b . _CNTE ) 11932  #TMCSRL6_TRG tmcl6 . b . _TRG ) 11933 __IO_EXTERN TMRLR7STR gtmr7 ; 11934  #TMRLR7 tmr7 . wd ) 11935  #TMRLR7_D15 tmr7 . b . _D15 ) 11936  #TMRLR7_D14 tmr7 . b . _D14 ) 11937  #TMRLR7_D13 tmr7 . b . _D13 ) 11938  #TMRLR7_D12 tmr7 . b . _D12 ) 11939  #TMRLR7_D11 tmr7 . b . _D11 ) 11940  #TMRLR7_D10 tmr7 . b . _D10 ) 11941  #TMRLR7_D9 tmr7 . b . _D9 ) 11942  #TMRLR7_D8 tmr7 . b . _D8 ) 11943  #TMRLR7_D7 tmr7 . b . _D7 ) 11944  #TMRLR7_D6 tmr7 . b . _D6 ) 11945  #TMRLR7_D5 tmr7 . b . _D5 ) 11946  #TMRLR7_D4 tmr7 . b . _D4 ) 11947  #TMRLR7_D3 tmr7 . b . _D3 ) 11948  #TMRLR7_D2 tmr7 . b . _D2 ) 11949  #TMRLR7_D1 tmr7 . b . _D1 ) 11950  #TMRLR7_D0 tmr7 . b . _D0 ) 11951 __IO_EXTERN TMR7STR gtmr7 ; 11952  #TMR7 tmr7 . wd ) 11953  #TMR7_D15 tmr7 . b . _D15 ) 11954  #TMR7_D14 tmr7 . b . _D14 ) 11955  #TMR7_D13 tmr7 . b . _D13 ) 11956  #TMR7_D12 tmr7 . b . _D12 ) 11957  #TMR7_D11 tmr7 . b . _D11 ) 11958  #TMR7_D10 tmr7 . b . _D10 ) 11959  #TMR7_D9 tmr7 . b . _D9 ) 11960  #TMR7_D8 tmr7 . b . _D8 ) 11961  #TMR7_D7 tmr7 . b . _D7 ) 11962  #TMR7_D6 tmr7 . b . _D6 ) 11963  #TMR7_D5 tmr7 . b . _D5 ) 11964  #TMR7_D4 tmr7 . b . _D4 ) 11965  #TMR7_D3 tmr7 . b . _D3 ) 11966  #TMR7_D2 tmr7 . b . _D2 ) 11967  #TMR7_D1 tmr7 . b . _D1 ) 11968  #TMR7_D0 tmr7 . b . _D0 ) 11969 __IO_EXTERN TMCSR7STR gtmc7 ; 11970  #TMCSR7 tmc7 . wd ) 11971  #TMCSR7_CSL2 tmc7 . b . _CSL2 ) 11972  #TMCSR7_CSL1 tmc7 . b . _CSL1 ) 11973  #TMCSR7_CSL0 tmc7 . b . _CSL0 ) 11974  #TMCSR7_MOD2 tmc7 . b . _MOD2 ) 11975  #TMCSR7_MOD1 tmc7 . b . _MOD1 ) 11976  #TMCSR7_MOD0 tmc7 . b . _MOD0 ) 11977  #TMCSR7_OULT tmc7 . b . _OULT ) 11978  #TMCSR7_RELD tmc7 . b . _RELD ) 11979  #TMCSR7_INTE tmc7 . b . _INTE ) 11980  #TMCSR7_UF tmc7 . b . _UF ) 11981  #TMCSR7_CNTE tmc7 . b . _CNTE ) 11982  #TMCSR7_TRG tmc7 . b . _TRG ) 11983  #TMCSR7_CSL tmc7 . bc . _CSL ) 11984  #TMCSR7_MOD tmc7 . bc . _MOD ) 11985 __IO_EXTERN TMCSRH7STR gtmch7 ; 11986  #TMCSRH7 tmch7 . by ) 11987  #TMCSRH7_CSL2 tmch7 . b . _CSL2 ) 11988  #TMCSRH7_CSL1 tmch7 . b . _CSL1 ) 11989  #TMCSRH7_CSL0 tmch7 . b . _CSL0 ) 11990  #TMCSRH7_MOD2 tmch7 . b . _MOD2 ) 11991  #TMCSRH7_MOD1 tmch7 . b . _MOD1 ) 11992  #TMCSRH7_CSL tmch7 . bc . _CSL ) 11993 __IO_EXTERN TMCSRL7STR gtmcl7 ; 11994  #TMCSRL7 tmcl7 . by ) 11995  #TMCSRL7_MOD0 tmcl7 . b . _MOD0 ) 11996  #TMCSRL7_OULT tmcl7 . b . _OULT ) 11997  #TMCSRL7_RELD tmcl7 . b . _RELD ) 11998  #TMCSRL7_INTE tmcl7 . b . _INTE ) 11999  #TMCSRL7_UF tmcl7 . b . _UF ) 12000  #TMCSRL7_CNTE tmcl7 . b . _CNTE ) 12001  #TMCSRL7_TRG tmcl7 . b . _TRG ) 12002 __IO_EXTERN TCDT0STR gtcdt0 ; 12003  #TCDT0 tcdt0 . wd ) 12004  #TCDT0_T15 tcdt0 . b . _T15 ) 12005  #TCDT0_T14 tcdt0 . b . _T14 ) 12006  #TCDT0_T13 tcdt0 . b . _T13 ) 12007  #TCDT0_T12 tcdt0 . b . _T12 ) 12008  #TCDT0_T11 tcdt0 . b . _T11 ) 12009  #TCDT0_T10 tcdt0 . b . _T10 ) 12010  #TCDT0_T9 tcdt0 . b . _T9 ) 12011  #TCDT0_T8 tcdt0 . b . _T8 ) 12012  #TCDT0_T7 tcdt0 . b . _T7 ) 12013  #TCDT0_T6 tcdt0 . b . _T6 ) 12014  #TCDT0_T5 tcdt0 . b . _T5 ) 12015  #TCDT0_T4 tcdt0 . b . _T4 ) 12016  #TCDT0_T3 tcdt0 . b . _T3 ) 12017  #TCDT0_T2 tcdt0 . b . _T2 ) 12018  #TCDT0_T1 tcdt0 . b . _T1 ) 12019  #TCDT0_T0 tcdt0 . b . _T0 ) 12020 __IO_EXTERN TCCS0STR gtccs0 ; 12021  #TCCS0 tccs0 . by ) 12022  #TCCS0_ECLK tccs0 . b . _ECLK ) 12023  #TCCS0_IVF tccs0 . b . _IVF ) 12024  #TCCS0_IVFE tccs0 . b . _IVFE ) 12025  #TCCS0_STOP tccs0 . b . _STOP ) 12026  #TCCS0_MODE tccs0 . b . _MODE ) 12027  #TCCS0_CLR tccs0 . b . _CLR ) 12028  #TCCS0_CLK1 tccs0 . b . _CLK1 ) 12029  #TCCS0_CLK0 tccs0 . b . _CLK0 ) 12030  #TCCS0_CLK tccs0 . bc . _CLK ) 12031 __IO_EXTERN TCDT1STR gtcdt1 ; 12032  #TCDT1 tcdt1 . wd ) 12033  #TCDT1_T15 tcdt1 . b . _T15 ) 12034  #TCDT1_T14 tcdt1 . b . _T14 ) 12035  #TCDT1_T13 tcdt1 . b . _T13 ) 12036  #TCDT1_T12 tcdt1 . b . _T12 ) 12037  #TCDT1_T11 tcdt1 . b . _T11 ) 12038  #TCDT1_T10 tcdt1 . b . _T10 ) 12039  #TCDT1_T9 tcdt1 . b . _T9 ) 12040  #TCDT1_T8 tcdt1 . b . _T8 ) 12041  #TCDT1_T7 tcdt1 . b . _T7 ) 12042  #TCDT1_T6 tcdt1 . b . _T6 ) 12043  #TCDT1_T5 tcdt1 . b . _T5 ) 12044  #TCDT1_T4 tcdt1 . b . _T4 ) 12045  #TCDT1_T3 tcdt1 . b . _T3 ) 12046  #TCDT1_T2 tcdt1 . b . _T2 ) 12047  #TCDT1_T1 tcdt1 . b . _T1 ) 12048  #TCDT1_T0 tcdt1 . b . _T0 ) 12049 __IO_EXTERN TCCS1STR gtccs1 ; 12050  #TCCS1 tccs1 . by ) 12051  #TCCS1_ECLK tccs1 . b . _ECLK ) 12052  #TCCS1_IVF tccs1 . b . _IVF ) 12053  #TCCS1_IVFE tccs1 . b . _IVFE ) 12054  #TCCS1_STOP tccs1 . b . _STOP ) 12055  #TCCS1_MODE tccs1 . b . _MODE ) 12056  #TCCS1_CLR tccs1 . b . _CLR ) 12057  #TCCS1_CLK1 tccs1 . b . _CLK1 ) 12058  #TCCS1_CLK0 tccs1 . b . _CLK0 ) 12059  #TCCS1_CLK tccs1 . bc . _CLK ) 12060 __IO_EXTERN TCDT2STR gtcdt2 ; 12061  #TCDT2 tcdt2 . wd ) 12062  #TCDT2_T15 tcdt2 . b . _T15 ) 12063  #TCDT2_T14 tcdt2 . b . _T14 ) 12064  #TCDT2_T13 tcdt2 . b . _T13 ) 12065  #TCDT2_T12 tcdt2 . b . _T12 ) 12066  #TCDT2_T11 tcdt2 . b . _T11 ) 12067  #TCDT2_T10 tcdt2 . b . _T10 ) 12068  #TCDT2_T9 tcdt2 . b . _T9 ) 12069  #TCDT2_T8 tcdt2 . b . _T8 ) 12070  #TCDT2_T7 tcdt2 . b . _T7 ) 12071  #TCDT2_T6 tcdt2 . b . _T6 ) 12072  #TCDT2_T5 tcdt2 . b . _T5 ) 12073  #TCDT2_T4 tcdt2 . b . _T4 ) 12074  #TCDT2_T3 tcdt2 . b . _T3 ) 12075  #TCDT2_T2 tcdt2 . b . _T2 ) 12076  #TCDT2_T1 tcdt2 . b . _T1 ) 12077  #TCDT2_T0 tcdt2 . b . _T0 ) 12078 __IO_EXTERN TCCS2STR gtccs2 ; 12079  #TCCS2 tccs2 . by ) 12080  #TCCS2_ECLK tccs2 . b . _ECLK ) 12081  #TCCS2_IVF tccs2 . b . _IVF ) 12082  #TCCS2_IVFE tccs2 . b . _IVFE ) 12083  #TCCS2_STOP tccs2 . b . _STOP ) 12084  #TCCS2_MODE tccs2 . b . _MODE ) 12085  #TCCS2_CLR tccs2 . b . _CLR ) 12086  #TCCS2_CLK1 tccs2 . b . _CLK1 ) 12087  #TCCS2_CLK0 tccs2 . b . _CLK0 ) 12088  #TCCS2_CLK tccs2 . bc . _CLK ) 12089 __IO_EXTERN TCDT3STR gtcdt3 ; 12090  #TCDT3 tcdt3 . wd ) 12091  #TCDT3_T15 tcdt3 . b . _T15 ) 12092  #TCDT3_T14 tcdt3 . b . _T14 ) 12093  #TCDT3_T13 tcdt3 . b . _T13 ) 12094  #TCDT3_T12 tcdt3 . b . _T12 ) 12095  #TCDT3_T11 tcdt3 . b . _T11 ) 12096  #TCDT3_T10 tcdt3 . b . _T10 ) 12097  #TCDT3_T9 tcdt3 . b . _T9 ) 12098  #TCDT3_T8 tcdt3 . b . _T8 ) 12099  #TCDT3_T7 tcdt3 . b . _T7 ) 12100  #TCDT3_T6 tcdt3 . b . _T6 ) 12101  #TCDT3_T5 tcdt3 . b . _T5 ) 12102  #TCDT3_T4 tcdt3 . b . _T4 ) 12103  #TCDT3_T3 tcdt3 . b . _T3 ) 12104  #TCDT3_T2 tcdt3 . b . _T2 ) 12105  #TCDT3_T1 tcdt3 . b . _T1 ) 12106  #TCDT3_T0 tcdt3 . b . _T0 ) 12107 __IO_EXTERN TCCS3STR gtccs3 ; 12108  #TCCS3 tccs3 . by ) 12109  #TCCS3_ECLK tccs3 . b . _ECLK ) 12110  #TCCS3_IVF tccs3 . b . _IVF ) 12111  #TCCS3_IVFE tccs3 . b . _IVFE ) 12112  #TCCS3_STOP tccs3 . b . _STOP ) 12113  #TCCS3_MODE tccs3 . b . _MODE ) 12114  #TCCS3_CLR tccs3 . b . _CLR ) 12115  #TCCS3_CLK1 tccs3 . b . _CLK1 ) 12116  #TCCS3_CLK0 tccs3 . b . _CLK0 ) 12117  #TCCS3_CLK tccs3 . bc . _CLK ) 12118 __IO_EXTERN DMACA0STR gdma0 ; 12119  #DMACA0 dma0 . lwd ) 12120  #DMACA0_DENB dma0 . b . _DENB ) 12121  #DMACA0_PAUS dma0 . b . _PAUS ) 12122  #DMACA0_STRG dma0 . b . _STRG ) 12123  #DMACA0_IS4 dma0 . b . _IS4 ) 12124  #DMACA0_IS3 dma0 . b . _IS3 ) 12125  #DMACA0_IS2 dma0 . b . _IS2 ) 12126  #DMACA0_IS1 dma0 . b . _IS1 ) 12127  #DMACA0_IS0 dma0 . b . _IS0 ) 12128  #DMACA0_EIS3 dma0 . b . _EIS3 ) 12129  #DMACA0_EIS2 dma0 . b . _EIS2 ) 12130  #DMACA0_EIS1 dma0 . b . _EIS1 ) 12131  #DMACA0_EIS0 dma0 . b . _EIS0 ) 12132  #DMACA0_BLK3 dma0 . b . _BLK3 ) 12133  #DMACA0_BLK2 dma0 . b . _BLK2 ) 12134  #DMACA0_BLK1 dma0 . b . _BLK1 ) 12135  #DMACA0_BLK0 dma0 . b . _BLK0 ) 12136  #DMACA0_DTCF dma0 . b . _DTCF ) 12137  #DMACA0_DTCE dma0 . b . _DTCE ) 12138  #DMACA0_DTCD dma0 . b . _DTCD ) 12139  #DMACA0_DTCC dma0 . b . _DTCC ) 12140  #DMACA0_DTCB dma0 . b . _DTCB ) 12141  #DMACA0_DTCA dma0 . b . _DTCA ) 12142  #DMACA0_DTC9 dma0 . b . _DTC9 ) 12143  #DMACA0_DTC8 dma0 . b . _DTC8 ) 12144  #DMACA0_DTC7 dma0 . b . _DTC7 ) 12145  #DMACA0_DTC6 dma0 . b . _DTC6 ) 12146  #DMACA0_DTC5 dma0 . b . _DTC5 ) 12147  #DMACA0_DTC4 dma0 . b . _DTC4 ) 12148  #DMACA0_DTC3 dma0 . b . _DTC3 ) 12149  #DMACA0_DTC2 dma0 . b . _DTC2 ) 12150  #DMACA0_DTC1 dma0 . b . _DTC1 ) 12151  #DMACA0_DTC0 dma0 . b . _DTC0 ) 12152  #DMACA0_IS dma0 . bc . _IS ) 12153  #DMACA0_EIS dma0 . bc . _EIS ) 12154  #DMACA0_BLK dma0 . bc . _BLK ) 12155  #DMACA0_DTC dma0 . bc . _DTC ) 12156 __IO_EXTERN DMACB0STR gdmacb0 ; 12157  #DMACB0 dmacb0 . lwd ) 12158  #DMACB0_TYPE1 dmacb0 . b . _TYPE1 ) 12159  #DMACB0_TYPE0 dmacb0 . b . _TYPE0 ) 12160  #DMACB0_MOD1 dmacb0 . b . _MOD1 ) 12161  #DMACB0_MOD0 dmacb0 . b . _MOD0 ) 12162  #DMACB0_WS1 dmacb0 . b . _WS1 ) 12163  #DMACB0_WS0 dmacb0 . b . _WS0 ) 12164  #DMACB0_SADM dmacb0 . b . _SADM ) 12165  #DMACB0_DADM dmacb0 . b . _DADM ) 12166  #DMACB0_DTCR dmacb0 . b . _DTCR ) 12167  #DMACB0_SADR dmacb0 . b . _SADR ) 12168  #DMACB0_DADR dmacb0 . b . _DADR ) 12169  #DMACB0_ERIE dmacb0 . b . _ERIE ) 12170  #DMACB0_EDIE dmacb0 . b . _EDIE ) 12171  #DMACB0_DSS2 dmacb0 . b . _DSS2 ) 12172  #DMACB0_DSS1 dmacb0 . b . _DSS1 ) 12173  #DMACB0_DSS0 dmacb0 . b . _DSS0 ) 12174  #DMACB0_SASZ7 dmacb0 . b . _SASZ7 ) 12175  #DMACB0_SASZ6 dmacb0 . b . _SASZ6 ) 12176  #DMACB0_SASZ5 dmacb0 . b . _SASZ5 ) 12177  #DMACB0_SASZ4 dmacb0 . b . _SASZ4 ) 12178  #DMACB0_SASZ3 dmacb0 . b . _SASZ3 ) 12179  #DMACB0_SASZ2 dmacb0 . b . _SASZ2 ) 12180  #DMACB0_SASZ1 dmacb0 . b . _SASZ1 ) 12181  #DMACB0_SASZ0 dmacb0 . b . _SASZ0 ) 12182  #DMACB0_DASZ7 dmacb0 . b . _DASZ7 ) 12183  #DMACB0_DASZ6 dmacb0 . b . _DASZ6 ) 12184  #DMACB0_DASZ5 dmacb0 . b . _DASZ5 ) 12185  #DMACB0_DASZ4 dmacb0 . b . _DASZ4 ) 12186  #DMACB0_DASZ3 dmacb0 . b . _DASZ3 ) 12187  #DMACB0_DASZ2 dmacb0 . b . _DASZ2 ) 12188  #DMACB0_DASZ1 dmacb0 . b . _DASZ1 ) 12189  #DMACB0_DASZ0 dmacb0 . b . _DASZ0 ) 12190  #DMACB0_TYPE dmacb0 . bc . _TYPE ) 12191  #DMACB0_MOD dmacb0 . bc . _MOD ) 12192  #DMACB0_WS dmacb0 . bc . _WS ) 12193  #DMACB0_DSS dmacb0 . bc . _DSS ) 12194  #DMACB0_SASZ dmacb0 . bc . _SASZ ) 12195  #DMACB0_DASZ dmacb0 . bc . _DASZ ) 12196 __IO_EXTERN DMACA1STR gdma1 ; 12197  #DMACA1 dma1 . lwd ) 12198  #DMACA1_DENB dma1 . b . _DENB ) 12199  #DMACA1_PAUS dma1 . b . _PAUS ) 12200  #DMACA1_STRG dma1 . b . _STRG ) 12201  #DMACA1_IS4 dma1 . b . _IS4 ) 12202  #DMACA1_IS3 dma1 . b . _IS3 ) 12203  #DMACA1_IS2 dma1 . b . _IS2 ) 12204  #DMACA1_IS1 dma1 . b . _IS1 ) 12205  #DMACA1_IS0 dma1 . b . _IS0 ) 12206  #DMACA1_EIS3 dma1 . b . _EIS3 ) 12207  #DMACA1_EIS2 dma1 . b . _EIS2 ) 12208  #DMACA1_EIS1 dma1 . b . _EIS1 ) 12209  #DMACA1_EIS0 dma1 . b . _EIS0 ) 12210  #DMACA1_BLK3 dma1 . b . _BLK3 ) 12211  #DMACA1_BLK2 dma1 . b . _BLK2 ) 12212  #DMACA1_BLK1 dma1 . b . _BLK1 ) 12213  #DMACA1_BLK0 dma1 . b . _BLK0 ) 12214  #DMACA1_DTCF dma1 . b . _DTCF ) 12215  #DMACA1_DTCE dma1 . b . _DTCE ) 12216  #DMACA1_DTCD dma1 . b . _DTCD ) 12217  #DMACA1_DTCC dma1 . b . _DTCC ) 12218  #DMACA1_DTCB dma1 . b . _DTCB ) 12219  #DMACA1_DTCA dma1 . b . _DTCA ) 12220  #DMACA1_DTC9 dma1 . b . _DTC9 ) 12221  #DMACA1_DTC8 dma1 . b . _DTC8 ) 12222  #DMACA1_DTC7 dma1 . b . _DTC7 ) 12223  #DMACA1_DTC6 dma1 . b . _DTC6 ) 12224  #DMACA1_DTC5 dma1 . b . _DTC5 ) 12225  #DMACA1_DTC4 dma1 . b . _DTC4 ) 12226  #DMACA1_DTC3 dma1 . b . _DTC3 ) 12227  #DMACA1_DTC2 dma1 . b . _DTC2 ) 12228  #DMACA1_DTC1 dma1 . b . _DTC1 ) 12229  #DMACA1_DTC0 dma1 . b . _DTC0 ) 12230  #DMACA1_IS dma1 . bc . _IS ) 12231  #DMACA1_EIS dma1 . bc . _EIS ) 12232  #DMACA1_BLK dma1 . bc . _BLK ) 12233  #DMACA1_DTC dma1 . bc . _DTC ) 12234 __IO_EXTERN DMACB1STR gdmacb1 ; 12235  #DMACB1 dmacb1 . lwd ) 12236  #DMACB1_TYPE1 dmacb1 . b . _TYPE1 ) 12237  #DMACB1_TYPE0 dmacb1 . b . _TYPE0 ) 12238  #DMACB1_MOD1 dmacb1 . b . _MOD1 ) 12239  #DMACB1_MOD0 dmacb1 . b . _MOD0 ) 12240  #DMACB1_WS1 dmacb1 . b . _WS1 ) 12241  #DMACB1_WS0 dmacb1 . b . _WS0 ) 12242  #DMACB1_SADM dmacb1 . b . _SADM ) 12243  #DMACB1_DADM dmacb1 . b . _DADM ) 12244  #DMACB1_DTCR dmacb1 . b . _DTCR ) 12245  #DMACB1_SADR dmacb1 . b . _SADR ) 12246  #DMACB1_DADR dmacb1 . b . _DADR ) 12247  #DMACB1_ERIE dmacb1 . b . _ERIE ) 12248  #DMACB1_EDIE dmacb1 . b . _EDIE ) 12249  #DMACB1_DSS2 dmacb1 . b . _DSS2 ) 12250  #DMACB1_DSS1 dmacb1 . b . _DSS1 ) 12251  #DMACB1_DSS0 dmacb1 . b . _DSS0 ) 12252  #DMACB1_SASZ7 dmacb1 . b . _SASZ7 ) 12253  #DMACB1_SASZ6 dmacb1 . b . _SASZ6 ) 12254  #DMACB1_SASZ5 dmacb1 . b . _SASZ5 ) 12255  #DMACB1_SASZ4 dmacb1 . b . _SASZ4 ) 12256  #DMACB1_SASZ3 dmacb1 . b . _SASZ3 ) 12257  #DMACB1_SASZ2 dmacb1 . b . _SASZ2 ) 12258  #DMACB1_SASZ1 dmacb1 . b . _SASZ1 ) 12259  #DMACB1_SASZ0 dmacb1 . b . _SASZ0 ) 12260  #DMACB1_DASZ7 dmacb1 . b . _DASZ7 ) 12261  #DMACB1_DASZ6 dmacb1 . b . _DASZ6 ) 12262  #DMACB1_DASZ5 dmacb1 . b . _DASZ5 ) 12263  #DMACB1_DASZ4 dmacb1 . b . _DASZ4 ) 12264  #DMACB1_DASZ3 dmacb1 . b . _DASZ3 ) 12265  #DMACB1_DASZ2 dmacb1 . b . _DASZ2 ) 12266  #DMACB1_DASZ1 dmacb1 . b . _DASZ1 ) 12267  #DMACB1_DASZ0 dmacb1 . b . _DASZ0 ) 12268  #DMACB1_TYPE dmacb1 . bc . _TYPE ) 12269  #DMACB1_MOD dmacb1 . bc . _MOD ) 12270  #DMACB1_WS dmacb1 . bc . _WS ) 12271  #DMACB1_DSS dmacb1 . bc . _DSS ) 12272  #DMACB1_SASZ dmacb1 . bc . _SASZ ) 12273  #DMACB1_DASZ dmacb1 . bc . _DASZ ) 12274 __IO_EXTERN DMACA2STR gdma2 ; 12275  #DMACA2 dma2 . lwd ) 12276  #DMACA2_DENB dma2 . b . _DENB ) 12277  #DMACA2_PAUS dma2 . b . _PAUS ) 12278  #DMACA2_STRG dma2 . b . _STRG ) 12279  #DMACA2_IS4 dma2 . b . _IS4 ) 12280  #DMACA2_IS3 dma2 . b . _IS3 ) 12281  #DMACA2_IS2 dma2 . b . _IS2 ) 12282  #DMACA2_IS1 dma2 . b . _IS1 ) 12283  #DMACA2_IS0 dma2 . b . _IS0 ) 12284  #DMACA2_EIS3 dma2 . b . _EIS3 ) 12285  #DMACA2_EIS2 dma2 . b . _EIS2 ) 12286  #DMACA2_EIS1 dma2 . b . _EIS1 ) 12287  #DMACA2_EIS0 dma2 . b . _EIS0 ) 12288  #DMACA2_BLK3 dma2 . b . _BLK3 ) 12289  #DMACA2_BLK2 dma2 . b . _BLK2 ) 12290  #DMACA2_BLK1 dma2 . b . _BLK1 ) 12291  #DMACA2_BLK0 dma2 . b . _BLK0 ) 12292  #DMACA2_DTCF dma2 . b . _DTCF ) 12293  #DMACA2_DTCE dma2 . b . _DTCE ) 12294  #DMACA2_DTCD dma2 . b . _DTCD ) 12295  #DMACA2_DTCC dma2 . b . _DTCC ) 12296  #DMACA2_DTCB dma2 . b . _DTCB ) 12297  #DMACA2_DTCA dma2 . b . _DTCA ) 12298  #DMACA2_DTC9 dma2 . b . _DTC9 ) 12299  #DMACA2_DTC8 dma2 . b . _DTC8 ) 12300  #DMACA2_DTC7 dma2 . b . _DTC7 ) 12301  #DMACA2_DTC6 dma2 . b . _DTC6 ) 12302  #DMACA2_DTC5 dma2 . b . _DTC5 ) 12303  #DMACA2_DTC4 dma2 . b . _DTC4 ) 12304  #DMACA2_DTC3 dma2 . b . _DTC3 ) 12305  #DMACA2_DTC2 dma2 . b . _DTC2 ) 12306  #DMACA2_DTC1 dma2 . b . _DTC1 ) 12307  #DMACA2_DTC0 dma2 . b . _DTC0 ) 12308  #DMACA2_IS dma2 . bc . _IS ) 12309  #DMACA2_EIS dma2 . bc . _EIS ) 12310  #DMACA2_BLK dma2 . bc . _BLK ) 12311  #DMACA2_DTC dma2 . bc . _DTC ) 12312 __IO_EXTERN DMACB2STR gdmacb2 ; 12313  #DMACB2 dmacb2 . lwd ) 12314  #DMACB2_TYPE1 dmacb2 . b . _TYPE1 ) 12315  #DMACB2_TYPE0 dmacb2 . b . _TYPE0 ) 12316  #DMACB2_MOD1 dmacb2 . b . _MOD1 ) 12317  #DMACB2_MOD0 dmacb2 . b . _MOD0 ) 12318  #DMACB2_WS1 dmacb2 . b . _WS1 ) 12319  #DMACB2_WS0 dmacb2 . b . _WS0 ) 12320  #DMACB2_SADM dmacb2 . b . _SADM ) 12321  #DMACB2_DADM dmacb2 . b . _DADM ) 12322  #DMACB2_DTCR dmacb2 . b . _DTCR ) 12323  #DMACB2_SADR dmacb2 . b . _SADR ) 12324  #DMACB2_DADR dmacb2 . b . _DADR ) 12325  #DMACB2_ERIE dmacb2 . b . _ERIE ) 12326  #DMACB2_EDIE dmacb2 . b . _EDIE ) 12327  #DMACB2_DSS2 dmacb2 . b . _DSS2 ) 12328  #DMACB2_DSS1 dmacb2 . b . _DSS1 ) 12329  #DMACB2_DSS0 dmacb2 . b . _DSS0 ) 12330  #DMACB2_SASZ7 dmacb2 . b . _SASZ7 ) 12331  #DMACB2_SASZ6 dmacb2 . b . _SASZ6 ) 12332  #DMACB2_SASZ5 dmacb2 . b . _SASZ5 ) 12333  #DMACB2_SASZ4 dmacb2 . b . _SASZ4 ) 12334  #DMACB2_SASZ3 dmacb2 . b . _SASZ3 ) 12335  #DMACB2_SASZ2 dmacb2 . b . _SASZ2 ) 12336  #DMACB2_SASZ1 dmacb2 . b . _SASZ1 ) 12337  #DMACB2_SASZ0 dmacb2 . b . _SASZ0 ) 12338  #DMACB2_DASZ7 dmacb2 . b . _DASZ7 ) 12339  #DMACB2_DASZ6 dmacb2 . b . _DASZ6 ) 12340  #DMACB2_DASZ5 dmacb2 . b . _DASZ5 ) 12341  #DMACB2_DASZ4 dmacb2 . b . _DASZ4 ) 12342  #DMACB2_DASZ3 dmacb2 . b . _DASZ3 ) 12343  #DMACB2_DASZ2 dmacb2 . b . _DASZ2 ) 12344  #DMACB2_DASZ1 dmacb2 . b . _DASZ1 ) 12345  #DMACB2_DASZ0 dmacb2 . b . _DASZ0 ) 12346  #DMACB2_TYPE dmacb2 . bc . _TYPE ) 12347  #DMACB2_MOD dmacb2 . bc . _MOD ) 12348  #DMACB2_WS dmacb2 . bc . _WS ) 12349  #DMACB2_DSS dmacb2 . bc . _DSS ) 12350  #DMACB2_SASZ dmacb2 . bc . _SASZ ) 12351  #DMACB2_DASZ dmacb2 . bc . _DASZ ) 12352 __IO_EXTERN DMACA3STR gdma3 ; 12353  #DMACA3 dma3 . lwd ) 12354  #DMACA3_DENB dma3 . b . _DENB ) 12355  #DMACA3_PAUS dma3 . b . _PAUS ) 12356  #DMACA3_STRG dma3 . b . _STRG ) 12357  #DMACA3_IS4 dma3 . b . _IS4 ) 12358  #DMACA3_IS3 dma3 . b . _IS3 ) 12359  #DMACA3_IS2 dma3 . b . _IS2 ) 12360  #DMACA3_IS1 dma3 . b . _IS1 ) 12361  #DMACA3_IS0 dma3 . b . _IS0 ) 12362  #DMACA3_EIS3 dma3 . b . _EIS3 ) 12363  #DMACA3_EIS2 dma3 . b . _EIS2 ) 12364  #DMACA3_EIS1 dma3 . b . _EIS1 ) 12365  #DMACA3_EIS0 dma3 . b . _EIS0 ) 12366  #DMACA3_BLK3 dma3 . b . _BLK3 ) 12367  #DMACA3_BLK2 dma3 . b . _BLK2 ) 12368  #DMACA3_BLK1 dma3 . b . _BLK1 ) 12369  #DMACA3_BLK0 dma3 . b . _BLK0 ) 12370  #DMACA3_DTCF dma3 . b . _DTCF ) 12371  #DMACA3_DTCE dma3 . b . _DTCE ) 12372  #DMACA3_DTCD dma3 . b . _DTCD ) 12373  #DMACA3_DTCC dma3 . b . _DTCC ) 12374  #DMACA3_DTCB dma3 . b . _DTCB ) 12375  #DMACA3_DTCA dma3 . b . _DTCA ) 12376  #DMACA3_DTC9 dma3 . b . _DTC9 ) 12377  #DMACA3_DTC8 dma3 . b . _DTC8 ) 12378  #DMACA3_DTC7 dma3 . b . _DTC7 ) 12379  #DMACA3_DTC6 dma3 . b . _DTC6 ) 12380  #DMACA3_DTC5 dma3 . b . _DTC5 ) 12381  #DMACA3_DTC4 dma3 . b . _DTC4 ) 12382  #DMACA3_DTC3 dma3 . b . _DTC3 ) 12383  #DMACA3_DTC2 dma3 . b . _DTC2 ) 12384  #DMACA3_DTC1 dma3 . b . _DTC1 ) 12385  #DMACA3_DTC0 dma3 . b . _DTC0 ) 12386  #DMACA3_IS dma3 . bc . _IS ) 12387  #DMACA3_EIS dma3 . bc . _EIS ) 12388  #DMACA3_BLK dma3 . bc . _BLK ) 12389  #DMACA3_DTC dma3 . bc . _DTC ) 12390 __IO_EXTERN DMACB3STR gdmacb3 ; 12391  #DMACB3 dmacb3 . lwd ) 12392  #DMACB3_TYPE1 dmacb3 . b . _TYPE1 ) 12393  #DMACB3_TYPE0 dmacb3 . b . _TYPE0 ) 12394  #DMACB3_MOD1 dmacb3 . b . _MOD1 ) 12395  #DMACB3_MOD0 dmacb3 . b . _MOD0 ) 12396  #DMACB3_WS1 dmacb3 . b . _WS1 ) 12397  #DMACB3_WS0 dmacb3 . b . _WS0 ) 12398  #DMACB3_SADM dmacb3 . b . _SADM ) 12399  #DMACB3_DADM dmacb3 . b . _DADM ) 12400  #DMACB3_DTCR dmacb3 . b . _DTCR ) 12401  #DMACB3_SADR dmacb3 . b . _SADR ) 12402  #DMACB3_DADR dmacb3 . b . _DADR ) 12403  #DMACB3_ERIE dmacb3 . b . _ERIE ) 12404  #DMACB3_EDIE dmacb3 . b . _EDIE ) 12405  #DMACB3_DSS2 dmacb3 . b . _DSS2 ) 12406  #DMACB3_DSS1 dmacb3 . b . _DSS1 ) 12407  #DMACB3_DSS0 dmacb3 . b . _DSS0 ) 12408  #DMACB3_SASZ7 dmacb3 . b . _SASZ7 ) 12409  #DMACB3_SASZ6 dmacb3 . b . _SASZ6 ) 12410  #DMACB3_SASZ5 dmacb3 . b . _SASZ5 ) 12411  #DMACB3_SASZ4 dmacb3 . b . _SASZ4 ) 12412  #DMACB3_SASZ3 dmacb3 . b . _SASZ3 ) 12413  #DMACB3_SASZ2 dmacb3 . b . _SASZ2 ) 12414  #DMACB3_SASZ1 dmacb3 . b . _SASZ1 ) 12415  #DMACB3_SASZ0 dmacb3 . b . _SASZ0 ) 12416  #DMACB3_DASZ7 dmacb3 . b . _DASZ7 ) 12417  #DMACB3_DASZ6 dmacb3 . b . _DASZ6 ) 12418  #DMACB3_DASZ5 dmacb3 . b . _DASZ5 ) 12419  #DMACB3_DASZ4 dmacb3 . b . _DASZ4 ) 12420  #DMACB3_DASZ3 dmacb3 . b . _DASZ3 ) 12421  #DMACB3_DASZ2 dmacb3 . b . _DASZ2 ) 12422  #DMACB3_DASZ1 dmacb3 . b . _DASZ1 ) 12423  #DMACB3_DASZ0 dmacb3 . b . _DASZ0 ) 12424  #DMACB3_TYPE dmacb3 . bc . _TYPE ) 12425  #DMACB3_MOD dmacb3 . bc . _MOD ) 12426  #DMACB3_WS dmacb3 . bc . _WS ) 12427  #DMACB3_DSS dmacb3 . bc . _DSS ) 12428  #DMACB3_SASZ dmacb3 . bc . _SASZ ) 12429  #DMACB3_DASZ dmacb3 . bc . _DASZ ) 12430 __IO_EXTERN DMACA4STR gdma4 ; 12431  #DMACA4 dma4 . lwd ) 12432  #DMACA4_DENB dma4 . b . _DENB ) 12433  #DMACA4_PAUS dma4 . b . _PAUS ) 12434  #DMACA4_STRG dma4 . b . _STRG ) 12435  #DMACA4_IS4 dma4 . b . _IS4 ) 12436  #DMACA4_IS3 dma4 . b . _IS3 ) 12437  #DMACA4_IS2 dma4 . b . _IS2 ) 12438  #DMACA4_IS1 dma4 . b . _IS1 ) 12439  #DMACA4_IS0 dma4 . b . _IS0 ) 12440  #DMACA4_EIS3 dma4 . b . _EIS3 ) 12441  #DMACA4_EIS2 dma4 . b . _EIS2 ) 12442  #DMACA4_EIS1 dma4 . b . _EIS1 ) 12443  #DMACA4_EIS0 dma4 . b . _EIS0 ) 12444  #DMACA4_BLK3 dma4 . b . _BLK3 ) 12445  #DMACA4_BLK2 dma4 . b . _BLK2 ) 12446  #DMACA4_BLK1 dma4 . b . _BLK1 ) 12447  #DMACA4_BLK0 dma4 . b . _BLK0 ) 12448  #DMACA4_DTCF dma4 . b . _DTCF ) 12449  #DMACA4_DTCE dma4 . b . _DTCE ) 12450  #DMACA4_DTCD dma4 . b . _DTCD ) 12451  #DMACA4_DTCC dma4 . b . _DTCC ) 12452  #DMACA4_DTCB dma4 . b . _DTCB ) 12453  #DMACA4_DTCA dma4 . b . _DTCA ) 12454  #DMACA4_DTC9 dma4 . b . _DTC9 ) 12455  #DMACA4_DTC8 dma4 . b . _DTC8 ) 12456  #DMACA4_DTC7 dma4 . b . _DTC7 ) 12457  #DMACA4_DTC6 dma4 . b . _DTC6 ) 12458  #DMACA4_DTC5 dma4 . b . _DTC5 ) 12459  #DMACA4_DTC4 dma4 . b . _DTC4 ) 12460  #DMACA4_DTC3 dma4 . b . _DTC3 ) 12461  #DMACA4_DTC2 dma4 . b . _DTC2 ) 12462  #DMACA4_DTC1 dma4 . b . _DTC1 ) 12463  #DMACA4_DTC0 dma4 . b . _DTC0 ) 12464  #DMACA4_IS dma4 . bc . _IS ) 12465  #DMACA4_EIS dma4 . bc . _EIS ) 12466  #DMACA4_BLK dma4 . bc . _BLK ) 12467  #DMACA4_DTC dma4 . bc . _DTC ) 12468 __IO_EXTERN DMACB4STR gdmacb4 ; 12469  #DMACB4 dmacb4 . lwd ) 12470  #DMACB4_TYPE1 dmacb4 . b . _TYPE1 ) 12471  #DMACB4_TYPE0 dmacb4 . b . _TYPE0 ) 12472  #DMACB4_MOD1 dmacb4 . b . _MOD1 ) 12473  #DMACB4_MOD0 dmacb4 . b . _MOD0 ) 12474  #DMACB4_WS1 dmacb4 . b . _WS1 ) 12475  #DMACB4_WS0 dmacb4 . b . _WS0 ) 12476  #DMACB4_SADM dmacb4 . b . _SADM ) 12477  #DMACB4_DADM dmacb4 . b . _DADM ) 12478  #DMACB4_DTCR dmacb4 . b . _DTCR ) 12479  #DMACB4_SADR dmacb4 . b . _SADR ) 12480  #DMACB4_DADR dmacb4 . b . _DADR ) 12481  #DMACB4_ERIE dmacb4 . b . _ERIE ) 12482  #DMACB4_EDIE dmacb4 . b . _EDIE ) 12483  #DMACB4_DSS2 dmacb4 . b . _DSS2 ) 12484  #DMACB4_DSS1 dmacb4 . b . _DSS1 ) 12485  #DMACB4_DSS0 dmacb4 . b . _DSS0 ) 12486  #DMACB4_SASZ7 dmacb4 . b . _SASZ7 ) 12487  #DMACB4_SASZ6 dmacb4 . b . _SASZ6 ) 12488  #DMACB4_SASZ5 dmacb4 . b . _SASZ5 ) 12489  #DMACB4_SASZ4 dmacb4 . b . _SASZ4 ) 12490  #DMACB4_SASZ3 dmacb4 . b . _SASZ3 ) 12491  #DMACB4_SASZ2 dmacb4 . b . _SASZ2 ) 12492  #DMACB4_SASZ1 dmacb4 . b . _SASZ1 ) 12493  #DMACB4_SASZ0 dmacb4 . b . _SASZ0 ) 12494  #DMACB4_DASZ7 dmacb4 . b . _DASZ7 ) 12495  #DMACB4_DASZ6 dmacb4 . b . _DASZ6 ) 12496  #DMACB4_DASZ5 dmacb4 . b . _DASZ5 ) 12497  #DMACB4_DASZ4 dmacb4 . b . _DASZ4 ) 12498  #DMACB4_DASZ3 dmacb4 . b . _DASZ3 ) 12499  #DMACB4_DASZ2 dmacb4 . b . _DASZ2 ) 12500  #DMACB4_DASZ1 dmacb4 . b . _DASZ1 ) 12501  #DMACB4_DASZ0 dmacb4 . b . _DASZ0 ) 12502  #DMACB4_TYPE dmacb4 . bc . _TYPE ) 12503  #DMACB4_MOD dmacb4 . bc . _MOD ) 12504  #DMACB4_WS dmacb4 . bc . _WS ) 12505  #DMACB4_DSS dmacb4 . bc . _DSS ) 12506  #DMACB4_SASZ dmacb4 . bc . _SASZ ) 12507  #DMACB4_DASZ dmacb4 . bc . _DASZ ) 12508 __IO_EXTERN DMACRSTR gdma ; 12509  #DMACR dma . by ) 12510  #DMACR_DMAE dma . b . _DMAE ) 12511  #DMACR_PM01 dma . b . _PM01 ) 12512  #DMACR_DMAH3 dma . b . _DMAH3 ) 12513  #DMACR_DMAH2 dma . b . _DMAH2 ) 12514  #DMACR_DMAH1 dma . b . _DMAH1 ) 12515  #DMACR_DMAH0 dma . b . _DMAH0 ) 12516  #DMACR_DMAH dma . bc . _DMAH ) 12517 __IO_EXTERN ICS45STR gics45 ; 12518  #ICS45 ics45 . by ) 12519  #ICS45_ICP5 ics45 . b . _ICP5 ) 12520  #ICS45_ICP4 ics45 . b . _ICP4 ) 12521  #ICS45_ICE5 ics45 . b . _ICE5 ) 12522  #ICS45_ICE4 ics45 . b . _ICE4 ) 12523  #ICS45_EG51 ics45 . b . _EG51 ) 12524  #ICS45_EG50 ics45 . b . _EG50 ) 12525  #ICS45_EG41 ics45 . b . _EG41 ) 12526  #ICS45_EG40 ics45 . b . _EG40 ) 12527  #ICS45_EG5 ics45 . bc . _EG5 ) 12528  #ICS45_EG4 ics45 . bc . _EG4 ) 12529 __IO_EXTERN ICS67STR gics67 ; 12530  #ICS67 ics67 . by ) 12531  #ICS67_ICP7 ics67 . b . _ICP7 ) 12532  #ICS67_ICP6 ics67 . b . _ICP6 ) 12533  #ICS67_ICE7 ics67 . b . _ICE7 ) 12534  #ICS67_ICE6 ics67 . b . _ICE6 ) 12535  #ICS67_EG71 ics67 . b . _EG71 ) 12536  #ICS67_EG70 ics67 . b . _EG70 ) 12537  #ICS67_EG61 ics67 . b . _EG61 ) 12538  #ICS67_EG60 ics67 . b . _EG60 ) 12539  #ICS67_EG7 ics67 . bc . _EG7 ) 12540  #ICS67_EG6 ics67 . bc . _EG6 ) 12541 __IO_EXTERN IPCP4STR g4 ; 12542  #IPCP4 4 . wd ) 12543  #IPCP4_CP15 4 . b . _CP15 ) 12544  #IPCP4_CP14 4 . b . _CP14 ) 12545  #IPCP4_CP13 4 . b . _CP13 ) 12546  #IPCP4_CP12 4 . b . _CP12 ) 12547  #IPCP4_CP11 4 . b . _CP11 ) 12548  #IPCP4_CP10 4 . b . _CP10 ) 12549  #IPCP4_CP9 4 . b . _CP9 ) 12550  #IPCP4_CP8 4 . b . _CP8 ) 12551  #IPCP4_CP7 4 . b . _CP7 ) 12552  #IPCP4_CP6 4 . b . _CP6 ) 12553  #IPCP4_CP5 4 . b . _CP5 ) 12554  #IPCP4_CP4 4 . b . _CP4 ) 12555  #IPCP4_CP3 4 . b . _CP3 ) 12556  #IPCP4_CP2 4 . b . _CP2 ) 12557  #IPCP4_CP1 4 . b . _CP1 ) 12558  #IPCP4_CP0 4 . b . _CP0 ) 12559 __IO_EXTERN IPCP5STR g5 ; 12560  #IPCP5 5 . wd ) 12561  #IPCP5_CP15 5 . b . _CP15 ) 12562  #IPCP5_CP14 5 . b . _CP14 ) 12563  #IPCP5_CP13 5 . b . _CP13 ) 12564  #IPCP5_CP12 5 . b . _CP12 ) 12565  #IPCP5_CP11 5 . b . _CP11 ) 12566  #IPCP5_CP10 5 . b . _CP10 ) 12567  #IPCP5_CP9 5 . b . _CP9 ) 12568  #IPCP5_CP8 5 . b . _CP8 ) 12569  #IPCP5_CP7 5 . b . _CP7 ) 12570  #IPCP5_CP6 5 . b . _CP6 ) 12571  #IPCP5_CP5 5 . b . _CP5 ) 12572  #IPCP5_CP4 5 . b . _CP4 ) 12573  #IPCP5_CP3 5 . b . _CP3 ) 12574  #IPCP5_CP2 5 . b . _CP2 ) 12575  #IPCP5_CP1 5 . b . _CP1 ) 12576  #IPCP5_CP0 5 . b . _CP0 ) 12577 __IO_EXTERN IPCP6STR g6 ; 12578  #IPCP6 6 . wd ) 12579  #IPCP6_CP15 6 . b . _CP15 ) 12580  #IPCP6_CP14 6 . b . _CP14 ) 12581  #IPCP6_CP13 6 . b . _CP13 ) 12582  #IPCP6_CP12 6 . b . _CP12 ) 12583  #IPCP6_CP11 6 . b . _CP11 ) 12584  #IPCP6_CP10 6 . b . _CP10 ) 12585  #IPCP6_CP9 6 . b . _CP9 ) 12586  #IPCP6_CP8 6 . b . _CP8 ) 12587  #IPCP6_CP7 6 . b . _CP7 ) 12588  #IPCP6_CP6 6 . b . _CP6 ) 12589  #IPCP6_CP5 6 . b . _CP5 ) 12590  #IPCP6_CP4 6 . b . _CP4 ) 12591  #IPCP6_CP3 6 . b . _CP3 ) 12592  #IPCP6_CP2 6 . b . _CP2 ) 12593  #IPCP6_CP1 6 . b . _CP1 ) 12594  #IPCP6_CP0 6 . b . _CP0 ) 12595 __IO_EXTERN IPCP7STR g7 ; 12596  #IPCP7 7 . wd ) 12597  #IPCP7_CP15 7 . b . _CP15 ) 12598  #IPCP7_CP14 7 . b . _CP14 ) 12599  #IPCP7_CP13 7 . b . _CP13 ) 12600  #IPCP7_CP12 7 . b . _CP12 ) 12601  #IPCP7_CP11 7 . b . _CP11 ) 12602  #IPCP7_CP10 7 . b . _CP10 ) 12603  #IPCP7_CP9 7 . b . _CP9 ) 12604  #IPCP7_CP8 7 . b . _CP8 ) 12605  #IPCP7_CP7 7 . b . _CP7 ) 12606  #IPCP7_CP6 7 . b . _CP6 ) 12607  #IPCP7_CP5 7 . b . _CP5 ) 12608  #IPCP7_CP4 7 . b . _CP4 ) 12609  #IPCP7_CP3 7 . b . _CP3 ) 12610  #IPCP7_CP2 7 . b . _CP2 ) 12611  #IPCP7_CP1 7 . b . _CP1 ) 12612  #IPCP7_CP0 7 . b . _CP0 ) 12613 __IO_EXTERN OCS45STR gocs45 ; 12614  #OCS45 ocs45 . wd ) 12615  #OCS45_CMOD ocs45 . b . _CMOD ) 12616  #OCS45_OTD5 ocs45 . b . _OTD5 ) 12617  #OCS45_OTD4 ocs45 . b . _OTD4 ) 12618  #OCS45_ICP5 ocs45 . b . _ICP5 ) 12619  #OCS45_ICP4 ocs45 . b . _ICP4 ) 12620  #OCS45_ICE5 ocs45 . b . _ICE5 ) 12621  #OCS45_ICE4 ocs45 . b . _ICE4 ) 12622  #OCS45_CST5 ocs45 . b . _CST5 ) 12623  #OCS45_CST4 ocs45 . b . _CST4 ) 12624 __IO_EXTERN OCS67STR gocs67 ; 12625  #OCS67 ocs67 . wd ) 12626  #OCS67_CMOD ocs67 . b . _CMOD ) 12627  #OCS67_OTD7 ocs67 . b . _OTD7 ) 12628  #OCS67_OTD6 ocs67 . b . _OTD6 ) 12629  #OCS67_ICP7 ocs67 . b . _ICP7 ) 12630  #OCS67_ICP6 ocs67 . b . _ICP6 ) 12631  #OCS67_ICE7 ocs67 . b . _ICE7 ) 12632  #OCS67_ICE6 ocs67 . b . _ICE6 ) 12633  #OCS67_CST7 ocs67 . b . _CST7 ) 12634  #OCS67_CST6 ocs67 . b . _CST6 ) 12635 __IO_EXTERN OCCP4STR goc4 ; 12636  #OCCP4 oc4 . wd ) 12637  #OCCP4_C15 oc4 . b . _C15 ) 12638  #OCCP4_C14 oc4 . b . _C14 ) 12639  #OCCP4_C13 oc4 . b . _C13 ) 12640  #OCCP4_C12 oc4 . b . _C12 ) 12641  #OCCP4_C11 oc4 . b . _C11 ) 12642  #OCCP4_C10 oc4 . b . _C10 ) 12643  #OCCP4_C9 oc4 . b . _C9 ) 12644  #OCCP4_C8 oc4 . b . _C8 ) 12645  #OCCP4_C7 oc4 . b . _C7 ) 12646  #OCCP4_C6 oc4 . b . _C6 ) 12647  #OCCP4_C5 oc4 . b . _C5 ) 12648  #OCCP4_C4 oc4 . b . _C4 ) 12649  #OCCP4_C3 oc4 . b . _C3 ) 12650  #OCCP4_C2 oc4 . b . _C2 ) 12651  #OCCP4_C1 oc4 . b . _C1 ) 12652  #OCCP4_C0 oc4 . b . _C0 ) 12653 __IO_EXTERN OCCP5STR goc5 ; 12654  #OCCP5 oc5 . wd ) 12655  #OCCP5_C15 oc5 . b . _C15 ) 12656  #OCCP5_C14 oc5 . b . _C14 ) 12657  #OCCP5_C13 oc5 . b . _C13 ) 12658  #OCCP5_C12 oc5 . b . _C12 ) 12659  #OCCP5_C11 oc5 . b . _C11 ) 12660  #OCCP5_C10 oc5 . b . _C10 ) 12661  #OCCP5_C9 oc5 . b . _C9 ) 12662  #OCCP5_C8 oc5 . b . _C8 ) 12663  #OCCP5_C7 oc5 . b . _C7 ) 12664  #OCCP5_C6 oc5 . b . _C6 ) 12665  #OCCP5_C5 oc5 . b . _C5 ) 12666  #OCCP5_C4 oc5 . b . _C4 ) 12667  #OCCP5_C3 oc5 . b . _C3 ) 12668  #OCCP5_C2 oc5 . b . _C2 ) 12669  #OCCP5_C1 oc5 . b . _C1 ) 12670  #OCCP5_C0 oc5 . b . _C0 ) 12671 __IO_EXTERN OCCP6STR goc6 ; 12672  #OCCP6 oc6 . wd ) 12673  #OCCP6_C15 oc6 . b . _C15 ) 12674  #OCCP6_C14 oc6 . b . _C14 ) 12675  #OCCP6_C13 oc6 . b . _C13 ) 12676  #OCCP6_C12 oc6 . b . _C12 ) 12677  #OCCP6_C11 oc6 . b . _C11 ) 12678  #OCCP6_C10 oc6 . b . _C10 ) 12679  #OCCP6_C9 oc6 . b . _C9 ) 12680  #OCCP6_C8 oc6 . b . _C8 ) 12681  #OCCP6_C7 oc6 . b . _C7 ) 12682  #OCCP6_C6 oc6 . b . _C6 ) 12683  #OCCP6_C5 oc6 . b . _C5 ) 12684  #OCCP6_C4 oc6 . b . _C4 ) 12685  #OCCP6_C3 oc6 . b . _C3 ) 12686  #OCCP6_C2 oc6 . b . _C2 ) 12687  #OCCP6_C1 oc6 . b . _C1 ) 12688  #OCCP6_C0 oc6 . b . _C0 ) 12689 __IO_EXTERN OCCP7STR goc7 ; 12690  #OCCP7 oc7 . wd ) 12691  #OCCP7_C15 oc7 . b . _C15 ) 12692  #OCCP7_C14 oc7 . b . _C14 ) 12693  #OCCP7_C13 oc7 . b . _C13 ) 12694  #OCCP7_C12 oc7 . b . _C12 ) 12695  #OCCP7_C11 oc7 . b . _C11 ) 12696  #OCCP7_C10 oc7 . b . _C10 ) 12697  #OCCP7_C9 oc7 . b . _C9 ) 12698  #OCCP7_C8 oc7 . b . _C8 ) 12699  #OCCP7_C7 oc7 . b . _C7 ) 12700  #OCCP7_C6 oc7 . b . _C6 ) 12701  #OCCP7_C5 oc7 . b . _C5 ) 12702  #OCCP7_C4 oc7 . b . _C4 ) 12703  #OCCP7_C3 oc7 . b . _C3 ) 12704  #OCCP7_C2 oc7 . b . _C2 ) 12705  #OCCP7_C1 oc7 . b . _C1 ) 12706  #OCCP7_C0 oc7 . b . _C0 ) 12707 __IO_EXTERN TCDT4STR gtcdt4 ; 12708  #TCDT4 tcdt4 . wd ) 12709  #TCDT4_T15 tcdt4 . b . _T15 ) 12710  #TCDT4_T14 tcdt4 . b . _T14 ) 12711  #TCDT4_T13 tcdt4 . b . _T13 ) 12712  #TCDT4_T12 tcdt4 . b . _T12 ) 12713  #TCDT4_T11 tcdt4 . b . _T11 ) 12714  #TCDT4_T10 tcdt4 . b . _T10 ) 12715  #TCDT4_T9 tcdt4 . b . _T9 ) 12716  #TCDT4_T8 tcdt4 . b . _T8 ) 12717  #TCDT4_T7 tcdt4 . b . _T7 ) 12718  #TCDT4_T6 tcdt4 . b . _T6 ) 12719  #TCDT4_T5 tcdt4 . b . _T5 ) 12720  #TCDT4_T4 tcdt4 . b . _T4 ) 12721  #TCDT4_T3 tcdt4 . b . _T3 ) 12722  #TCDT4_T2 tcdt4 . b . _T2 ) 12723  #TCDT4_T1 tcdt4 . b . _T1 ) 12724  #TCDT4_T0 tcdt4 . b . _T0 ) 12725 __IO_EXTERN TCCS4STR gtccs4 ; 12726  #TCCS4 tccs4 . by ) 12727  #TCCS4_ECLK tccs4 . b . _ECLK ) 12728  #TCCS4_IVF tccs4 . b . _IVF ) 12729  #TCCS4_IVFE tccs4 . b . _IVFE ) 12730  #TCCS4_STOP tccs4 . b . _STOP ) 12731  #TCCS4_MODE tccs4 . b . _MODE ) 12732  #TCCS4_CLR tccs4 . b . _CLR ) 12733  #TCCS4_CLK1 tccs4 . b . _CLK1 ) 12734  #TCCS4_CLK0 tccs4 . b . _CLK0 ) 12735  #TCCS4_CLK tccs4 . bc . _CLK ) 12736 __IO_EXTERN TCDT5STR gtcdt5 ; 12737  #TCDT5 tcdt5 . wd ) 12738  #TCDT5_T15 tcdt5 . b . _T15 ) 12739  #TCDT5_T14 tcdt5 . b . _T14 ) 12740  #TCDT5_T13 tcdt5 . b . _T13 ) 12741  #TCDT5_T12 tcdt5 . b . _T12 ) 12742  #TCDT5_T11 tcdt5 . b . _T11 ) 12743  #TCDT5_T10 tcdt5 . b . _T10 ) 12744  #TCDT5_T9 tcdt5 . b . _T9 ) 12745  #TCDT5_T8 tcdt5 . b . _T8 ) 12746  #TCDT5_T7 tcdt5 . b . _T7 ) 12747  #TCDT5_T6 tcdt5 . b . _T6 ) 12748  #TCDT5_T5 tcdt5 . b . _T5 ) 12749  #TCDT5_T4 tcdt5 . b . _T4 ) 12750  #TCDT5_T3 tcdt5 . b . _T3 ) 12751  #TCDT5_T2 tcdt5 . b . _T2 ) 12752  #TCDT5_T1 tcdt5 . b . _T1 ) 12753  #TCDT5_T0 tcdt5 . b . _T0 ) 12754 __IO_EXTERN TCCS5STR gtccs5 ; 12755  #TCCS5 tccs5 . by ) 12756  #TCCS5_ECLK tccs5 . b . _ECLK ) 12757  #TCCS5_IVF tccs5 . b . _IVF ) 12758  #TCCS5_IVFE tccs5 . b . _IVFE ) 12759  #TCCS5_STOP tccs5 . b . _STOP ) 12760  #TCCS5_MODE tccs5 . b . _MODE ) 12761  #TCCS5_CLR tccs5 . b . _CLR ) 12762  #TCCS5_CLK1 tccs5 . b . _CLK1 ) 12763  #TCCS5_CLK0 tccs5 . b . _CLK0 ) 12764  #TCCS5_CLK tccs5 . bc . _CLK ) 12765 __IO_EXTERN TCDT6STR gtcdt6 ; 12766  #TCDT6 tcdt6 . wd ) 12767  #TCDT6_T15 tcdt6 . b . _T15 ) 12768  #TCDT6_T14 tcdt6 . b . _T14 ) 12769  #TCDT6_T13 tcdt6 . b . _T13 ) 12770  #TCDT6_T12 tcdt6 . b . _T12 ) 12771  #TCDT6_T11 tcdt6 . b . _T11 ) 12772  #TCDT6_T10 tcdt6 . b . _T10 ) 12773  #TCDT6_T9 tcdt6 . b . _T9 ) 12774  #TCDT6_T8 tcdt6 . b . _T8 ) 12775  #TCDT6_T7 tcdt6 . b . _T7 ) 12776  #TCDT6_T6 tcdt6 . b . _T6 ) 12777  #TCDT6_T5 tcdt6 . b . _T5 ) 12778  #TCDT6_T4 tcdt6 . b . _T4 ) 12779  #TCDT6_T3 tcdt6 . b . _T3 ) 12780  #TCDT6_T2 tcdt6 . b . _T2 ) 12781  #TCDT6_T1 tcdt6 . b . _T1 ) 12782  #TCDT6_T0 tcdt6 . b . _T0 ) 12783 __IO_EXTERN TCCS6STR gtccs6 ; 12784  #TCCS6 tccs6 . by ) 12785  #TCCS6_ECLK tccs6 . b . _ECLK ) 12786  #TCCS6_IVF tccs6 . b . _IVF ) 12787  #TCCS6_IVFE tccs6 . b . _IVFE ) 12788  #TCCS6_STOP tccs6 . b . _STOP ) 12789  #TCCS6_MODE tccs6 . b . _MODE ) 12790  #TCCS6_CLR tccs6 . b . _CLR ) 12791  #TCCS6_CLK1 tccs6 . b . _CLK1 ) 12792  #TCCS6_CLK0 tccs6 . b . _CLK0 ) 12793  #TCCS6_CLK tccs6 . bc . _CLK ) 12794 __IO_EXTERN TCDT7STR gtcdt7 ; 12795  #TCDT7 tcdt7 . wd ) 12796  #TCDT7_T15 tcdt7 . b . _T15 ) 12797  #TCDT7_T14 tcdt7 . b . _T14 ) 12798  #TCDT7_T13 tcdt7 . b . _T13 ) 12799  #TCDT7_T12 tcdt7 . b . _T12 ) 12800  #TCDT7_T11 tcdt7 . b . _T11 ) 12801  #TCDT7_T10 tcdt7 . b . _T10 ) 12802  #TCDT7_T9 tcdt7 . b . _T9 ) 12803  #TCDT7_T8 tcdt7 . b . _T8 ) 12804  #TCDT7_T7 tcdt7 . b . _T7 ) 12805  #TCDT7_T6 tcdt7 . b . _T6 ) 12806  #TCDT7_T5 tcdt7 . b . _T5 ) 12807  #TCDT7_T4 tcdt7 . b . _T4 ) 12808  #TCDT7_T3 tcdt7 . b . _T3 ) 12809  #TCDT7_T2 tcdt7 . b . _T2 ) 12810  #TCDT7_T1 tcdt7 . b . _T1 ) 12811  #TCDT7_T0 tcdt7 . b . _T0 ) 12812 __IO_EXTERN TCCS7STR gtccs7 ; 12813  #TCCS7 tccs7 . by ) 12814  #TCCS7_ECLK tccs7 . b . _ECLK ) 12815  #TCCS7_IVF tccs7 . b . _IVF ) 12816  #TCCS7_IVFE tccs7 . b . _IVFE ) 12817  #TCCS7_STOP tccs7 . b . _STOP ) 12818  #TCCS7_MODE tccs7 . b . _MODE ) 12819  #TCCS7_CLR tccs7 . b . _CLR ) 12820  #TCCS7_CLK1 tccs7 . b . _CLK1 ) 12821  #TCCS7_CLK0 tccs7 . b . _CLK0 ) 12822  #TCCS7_CLK tccs7 . bc . _CLK ) 12823 __IO_EXTERN ROMSSTR groms ; 12824  #ROMS roms . wd ) 12825  #ROMS_D15 roms . b . _D15 ) 12826  #ROMS_D14 roms . b . _D14 ) 12827  #ROMS_D13 roms . b . _D13 ) 12828  #ROMS_D12 roms . b . _D12 ) 12829  #ROMS_D11 roms . b . _D11 ) 12830  #ROMS_D10 roms . b . _D10 ) 12831  #ROMS_D9 roms . b . _D9 ) 12832  #ROMS_D8 roms . b . _D8 ) 12833  #ROMS_D7 roms . b . _D7 ) 12834  #ROMS_D6 roms . b . _D6 ) 12835  #ROMS_D5 roms . b . _D5 ) 12836  #ROMS_D4 roms . b . _D4 ) 12837  #ROMS_D3 roms . b . _D3 ) 12838  #ROMS_D2 roms . b . _D2 ) 12839  #ROMS_D1 roms . b . _D1 ) 12840  #ROMS_D0 roms . b . _D0 ) 12841 __IO_EXTERN IO_LWORD gbsd0 ; 12842  #BSD0 bsd0 ) 12843 __IO_EXTERN IO_LWORD gbsd1 ; 12844  #BSD1 bsd1 ) 12845 __IO_EXTERN IO_LWORD gbsdc ; 12846  #BSDC bsdc ) 12847 __IO_EXTERN IO_LWORD gbr ; 12848  #BSRR br ) 12849 __IO_EXTERN ICR00STR gi00 ; 12850  #ICR00 i00 . by ) 12851  #ICR00_ICR4 i00 . b . _ICR4 ) 12852  #ICR00_ICR3 i00 . b . _ICR3 ) 12853  #ICR00_ICR2 i00 . b . _ICR2 ) 12854  #ICR00_ICR1 i00 . b . _ICR1 ) 12855  #ICR00_ICR0 i00 . b . _ICR0 ) 12856 __IO_EXTERN ICR01STR gi01 ; 12857  #ICR01 i01 . by ) 12858  #ICR01_ICR4 i01 . b . _ICR4 ) 12859  #ICR01_ICR3 i01 . b . _ICR3 ) 12860  #ICR01_ICR2 i01 . b . _ICR2 ) 12861  #ICR01_ICR1 i01 . b . _ICR1 ) 12862  #ICR01_ICR0 i01 . b . _ICR0 ) 12863 __IO_EXTERN ICR02STR gi02 ; 12864  #ICR02 i02 . by ) 12865  #ICR02_ICR4 i02 . b . _ICR4 ) 12866  #ICR02_ICR3 i02 . b . _ICR3 ) 12867  #ICR02_ICR2 i02 . b . _ICR2 ) 12868  #ICR02_ICR1 i02 . b . _ICR1 ) 12869  #ICR02_ICR0 i02 . b . _ICR0 ) 12870 __IO_EXTERN ICR03STR gi03 ; 12871  #ICR03 i03 . by ) 12872  #ICR03_ICR4 i03 . b . _ICR4 ) 12873  #ICR03_ICR3 i03 . b . _ICR3 ) 12874  #ICR03_ICR2 i03 . b . _ICR2 ) 12875  #ICR03_ICR1 i03 . b . _ICR1 ) 12876  #ICR03_ICR0 i03 . b . _ICR0 ) 12877 __IO_EXTERN ICR04STR gi04 ; 12878  #ICR04 i04 . by ) 12879  #ICR04_ICR4 i04 . b . _ICR4 ) 12880  #ICR04_ICR3 i04 . b . _ICR3 ) 12881  #ICR04_ICR2 i04 . b . _ICR2 ) 12882  #ICR04_ICR1 i04 . b . _ICR1 ) 12883  #ICR04_ICR0 i04 . b . _ICR0 ) 12884 __IO_EXTERN ICR05STR gi05 ; 12885  #ICR05 i05 . by ) 12886  #ICR05_ICR4 i05 . b . _ICR4 ) 12887  #ICR05_ICR3 i05 . b . _ICR3 ) 12888  #ICR05_ICR2 i05 . b . _ICR2 ) 12889  #ICR05_ICR1 i05 . b . _ICR1 ) 12890  #ICR05_ICR0 i05 . b . _ICR0 ) 12891 __IO_EXTERN ICR06STR gi06 ; 12892  #ICR06 i06 . by ) 12893  #ICR06_ICR4 i06 . b . _ICR4 ) 12894  #ICR06_ICR3 i06 . b . _ICR3 ) 12895  #ICR06_ICR2 i06 . b . _ICR2 ) 12896  #ICR06_ICR1 i06 . b . _ICR1 ) 12897  #ICR06_ICR0 i06 . b . _ICR0 ) 12898 __IO_EXTERN ICR07STR gi07 ; 12899  #ICR07 i07 . by ) 12900  #ICR07_ICR4 i07 . b . _ICR4 ) 12901  #ICR07_ICR3 i07 . b . _ICR3 ) 12902  #ICR07_ICR2 i07 . b . _ICR2 ) 12903  #ICR07_ICR1 i07 . b . _ICR1 ) 12904  #ICR07_ICR0 i07 . b . _ICR0 ) 12905 __IO_EXTERN ICR08STR gi08 ; 12906  #ICR08 i08 . by ) 12907  #ICR08_ICR4 i08 . b . _ICR4 ) 12908  #ICR08_ICR3 i08 . b . _ICR3 ) 12909  #ICR08_ICR2 i08 . b . _ICR2 ) 12910  #ICR08_ICR1 i08 . b . _ICR1 ) 12911  #ICR08_ICR0 i08 . b . _ICR0 ) 12912 __IO_EXTERN ICR09STR gi09 ; 12913  #ICR09 i09 . by ) 12914  #ICR09_ICR4 i09 . b . _ICR4 ) 12915  #ICR09_ICR3 i09 . b . _ICR3 ) 12916  #ICR09_ICR2 i09 . b . _ICR2 ) 12917  #ICR09_ICR1 i09 . b . _ICR1 ) 12918  #ICR09_ICR0 i09 . b . _ICR0 ) 12919 __IO_EXTERN ICR10STR gi10 ; 12920  #ICR10 i10 . by ) 12921  #ICR10_ICR4 i10 . b . _ICR4 ) 12922  #ICR10_ICR3 i10 . b . _ICR3 ) 12923  #ICR10_ICR2 i10 . b . _ICR2 ) 12924  #ICR10_ICR1 i10 . b . _ICR1 ) 12925  #ICR10_ICR0 i10 . b . _ICR0 ) 12926 __IO_EXTERN ICR11STR gi11 ; 12927  #ICR11 i11 . by ) 12928  #ICR11_ICR4 i11 . b . _ICR4 ) 12929  #ICR11_ICR3 i11 . b . _ICR3 ) 12930  #ICR11_ICR2 i11 . b . _ICR2 ) 12931  #ICR11_ICR1 i11 . b . _ICR1 ) 12932  #ICR11_ICR0 i11 . b . _ICR0 ) 12933 __IO_EXTERN ICR12STR gi12 ; 12934  #ICR12 i12 . by ) 12935  #ICR12_ICR4 i12 . b . _ICR4 ) 12936  #ICR12_ICR3 i12 . b . _ICR3 ) 12937  #ICR12_ICR2 i12 . b . _ICR2 ) 12938  #ICR12_ICR1 i12 . b . _ICR1 ) 12939  #ICR12_ICR0 i12 . b . _ICR0 ) 12940 __IO_EXTERN ICR13STR gi13 ; 12941  #ICR13 i13 . by ) 12942  #ICR13_ICR4 i13 . b . _ICR4 ) 12943  #ICR13_ICR3 i13 . b . _ICR3 ) 12944  #ICR13_ICR2 i13 . b . _ICR2 ) 12945  #ICR13_ICR1 i13 . b . _ICR1 ) 12946  #ICR13_ICR0 i13 . b . _ICR0 ) 12947 __IO_EXTERN ICR14STR gi14 ; 12948  #ICR14 i14 . by ) 12949  #ICR14_ICR4 i14 . b . _ICR4 ) 12950  #ICR14_ICR3 i14 . b . _ICR3 ) 12951  #ICR14_ICR2 i14 . b . _ICR2 ) 12952  #ICR14_ICR1 i14 . b . _ICR1 ) 12953  #ICR14_ICR0 i14 . b . _ICR0 ) 12954 __IO_EXTERN ICR15STR gi15 ; 12955  #ICR15 i15 . by ) 12956  #ICR15_ICR4 i15 . b . _ICR4 ) 12957  #ICR15_ICR3 i15 . b . _ICR3 ) 12958  #ICR15_ICR2 i15 . b . _ICR2 ) 12959  #ICR15_ICR1 i15 . b . _ICR1 ) 12960  #ICR15_ICR0 i15 . b . _ICR0 ) 12961 __IO_EXTERN ICR16STR gi16 ; 12962  #ICR16 i16 . by ) 12963  #ICR16_ICR4 i16 . b . _ICR4 ) 12964  #ICR16_ICR3 i16 . b . _ICR3 ) 12965  #ICR16_ICR2 i16 . b . _ICR2 ) 12966  #ICR16_ICR1 i16 . b . _ICR1 ) 12967  #ICR16_ICR0 i16 . b . _ICR0 ) 12968 __IO_EXTERN ICR17STR gi17 ; 12969  #ICR17 i17 . by ) 12970  #ICR17_ICR4 i17 . b . _ICR4 ) 12971  #ICR17_ICR3 i17 . b . _ICR3 ) 12972  #ICR17_ICR2 i17 . b . _ICR2 ) 12973  #ICR17_ICR1 i17 . b . _ICR1 ) 12974  #ICR17_ICR0 i17 . b . _ICR0 ) 12975 __IO_EXTERN ICR18STR gi18 ; 12976  #ICR18 i18 . by ) 12977  #ICR18_ICR4 i18 . b . _ICR4 ) 12978  #ICR18_ICR3 i18 . b . _ICR3 ) 12979  #ICR18_ICR2 i18 . b . _ICR2 ) 12980  #ICR18_ICR1 i18 . b . _ICR1 ) 12981  #ICR18_ICR0 i18 . b . _ICR0 ) 12982 __IO_EXTERN ICR19STR gi19 ; 12983  #ICR19 i19 . by ) 12984  #ICR19_ICR4 i19 . b . _ICR4 ) 12985  #ICR19_ICR3 i19 . b . _ICR3 ) 12986  #ICR19_ICR2 i19 . b . _ICR2 ) 12987  #ICR19_ICR1 i19 . b . _ICR1 ) 12988  #ICR19_ICR0 i19 . b . _ICR0 ) 12989 __IO_EXTERN ICR20STR gi20 ; 12990  #ICR20 i20 . by ) 12991  #ICR20_ICR4 i20 . b . _ICR4 ) 12992  #ICR20_ICR3 i20 . b . _ICR3 ) 12993  #ICR20_ICR2 i20 . b . _ICR2 ) 12994  #ICR20_ICR1 i20 . b . _ICR1 ) 12995  #ICR20_ICR0 i20 . b . _ICR0 ) 12996 __IO_EXTERN ICR21STR gi21 ; 12997  #ICR21 i21 . by ) 12998  #ICR21_ICR4 i21 . b . _ICR4 ) 12999  #ICR21_ICR3 i21 . b . _ICR3 ) 13000  #ICR21_ICR2 i21 . b . _ICR2 ) 13001  #ICR21_ICR1 i21 . b . _ICR1 ) 13002  #ICR21_ICR0 i21 . b . _ICR0 ) 13003 __IO_EXTERN ICR22STR gi22 ; 13004  #ICR22 i22 . by ) 13005  #ICR22_ICR4 i22 . b . _ICR4 ) 13006  #ICR22_ICR3 i22 . b . _ICR3 ) 13007  #ICR22_ICR2 i22 . b . _ICR2 ) 13008  #ICR22_ICR1 i22 . b . _ICR1 ) 13009  #ICR22_ICR0 i22 . b . _ICR0 ) 13010 __IO_EXTERN ICR23STR gi23 ; 13011  #ICR23 i23 . by ) 13012  #ICR23_ICR4 i23 . b . _ICR4 ) 13013  #ICR23_ICR3 i23 . b . _ICR3 ) 13014  #ICR23_ICR2 i23 . b . _ICR2 ) 13015  #ICR23_ICR1 i23 . b . _ICR1 ) 13016  #ICR23_ICR0 i23 . b . _ICR0 ) 13017 __IO_EXTERN ICR24STR gi24 ; 13018  #ICR24 i24 . by ) 13019  #ICR24_ICR4 i24 . b . _ICR4 ) 13020  #ICR24_ICR3 i24 . b . _ICR3 ) 13021  #ICR24_ICR2 i24 . b . _ICR2 ) 13022  #ICR24_ICR1 i24 . b . _ICR1 ) 13023  #ICR24_ICR0 i24 . b . _ICR0 ) 13024 __IO_EXTERN ICR25STR gi25 ; 13025  #ICR25 i25 . by ) 13026  #ICR25_ICR4 i25 . b . _ICR4 ) 13027  #ICR25_ICR3 i25 . b . _ICR3 ) 13028  #ICR25_ICR2 i25 . b . _ICR2 ) 13029  #ICR25_ICR1 i25 . b . _ICR1 ) 13030  #ICR25_ICR0 i25 . b . _ICR0 ) 13031 __IO_EXTERN ICR26STR gi26 ; 13032  #ICR26 i26 . by ) 13033  #ICR26_ICR4 i26 . b . _ICR4 ) 13034  #ICR26_ICR3 i26 . b . _ICR3 ) 13035  #ICR26_ICR2 i26 . b . _ICR2 ) 13036  #ICR26_ICR1 i26 . b . _ICR1 ) 13037  #ICR26_ICR0 i26 . b . _ICR0 ) 13038 __IO_EXTERN ICR27STR gi27 ; 13039  #ICR27 i27 . by ) 13040  #ICR27_ICR4 i27 . b . _ICR4 ) 13041  #ICR27_ICR3 i27 . b . _ICR3 ) 13042  #ICR27_ICR2 i27 . b . _ICR2 ) 13043  #ICR27_ICR1 i27 . b . _ICR1 ) 13044  #ICR27_ICR0 i27 . b . _ICR0 ) 13045 __IO_EXTERN ICR28STR gi28 ; 13046  #ICR28 i28 . by ) 13047  #ICR28_ICR4 i28 . b . _ICR4 ) 13048  #ICR28_ICR3 i28 . b . _ICR3 ) 13049  #ICR28_ICR2 i28 . b . _ICR2 ) 13050  #ICR28_ICR1 i28 . b . _ICR1 ) 13051  #ICR28_ICR0 i28 . b . _ICR0 ) 13052 __IO_EXTERN ICR29STR gi29 ; 13053  #ICR29 i29 . by ) 13054  #ICR29_ICR4 i29 . b . _ICR4 ) 13055  #ICR29_ICR3 i29 . b . _ICR3 ) 13056  #ICR29_ICR2 i29 . b . _ICR2 ) 13057  #ICR29_ICR1 i29 . b . _ICR1 ) 13058  #ICR29_ICR0 i29 . b . _ICR0 ) 13059 __IO_EXTERN ICR30STR gi30 ; 13060  #ICR30 i30 . by ) 13061  #ICR30_ICR4 i30 . b . _ICR4 ) 13062  #ICR30_ICR3 i30 . b . _ICR3 ) 13063  #ICR30_ICR2 i30 . b . _ICR2 ) 13064  #ICR30_ICR1 i30 . b . _ICR1 ) 13065  #ICR30_ICR0 i30 . b . _ICR0 ) 13066 __IO_EXTERN ICR31STR gi31 ; 13067  #ICR31 i31 . by ) 13068  #ICR31_ICR4 i31 . b . _ICR4 ) 13069  #ICR31_ICR3 i31 . b . _ICR3 ) 13070  #ICR31_ICR2 i31 . b . _ICR2 ) 13071  #ICR31_ICR1 i31 . b . _ICR1 ) 13072  #ICR31_ICR0 i31 . b . _ICR0 ) 13073 __IO_EXTERN ICR32STR gi32 ; 13074  #ICR32 i32 . by ) 13075  #ICR32_ICR4 i32 . b . _ICR4 ) 13076  #ICR32_ICR3 i32 . b . _ICR3 ) 13077  #ICR32_ICR2 i32 . b . _ICR2 ) 13078  #ICR32_ICR1 i32 . b . _ICR1 ) 13079  #ICR32_ICR0 i32 . b . _ICR0 ) 13080 __IO_EXTERN ICR33STR gi33 ; 13081  #ICR33 i33 . by ) 13082  #ICR33_ICR4 i33 . b . _ICR4 ) 13083  #ICR33_ICR3 i33 . b . _ICR3 ) 13084  #ICR33_ICR2 i33 . b . _ICR2 ) 13085  #ICR33_ICR1 i33 . b . _ICR1 ) 13086  #ICR33_ICR0 i33 . b . _ICR0 ) 13087 __IO_EXTERN ICR34STR gi34 ; 13088  #ICR34 i34 . by ) 13089  #ICR34_ICR4 i34 . b . _ICR4 ) 13090  #ICR34_ICR3 i34 . b . _ICR3 ) 13091  #ICR34_ICR2 i34 . b . _ICR2 ) 13092  #ICR34_ICR1 i34 . b . _ICR1 ) 13093  #ICR34_ICR0 i34 . b . _ICR0 ) 13094 __IO_EXTERN ICR35STR gi35 ; 13095  #ICR35 i35 . by ) 13096  #ICR35_ICR4 i35 . b . _ICR4 ) 13097  #ICR35_ICR3 i35 . b . _ICR3 ) 13098  #ICR35_ICR2 i35 . b . _ICR2 ) 13099  #ICR35_ICR1 i35 . b . _ICR1 ) 13100  #ICR35_ICR0 i35 . b . _ICR0 ) 13101 __IO_EXTERN ICR36STR gi36 ; 13102  #ICR36 i36 . by ) 13103  #ICR36_ICR4 i36 . b . _ICR4 ) 13104  #ICR36_ICR3 i36 . b . _ICR3 ) 13105  #ICR36_ICR2 i36 . b . _ICR2 ) 13106  #ICR36_ICR1 i36 . b . _ICR1 ) 13107  #ICR36_ICR0 i36 . b . _ICR0 ) 13108 __IO_EXTERN ICR37STR gi37 ; 13109  #ICR37 i37 . by ) 13110  #ICR37_ICR4 i37 . b . _ICR4 ) 13111  #ICR37_ICR3 i37 . b . _ICR3 ) 13112  #ICR37_ICR2 i37 . b . _ICR2 ) 13113  #ICR37_ICR1 i37 . b . _ICR1 ) 13114  #ICR37_ICR0 i37 . b . _ICR0 ) 13115 __IO_EXTERN ICR38STR gi38 ; 13116  #ICR38 i38 . by ) 13117  #ICR38_ICR4 i38 . b . _ICR4 ) 13118  #ICR38_ICR3 i38 . b . _ICR3 ) 13119  #ICR38_ICR2 i38 . b . _ICR2 ) 13120  #ICR38_ICR1 i38 . b . _ICR1 ) 13121  #ICR38_ICR0 i38 . b . _ICR0 ) 13122 __IO_EXTERN ICR39STR gi39 ; 13123  #ICR39 i39 . by ) 13124  #ICR39_ICR4 i39 . b . _ICR4 ) 13125  #ICR39_ICR3 i39 . b . _ICR3 ) 13126  #ICR39_ICR2 i39 . b . _ICR2 ) 13127  #ICR39_ICR1 i39 . b . _ICR1 ) 13128  #ICR39_ICR0 i39 . b . _ICR0 ) 13129 __IO_EXTERN ICR40STR gi40 ; 13130  #ICR40 i40 . by ) 13131  #ICR40_ICR4 i40 . b . _ICR4 ) 13132  #ICR40_ICR3 i40 . b . _ICR3 ) 13133  #ICR40_ICR2 i40 . b . _ICR2 ) 13134  #ICR40_ICR1 i40 . b . _ICR1 ) 13135  #ICR40_ICR0 i40 . b . _ICR0 ) 13136 __IO_EXTERN ICR41STR gi41 ; 13137  #ICR41 i41 . by ) 13138  #ICR41_ICR4 i41 . b . _ICR4 ) 13139  #ICR41_ICR3 i41 . b . _ICR3 ) 13140  #ICR41_ICR2 i41 . b . _ICR2 ) 13141  #ICR41_ICR1 i41 . b . _ICR1 ) 13142  #ICR41_ICR0 i41 . b . _ICR0 ) 13143 __IO_EXTERN ICR42STR gi42 ; 13144  #ICR42 i42 . by ) 13145  #ICR42_ICR4 i42 . b . _ICR4 ) 13146  #ICR42_ICR3 i42 . b . _ICR3 ) 13147  #ICR42_ICR2 i42 . b . _ICR2 ) 13148  #ICR42_ICR1 i42 . b . _ICR1 ) 13149  #ICR42_ICR0 i42 . b . _ICR0 ) 13150 __IO_EXTERN ICR43STR gi43 ; 13151  #ICR43 i43 . by ) 13152  #ICR43_ICR4 i43 . b . _ICR4 ) 13153  #ICR43_ICR3 i43 . b . _ICR3 ) 13154  #ICR43_ICR2 i43 . b . _ICR2 ) 13155  #ICR43_ICR1 i43 . b . _ICR1 ) 13156  #ICR43_ICR0 i43 . b . _ICR0 ) 13157 __IO_EXTERN ICR44STR gi44 ; 13158  #ICR44 i44 . by ) 13159  #ICR44_ICR4 i44 . b . _ICR4 ) 13160  #ICR44_ICR3 i44 . b . _ICR3 ) 13161  #ICR44_ICR2 i44 . b . _ICR2 ) 13162  #ICR44_ICR1 i44 . b . _ICR1 ) 13163  #ICR44_ICR0 i44 . b . _ICR0 ) 13164 __IO_EXTERN ICR45STR gi45 ; 13165  #ICR45 i45 . by ) 13166  #ICR45_ICR4 i45 . b . _ICR4 ) 13167  #ICR45_ICR3 i45 . b . _ICR3 ) 13168  #ICR45_ICR2 i45 . b . _ICR2 ) 13169  #ICR45_ICR1 i45 . b . _ICR1 ) 13170  #ICR45_ICR0 i45 . b . _ICR0 ) 13171 __IO_EXTERN ICR46STR gi46 ; 13172  #ICR46 i46 . by ) 13173  #ICR46_ICR4 i46 . b . _ICR4 ) 13174  #ICR46_ICR3 i46 . b . _ICR3 ) 13175  #ICR46_ICR2 i46 . b . _ICR2 ) 13176  #ICR46_ICR1 i46 . b . _ICR1 ) 13177  #ICR46_ICR0 i46 . b . _ICR0 ) 13178 __IO_EXTERN ICR47STR gi47 ; 13179  #ICR47 i47 . by ) 13180  #ICR47_ICR4 i47 . b . _ICR4 ) 13181  #ICR47_ICR3 i47 . b . _ICR3 ) 13182  #ICR47_ICR2 i47 . b . _ICR2 ) 13183  #ICR47_ICR1 i47 . b . _ICR1 ) 13184  #ICR47_ICR0 i47 . b . _ICR0 ) 13185 __IO_EXTERN ICR48STR gi48 ; 13186  #ICR48 i48 . by ) 13187  #ICR48_ICR4 i48 . b . _ICR4 ) 13188  #ICR48_ICR3 i48 . b . _ICR3 ) 13189  #ICR48_ICR2 i48 . b . _ICR2 ) 13190  #ICR48_ICR1 i48 . b . _ICR1 ) 13191  #ICR48_ICR0 i48 . b . _ICR0 ) 13192 __IO_EXTERN ICR49STR gi49 ; 13193  #ICR49 i49 . by ) 13194  #ICR49_ICR4 i49 . b . _ICR4 ) 13195  #ICR49_ICR3 i49 . b . _ICR3 ) 13196  #ICR49_ICR2 i49 . b . _ICR2 ) 13197  #ICR49_ICR1 i49 . b . _ICR1 ) 13198  #ICR49_ICR0 i49 . b . _ICR0 ) 13199 __IO_EXTERN ICR50STR gi50 ; 13200  #ICR50 i50 . by ) 13201  #ICR50_ICR4 i50 . b . _ICR4 ) 13202  #ICR50_ICR3 i50 . b . _ICR3 ) 13203  #ICR50_ICR2 i50 . b . _ICR2 ) 13204  #ICR50_ICR1 i50 . b . _ICR1 ) 13205  #ICR50_ICR0 i50 . b . _ICR0 ) 13206 __IO_EXTERN ICR51STR gi51 ; 13207  #ICR51 i51 . by ) 13208  #ICR51_ICR4 i51 . b . _ICR4 ) 13209  #ICR51_ICR3 i51 . b . _ICR3 ) 13210  #ICR51_ICR2 i51 . b . _ICR2 ) 13211  #ICR51_ICR1 i51 . b . _ICR1 ) 13212  #ICR51_ICR0 i51 . b . _ICR0 ) 13213 __IO_EXTERN ICR52STR gi52 ; 13214  #ICR52 i52 . by ) 13215  #ICR52_ICR4 i52 . b . _ICR4 ) 13216  #ICR52_ICR3 i52 . b . _ICR3 ) 13217  #ICR52_ICR2 i52 . b . _ICR2 ) 13218  #ICR52_ICR1 i52 . b . _ICR1 ) 13219  #ICR52_ICR0 i52 . b . _ICR0 ) 13220 __IO_EXTERN ICR53STR gi53 ; 13221  #ICR53 i53 . by ) 13222  #ICR53_ICR4 i53 . b . _ICR4 ) 13223  #ICR53_ICR3 i53 . b . _ICR3 ) 13224  #ICR53_ICR2 i53 . b . _ICR2 ) 13225  #ICR53_ICR1 i53 . b . _ICR1 ) 13226  #ICR53_ICR0 i53 . b . _ICR0 ) 13227 __IO_EXTERN ICR54STR gi54 ; 13228  #ICR54 i54 . by ) 13229  #ICR54_ICR4 i54 . b . _ICR4 ) 13230  #ICR54_ICR3 i54 . b . _ICR3 ) 13231  #ICR54_ICR2 i54 . b . _ICR2 ) 13232  #ICR54_ICR1 i54 . b . _ICR1 ) 13233  #ICR54_ICR0 i54 . b . _ICR0 ) 13234 __IO_EXTERN ICR55STR gi55 ; 13235  #ICR55 i55 . by ) 13236  #ICR55_ICR4 i55 . b . _ICR4 ) 13237  #ICR55_ICR3 i55 . b . _ICR3 ) 13238  #ICR55_ICR2 i55 . b . _ICR2 ) 13239  #ICR55_ICR1 i55 . b . _ICR1 ) 13240  #ICR55_ICR0 i55 . b . _ICR0 ) 13241 __IO_EXTERN ICR56STR gi56 ; 13242  #ICR56 i56 . by ) 13243  #ICR56_ICR4 i56 . b . _ICR4 ) 13244  #ICR56_ICR3 i56 . b . _ICR3 ) 13245  #ICR56_ICR2 i56 . b . _ICR2 ) 13246  #ICR56_ICR1 i56 . b . _ICR1 ) 13247  #ICR56_ICR0 i56 . b . _ICR0 ) 13248 __IO_EXTERN ICR57STR gi57 ; 13249  #ICR57 i57 . by ) 13250  #ICR57_ICR4 i57 . b . _ICR4 ) 13251  #ICR57_ICR3 i57 . b . _ICR3 ) 13252  #ICR57_ICR2 i57 . b . _ICR2 ) 13253  #ICR57_ICR1 i57 . b . _ICR1 ) 13254  #ICR57_ICR0 i57 . b . _ICR0 ) 13255 __IO_EXTERN ICR58STR gi58 ; 13256  #ICR58 i58 . by ) 13257  #ICR58_ICR4 i58 . b . _ICR4 ) 13258  #ICR58_ICR3 i58 . b . _ICR3 ) 13259  #ICR58_ICR2 i58 . b . _ICR2 ) 13260  #ICR58_ICR1 i58 . b . _ICR1 ) 13261  #ICR58_ICR0 i58 . b . _ICR0 ) 13262 __IO_EXTERN ICR59STR gi59 ; 13263  #ICR59 i59 . by ) 13264  #ICR59_ICR4 i59 . b . _ICR4 ) 13265  #ICR59_ICR3 i59 . b . _ICR3 ) 13266  #ICR59_ICR2 i59 . b . _ICR2 ) 13267  #ICR59_ICR1 i59 . b . _ICR1 ) 13268  #ICR59_ICR0 i59 . b . _ICR0 ) 13269 __IO_EXTERN ICR60STR gi60 ; 13270  #ICR60 i60 . by ) 13271  #ICR60_ICR4 i60 . b . _ICR4 ) 13272  #ICR60_ICR3 i60 . b . _ICR3 ) 13273  #ICR60_ICR2 i60 . b . _ICR2 ) 13274  #ICR60_ICR1 i60 . b . _ICR1 ) 13275  #ICR60_ICR0 i60 . b . _ICR0 ) 13276 __IO_EXTERN ICR61STR gi61 ; 13277  #ICR61 i61 . by ) 13278  #ICR61_ICR4 i61 . b . _ICR4 ) 13279  #ICR61_ICR3 i61 . b . _ICR3 ) 13280  #ICR61_ICR2 i61 . b . _ICR2 ) 13281  #ICR61_ICR1 i61 . b . _ICR1 ) 13282  #ICR61_ICR0 i61 . b . _ICR0 ) 13283 __IO_EXTERN ICR62STR gi62 ; 13284  #ICR62 i62 . by ) 13285  #ICR62_ICR4 i62 . b . _ICR4 ) 13286  #ICR62_ICR3 i62 . b . _ICR3 ) 13287  #ICR62_ICR2 i62 . b . _ICR2 ) 13288  #ICR62_ICR1 i62 . b . _ICR1 ) 13289  #ICR62_ICR0 i62 . b . _ICR0 ) 13290 __IO_EXTERN ICR63STR gi63 ; 13291  #ICR63 i63 . by ) 13292  #ICR63_ICR4 i63 . b . _ICR4 ) 13293  #ICR63_ICR3 i63 . b . _ICR3 ) 13294  #ICR63_ICR2 i63 . b . _ICR2 ) 13295  #ICR63_ICR1 i63 . b . _ICR1 ) 13296  #ICR63_ICR0 i63 . b . _ICR0 ) 13297 __IO_EXTERN RSRRSTR grr ; 13298  #RSRR rr . by ) 13299  #RSRR_INIT rr . b . _INIT ) 13300  #RSRR_HSTB rr . b . _HSTB ) 13301  #RSRR_WDOG rr . b . _WDOG ) 13302  #RSRR_ERST rr . b . _ERST ) 13303  #RSRR_SRST rr . b . _SRST ) 13304  #RSRR_LINIT rr . b . _LINIT ) 13305  #RSRR_WT1 rr . b . _WT1 ) 13306  #RSRR_WT0 rr . b . _WT0 ) 13307  #RSRR_WT rr . bc . _WT ) 13308 __IO_EXTERN STCRSTR g ; 13309  #STCR . by ) 13310  #STCR_STOP . b . _STOP ) 13311  #STCR_SLEEP . b . _SLEEP ) 13312  #STCR_HIZ . b . _HIZ ) 13313  #STCR_SRST . b . _SRST ) 13314  #STCR_OS1 . b . _OS1 ) 13315  #STCR_OS0 . b . _OS0 ) 13316  #STCR_OSCD2 . b . _OSCD2 ) 13317  #STCR_OSCD1 . b . _OSCD1 ) 13318  #STCR_OS . bc . _OS ) 13319  #STCR_OSCD . bc . _OSCD ) 13320 __IO_EXTERN TBCRSTR gtb ; 13321  #TBCR tb . by ) 13322  #TBCR_TBIF tb . b . _TBIF ) 13323  #TBCR_TBIE tb . b . _TBIE ) 13324  #TBCR_TBC2 tb . b . _TBC2 ) 13325  #TBCR_TBC1 tb . b . _TBC1 ) 13326  #TBCR_TBC0 tb . b . _TBC0 ) 13327  #TBCR_SYNCR tb . b . _SYNCR ) 13328  #TBCR_SYNCS tb . b . _SYNCS ) 13329  #TBCR_TBC tb . bc . _TBC ) 13330 __IO_EXTERN CTBRSTR gbr ; 13331  #CTBR br . by ) 13332  #CTBR_D7 br . b . _D7 ) 13333  #CTBR_D6 br . b . _D6 ) 13334  #CTBR_D5 br . b . _D5 ) 13335  #CTBR_D4 br . b . _D4 ) 13336  #CTBR_D3 br . b . _D3 ) 13337  #CTBR_D2 br . b . _D2 ) 13338  #CTBR_D1 br . b . _D1 ) 13339  #CTBR_D0 br . b . _D0 ) 13340 __IO_EXTERN CLKRSTR gkr ; 13341  #CLKR kr . by ) 13342  #CLKR_SCKEN kr . b . _SCKEN ) 13343  #CLKR_PLL1EN kr . b . _PLL1EN ) 13344  #CLKR_CLKS1 kr . b . _CLKS1 ) 13345  #CLKR_CLKS0 kr . b . _CLKS0 ) 13346  #CLKR_CLKS kr . bc . _CLKS ) 13347 __IO_EXTERN WPRSTR gw ; 13348  #WPR w . by ) 13349  #WPR_D7 w . b . _D7 ) 13350  #WPR_D6 w . b . _D6 ) 13351  #WPR_D5 w . b . _D5 ) 13352  #WPR_D4 w . b . _D4 ) 13353  #WPR_D3 w . b . _D3 ) 13354  #WPR_D2 w . b . _D2 ) 13355  #WPR_D1 w . b . _D1 ) 13356  #WPR_D0 w . b . _D0 ) 13357 __IO_EXTERN DIVR0STR gdivr0 ; 13358  #DIVR0 divr0 . by ) 13359  #DIVR0_B3 divr0 . b . _B3 ) 13360  #DIVR0_B2 divr0 . b . _B2 ) 13361  #DIVR0_B1 divr0 . b . _B1 ) 13362  #DIVR0_B0 divr0 . b . _B0 ) 13363  #DIVR0_P3 divr0 . b . _P3 ) 13364  #DIVR0_P2 divr0 . b . _P2 ) 13365  #DIVR0_P1 divr0 . b . _P1 ) 13366  #DIVR0_P0 divr0 . b . _P0 ) 13367  #DIVR0_B divr0 . bc . _B ) 13368  #DIVR0_P divr0 . bc . _P ) 13369 __IO_EXTERN DIVR1STR gdivr1 ; 13370  #DIVR1 divr1 . by ) 13371  #DIVR1_T3 divr1 . b . _T3 ) 13372  #DIVR1_T2 divr1 . b . _T2 ) 13373  #DIVR1_T1 divr1 . b . _T1 ) 13374  #DIVR1_T0 divr1 . b . _T0 ) 13375  #DIVR1_T divr1 . bc . _T ) 13376 __IO_EXTERN PLLDIVMSTR gldivm ; 13377  #PLLDIVM ldivm . by ) 13378  #PLLDIVM_DVM3 ldivm . b . _DVM3 ) 13379  #PLLDIVM_DVM2 ldivm . b . _DVM2 ) 13380  #PLLDIVM_DVM1 ldivm . b . _DVM1 ) 13381  #PLLDIVM_DVM0 ldivm . b . _DVM0 ) 13382  #PLLDIVM_DVM ldivm . bc . _DVM ) 13383 __IO_EXTERN PLLDIVNSTR gldivn ; 13384  #PLLDIVN ldivn . by ) 13385  #PLLDIVN_DVN5 ldivn . b . _DVN5 ) 13386  #PLLDIVN_DVN4 ldivn . b . _DVN4 ) 13387  #PLLDIVN_DVN3 ldivn . b . _DVN3 ) 13388  #PLLDIVN_DVN2 ldivn . b . _DVN2 ) 13389  #PLLDIVN_DVN1 ldivn . b . _DVN1 ) 13390  #PLLDIVN_DVN0 ldivn . b . _DVN0 ) 13391  #PLLDIVN_DVN ldivn . bc . _DVN ) 13392 __IO_EXTERN PLLDIVGSTR gldivg ; 13393  #PLLDIVG ldivg . by ) 13394  #PLLDIVG_DVG3 ldivg . b . _DVG3 ) 13395  #PLLDIVG_DVG2 ldivg . b . _DVG2 ) 13396  #PLLDIVG_DVG1 ldivg . b . _DVG1 ) 13397  #PLLDIVG_DVG0 ldivg . b . _DVG0 ) 13398  #PLLDIVG_DVG ldivg . bc . _DVG ) 13399 __IO_EXTERN PLLMULGSTR glmulg ; 13400  #PLLMULG lmulg . by ) 13401  #PLLMULG_MLG7 lmulg . b . _MLG7 ) 13402  #PLLMULG_MLG6 lmulg . b . _MLG6 ) 13403  #PLLMULG_MLG5 lmulg . b . _MLG5 ) 13404  #PLLMULG_MLG4 lmulg . b . _MLG4 ) 13405  #PLLMULG_MLG3 lmulg . b . _MLG3 ) 13406  #PLLMULG_MLG2 lmulg . b . _MLG2 ) 13407  #PLLMULG_MLG1 lmulg . b . _MLG1 ) 13408  #PLLMULG_MLG0 lmulg . b . _MLG0 ) 13409  #PLLMULG_MLG lmulg . bc . _MLG ) 13410 __IO_EXTERN PLLCTRLSTR gl ; 13411  #PLLCTRL l . by ) 13412  #PLLCTRL_IEDN l . b . _IEDN ) 13413  #PLLCTRL_GRDN l . b . _GRDN ) 13414  #PLLCTRL_IEUP l . b . _IEUP ) 13415  #PLLCTRL_GRUP l . b . _GRUP ) 13416 __IO_EXTERN OSCC1STR goscc1 ; 13417  #OSCC1 oscc1 . by ) 13418  #OSCC1_FCI oscc1 . b . _FCI ) 13419  #OSCC1_RFBEN oscc1 . b . _RFBEN ) 13420  #OSCC1_OSCR oscc1 . b . _OSCR ) 13421 __IO_EXTERN OSCS1STR goscs1 ; 13422  #OSCS1 oscs1 . by ) 13423  #OSCS1_OSCS7 oscs1 . b . _OSCS7 ) 13424  #OSCS1_OSCS6 oscs1 . b . _OSCS6 ) 13425  #OSCS1_OSCS5 oscs1 . b . _OSCS5 ) 13426  #OSCS1_OSCS4 oscs1 . b . _OSCS4 ) 13427  #OSCS1_OSCS3 oscs1 . b . _OSCS3 ) 13428  #OSCS1_OSCS2 oscs1 . b . _OSCS2 ) 13429  #OSCS1_OSCS1 oscs1 . b . _OSCS1 ) 13430  #OSCS1_OSCS0 oscs1 . b . _OSCS0 ) 13431 __IO_EXTERN OSCC2STR goscc2 ; 13432  #OSCC2 oscc2 . by ) 13433  #OSCC2_FCI oscc2 . b . _FCI ) 13434  #OSCC2_RFBEN oscc2 . b . _RFBEN ) 13435  #OSCC2_OSCR oscc2 . b . _OSCR ) 13436 __IO_EXTERN OSCS2STR goscs2 ; 13437  #OSCS2 oscs2 . by ) 13438  #OSCS2_OSCS7 oscs2 . b . _OSCS7 ) 13439  #OSCS2_OSCS6 oscs2 . b . _OSCS6 ) 13440  #OSCS2_OSCS5 oscs2 . b . _OSCS5 ) 13441  #OSCS2_OSCS4 oscs2 . b . _OSCS4 ) 13442  #OSCS2_OSCS3 oscs2 . b . _OSCS3 ) 13443  #OSCS2_OSCS2 oscs2 . b . _OSCS2 ) 13444  #OSCS2_OSCS1 oscs2 . b . _OSCS1 ) 13445  #OSCS2_OSCS0 oscs2 . b . _OSCS0 ) 13446 __IO_EXTERN PORTENSTR gp܋n ; 13447  #PORTEN p܋n . by ) 13448  #PORTEN_CPORTEN p܋n . b . _CPORTEN ) 13449  #PORTEN_GPORTEN p܋n . b . _GPORTEN ) 13450 __IO_EXTERN WTCERSTR gwtr ; 13451  #WTCER wtr . by ) 13452  #WTCER_INTE4 wtr . b . _INTE4 ) 13453  #WTCER_INT4 wtr . b . _INT4 ) 13454 __IO_EXTERN WTCRSTR gwt ; 13455  #WTCR wt . wd ) 13456  #WTCR_INTE3 wt . b . _INTE3 ) 13457  #WTCR_INT3 wt . b . _INT3 ) 13458  #WTCR_INTE2 wt . b . _INTE2 ) 13459  #WTCR_INT2 wt . b . _INT2 ) 13460  #WTCR_INTE1 wt . b . _INTE1 ) 13461  #WTCR_INT1 wt . b . _INT1 ) 13462  #WTCR_INTE0 wt . b . _INTE0 ) 13463  #WTCR_INT0 wt . b . _INT0 ) 13464  #WTCR_RUN wt . b . _RUN ) 13465  #WTCR_UPDT wt . b . _UPDT ) 13466  #WTCR_ST wt . b . _ST ) 13467 __IO_EXTERN WTBRSTR gwtbr ; 13468  #WTBR wtbr . lwd ) 13469  #WTBR_D20 wtbr . b . _D20 ) 13470  #WTBR_D19 wtbr . b . _D19 ) 13471  #WTBR_D18 wtbr . b . _D18 ) 13472  #WTBR_D17 wtbr . b . _D17 ) 13473  #WTBR_D16 wtbr . b . _D16 ) 13474  #WTBR_D15 wtbr . b . _D15 ) 13475  #WTBR_D14 wtbr . b . _D14 ) 13476  #WTBR_D13 wtbr . b . _D13 ) 13477  #WTBR_D12 wtbr . b . _D12 ) 13478  #WTBR_D11 wtbr . b . _D11 ) 13479  #WTBR_D10 wtbr . b . _D10 ) 13480  #WTBR_D9 wtbr . b . _D9 ) 13481  #WTBR_D8 wtbr . b . _D8 ) 13482  #WTBR_D7 wtbr . b . _D7 ) 13483  #WTBR_D6 wtbr . b . _D6 ) 13484  #WTBR_D5 wtbr . b . _D5 ) 13485  #WTBR_D4 wtbr . b . _D4 ) 13486  #WTBR_D3 wtbr . b . _D3 ) 13487  #WTBR_D2 wtbr . b . _D2 ) 13488  #WTBR_D1 wtbr . b . _D1 ) 13489  #WTBR_D0 wtbr . b . _D0 ) 13490 __IO_EXTERN WTHRSTR gwthr ; 13491  #WTHR wthr . by ) 13492  #WTHR_H4 wthr . b . _H4 ) 13493  #WTHR_H3 wthr . b . _H3 ) 13494  #WTHR_H2 wthr . b . _H2 ) 13495  #WTHR_H1 wthr . b . _H1 ) 13496  #WTHR_H0 wthr . b . _H0 ) 13497 __IO_EXTERN WTMRSTR gwtmr ; 13498  #WTMR wtmr . by ) 13499  #WTMR_M5 wtmr . b . _M5 ) 13500  #WTMR_M4 wtmr . b . _M4 ) 13501  #WTMR_M3 wtmr . b . _M3 ) 13502  #WTMR_M2 wtmr . b . _M2 ) 13503  #WTMR_M1 wtmr . b . _M1 ) 13504  #WTMR_M0 wtmr . b . _M0 ) 13505 __IO_EXTERN WTSRSTR gwt ; 13506  #WTSR wt . by ) 13507  #WTSR_S5 wt . b . _S5 ) 13508  #WTSR_S4 wt . b . _S4 ) 13509  #WTSR_S3 wt . b . _S3 ) 13510  #WTSR_S2 wt . b . _S2 ) 13511  #WTSR_S1 wt . b . _S1 ) 13512  #WTSR_S0 wt . b . _S0 ) 13513 __IO_EXTERN IO_BYTE gcsv ; 13514  #CSVTR csv ) 13515 __IO_EXTERN CSVCRSTR gcsv ; 13516  #CSVCR csv . by ) 13517  #CSVCR_SCKS csv . b . _SCKS ) 13518  #CSVCR_MM csv . b . _MM ) 13519  #CSVCR_SM csv . b . _SM ) 13520  #CSVCR_RCE csv . b . _RCE ) 13521  #CSVCR_MSVE csv . b . _MSVE ) 13522  #CSVCR_SSVE csv . b . _SSVE ) 13523  #CSVCR_SRST csv . b . _SRST ) 13524  #CSVCR_OUTE csv . b . _OUTE ) 13525 __IO_EXTERN CSCFGSTR gcscfg ; 13526  #CSCFG cscfg . by ) 13527  #CSCFG_EDSUEN cscfg . b . _EDSUEN ) 13528  #CSCFG_PLLLOCK cscfg . b . _PLLLOCK ) 13529  #CSCFG_RCSEL cscfg . b . _RCSEL ) 13530  #CSCFG_MONCKI cscfg . b . _MONCKI ) 13531  #CSCFG_CSC3 cscfg . b . _CSC3 ) 13532  #CSCFG_CSC2 cscfg . b . _CSC2 ) 13533  #CSCFG_CSC1 cscfg . b . _CSC1 ) 13534  #CSCFG_CSC0 cscfg . b . _CSC0 ) 13535  #CSCFG_CSC cscfg . bc . _CSC ) 13536 __IO_EXTERN CMCFGSTR gcmcfg ; 13537  #CMCFG cmcfg . by ) 13538  #CMCFG_CMPRE3 cmcfg . b . _CMPRE3 ) 13539  #CMCFG_CMPRE2 cmcfg . b . _CMPRE2 ) 13540  #CMCFG_CMPRE1 cmcfg . b . _CMPRE1 ) 13541  #CMCFG_CMPRE0 cmcfg . b . _CMPRE0 ) 13542  #CMCFG_CMSEL3 cmcfg . b . _CMSEL3 ) 13543  #CMCFG_CMSEL2 cmcfg . b . _CMSEL2 ) 13544  #CMCFG_CMSEL1 cmcfg . b . _CMSEL1 ) 13545  #CMCFG_CMSEL0 cmcfg . b . _CMSEL0 ) 13546  #CMCFG_CMPRE cmcfg . bc . _CMPRE ) 13547  #CMCFG_CMSEL cmcfg . bc . _CMSEL ) 13548 __IO_EXTERN CUCRSTR gcu ; 13549  #CUCR cu . wd ) 13550  #CUCR_STRT cu . b . _STRT ) 13551  #CUCR_INT cu . b . _INT ) 13552  #CUCR_INTEN cu . b . _INTEN ) 13553 __IO_EXTERN CUTDSTR gcutd ; 13554  #CUTD cutd . wd ) 13555  #CUTD_TDD15 cutd . b . _TDD15 ) 13556  #CUTD_TDD14 cutd . b . _TDD14 ) 13557  #CUTD_TDD13 cutd . b . _TDD13 ) 13558  #CUTD_TDD12 cutd . b . _TDD12 ) 13559  #CUTD_TDD11 cutd . b . _TDD11 ) 13560  #CUTD_TDD10 cutd . b . _TDD10 ) 13561  #CUTD_TDD9 cutd . b . _TDD9 ) 13562  #CUTD_TDD8 cutd . b . _TDD8 ) 13563  #CUTD_TDD7 cutd . b . _TDD7 ) 13564  #CUTD_TDD6 cutd . b . _TDD6 ) 13565  #CUTD_TDD5 cutd . b . _TDD5 ) 13566  #CUTD_TDD4 cutd . b . _TDD4 ) 13567  #CUTD_TDD3 cutd . b . _TDD3 ) 13568  #CUTD_TDD2 cutd . b . _TDD2 ) 13569  #CUTD_TDD1 cutd . b . _TDD1 ) 13570  #CUTD_TDD0 cutd . b . _TDD0 ) 13571 __IO_EXTERN CUTR1STR gcu1 ; 13572  #CUTR1 cu1 . wd ) 13573  #CUTR1_TDR23 cu1 . b . _TDR23 ) 13574  #CUTR1_TDR22 cu1 . b . _TDR22 ) 13575  #CUTR1_TDR21 cu1 . b . _TDR21 ) 13576  #CUTR1_TDR20 cu1 . b . _TDR20 ) 13577  #CUTR1_TDR19 cu1 . b . _TDR19 ) 13578  #CUTR1_TDR18 cu1 . b . _TDR18 ) 13579  #CUTR1_TDR17 cu1 . b . _TDR17 ) 13580  #CUTR1_TDR16 cu1 . b . _TDR16 ) 13581 __IO_EXTERN CUTR2STR gcu2 ; 13582  #CUTR2 cu2 . wd ) 13583  #CUTR2_TDR15 cu2 . b . _TDR15 ) 13584  #CUTR2_TDR14 cu2 . b . _TDR14 ) 13585  #CUTR2_TDR13 cu2 . b . _TDR13 ) 13586  #CUTR2_TDR12 cu2 . b . _TDR12 ) 13587  #CUTR2_TDR11 cu2 . b . _TDR11 ) 13588  #CUTR2_TDR10 cu2 . b . _TDR10 ) 13589  #CUTR2_TDR9 cu2 . b . _TDR9 ) 13590  #CUTR2_TDR8 cu2 . b . _TDR8 ) 13591  #CUTR2_TDR7 cu2 . b . _TDR7 ) 13592  #CUTR2_TDR6 cu2 . b . _TDR6 ) 13593  #CUTR2_TDR5 cu2 . b . _TDR5 ) 13594  #CUTR2_TDR4 cu2 . b . _TDR4 ) 13595  #CUTR2_TDR3 cu2 . b . _TDR3 ) 13596  #CUTR2_TDR2 cu2 . b . _TDR2 ) 13597  #CUTR2_TDR1 cu2 . b . _TDR1 ) 13598  #CUTR2_TDR0 cu2 . b . _TDR0 ) 13599 __IO_EXTERN CMPRSTR gcm ; 13600  #CMPR cm . wd ) 13601  #CMPR_MP13 cm . b . _MP13 ) 13602  #CMPR_MP12 cm . b . _MP12 ) 13603  #CMPR_MP11 cm . b . _MP11 ) 13604  #CMPR_MP10 cm . b . _MP10 ) 13605  #CMPR_MP9 cm . b . _MP9 ) 13606  #CMPR_MP8 cm . b . _MP8 ) 13607  #CMPR_MP7 cm . b . _MP7 ) 13608  #CMPR_MP6 cm . b . _MP6 ) 13609  #CMPR_MP5 cm . b . _MP5 ) 13610  #CMPR_MP4 cm . b . _MP4 ) 13611  #CMPR_MP3 cm . b . _MP3 ) 13612  #CMPR_MP2 cm . b . _MP2 ) 13613  #CMPR_MP1 cm . b . _MP1 ) 13614  #CMPR_MP0 cm . b . _MP0 ) 13615 __IO_EXTERN CMCRSTR gcm ; 13616  #CMCR cm . by ) 13617  #CMCR_FMODRUN cm . b . _FMODRUN ) 13618  #CMCR_FMOD cm . b . _FMOD ) 13619  #CMCR_PDX cm . b . _PDX ) 13620 __IO_EXTERN IO_WORD gcmt1 ; 13621  #CMT1 cmt1 ) 13622 __IO_EXTERN IO_WORD gcmt2 ; 13623  #CMT2 cmt2 ) 13624 __IO_EXTERN CANPRESTR gŻ ; 13625  #CANPRE Ż . by ) 13626  #CANPRE_CPCKS1 Ż . b . _CPCKS1 ) 13627  #CANPRE_CPCKS0 Ż . b . _CPCKS0 ) 13628  #CANPRE_DVC3 Ż . b . _DVC3 ) 13629  #CANPRE_DVC2 Ż . b . _DVC2 ) 13630  #CANPRE_DVC1 Ż . b . _DVC1 ) 13631  #CANPRE_DVC0 Ż . b . _DVC0 ) 13632  #CANPRE_CPCKS Ż . bc . _CPCKS ) 13633  #CANPRE_DVC Ż . bc . _DVC ) 13634 __IO_EXTERN CANCKDSTR gnckd ; 13635  #CANCKD nckd . by ) 13636  #CANCKD_CANCKD5 nckd . b . _CANCKD5 ) 13637  #CANCKD_CANCKD4 nckd . b . _CANCKD4 ) 13638  #CANCKD_CANCKD3 nckd . b . _CANCKD3 ) 13639  #CANCKD_CANCKD2 nckd . b . _CANCKD2 ) 13640  #CANCKD_CANCKD1 nckd . b . _CANCKD1 ) 13641  #CANCKD_CANCKD0 nckd . b . _CANCKD0 ) 13642 __IO_EXTERN LVSELSTR glvl ; 13643  #LVSEL lvl . by ) 13644  #LVSEL_LVESEL3 lvl . b . _LVESEL3 ) 13645  #LVSEL_LVESEL2 lvl . b . _LVESEL2 ) 13646  #LVSEL_LVESEL1 lvl . b . _LVESEL1 ) 13647  #LVSEL_LVESEL0 lvl . b . _LVESEL0 ) 13648  #LVSEL_LVISEL3 lvl . b . _LVISEL3 ) 13649  #LVSEL_LVISEL2 lvl . b . _LVISEL2 ) 13650  #LVSEL_LVISEL1 lvl . b . _LVISEL1 ) 13651  #LVSEL_LVISEL0 lvl . b . _LVISEL0 ) 13652  #LVSEL_LVESEL lvl . bc . _LVESEL ) 13653  #LVSEL_LVISEL lvl . bc . _LVISEL ) 13654 __IO_EXTERN LVDETSTR glvd ; 13655  #LVDET lvd . by ) 13656  #LVDET_LVSEL lvd . b . _LVSEL ) 13657  #LVDET_LVEPD lvd . b . _LVEPD ) 13658  #LVDET_LVIPD lvd . b . _LVIPD ) 13659  #LVDET_LVREN lvd . b . _LVREN ) 13660  #LVDET_LVIEN lvd . b . _LVIEN ) 13661  #LVDET_LVIRQ lvd . b . _LVIRQ ) 13662 __IO_EXTERN HWWDESTR ghwwde ; 13663  #HWWDE hwwde . by ) 13664  #HWWDE_ED1 hwwde . b . _ED1 ) 13665  #HWWDE_ED0 hwwde . b . _ED0 ) 13666  #HWWDE_ED hwwde . bc . _ED ) 13667 __IO_EXTERN HWWDSTR ghwwd ; 13668  #HWWD hwwd . by ) 13669  #HWWD_CL hwwd . b . _CL ) 13670  #HWWD_CPUF hwwd . b . _CPUF ) 13671 __IO_EXTERN OSCRHSTR gosh ; 13672  #OSCRH osh . by ) 13673  #OSCRH_WIF osh . b . _WIF ) 13674  #OSCRH_WIE osh . b . _WIE ) 13675  #OSCRH_WEN osh . b . _WEN ) 13676  #OSCRH_WS1 osh . b . _WS1 ) 13677  #OSCRH_WS0 osh . b . _WS0 ) 13678  #OSCRH_WCL osh . b . _WCL ) 13679  #OSCRH_WS osh . bc . _WS ) 13680 __IO_EXTERN IO_BYTE gosl ; 13681  #OSCRL osl ) 13682 __IO_EXTERN WPCRHSTR gwph ; 13683  #WPCRH wph . by ) 13684  #WPCRH_WIF wph . b . _WIF ) 13685  #WPCRH_WIE wph . b . _WIE ) 13686  #WPCRH_WEN wph . b . _WEN ) 13687  #WPCRH_WS1 wph . b . _WS1 ) 13688  #WPCRH_WS0 wph . b . _WS0 ) 13689  #WPCRH_WCL wph . b . _WCL ) 13690  #WPCRH_WS wph . bc . _WS ) 13691 __IO_EXTERN IO_BYTE gwpl ; 13692  #WPCRL wpl ) 13693 __IO_EXTERN OSCCRSTR gosc ; 13694  #OSCCR osc . by ) 13695  #OSCCR_OSCDS1 osc . b . _OSCDS1 ) 13696 __IO_EXTERN REGSELSTR ggl ; 13697  #REGSEL gl . by ) 13698  #REGSEL_FLASHSEL gl . b . _FLASHSEL ) 13699  #REGSEL_MAINSEL gl . b . _MAINSEL ) 13700  #REGSEL_SUBSEL3 gl . b . _SUBSEL3 ) 13701  #REGSEL_SUBSEL2 gl . b . _SUBSEL2 ) 13702  #REGSEL_SUBSEL1 gl . b . _SUBSEL1 ) 13703  #REGSEL_SUBSEL0 gl . b . _SUBSEL0 ) 13704  #REGSEL_SUBSEL gl . bc . _SUBSEL ) 13705 __IO_EXTERN REGCTRSTR ggr ; 13706  #REGCTR gr . by ) 13707  #REGCTR_MSTBO gr . b . _MSTBO ) 13708  #REGCTR_MAINKPEN gr . b . _MAINKPEN ) 13709  #REGCTR_MAINDSBL gr . b . _MAINDSBL ) 13710 __IO_EXTERN MODRSTR gmodr ; 13711  #MODR modr . by ) 13712  #MODR_ROMA modr . b . _ROMA ) 13713  #MODR_WTH1 modr . b . _WTH1 ) 13714  #MODR_WTH0 modr . b . _WTH0 ) 13715  #MODR_WTH modr . bc . _WTH ) 13716 __IO_EXTERN PDRD14STR gpdrd14 ; 13717  #PDRD14 pdrd14 . by ) 13718  #PDRD14_D7 pdrd14 . b . _D7 ) 13719  #PDRD14_D6 pdrd14 . b . _D6 ) 13720  #PDRD14_D5 pdrd14 . b . _D5 ) 13721  #PDRD14_D4 pdrd14 . b . _D4 ) 13722  #PDRD14_D3 pdrd14 . b . _D3 ) 13723  #PDRD14_D2 pdrd14 . b . _D2 ) 13724  #PDRD14_D1 pdrd14 . b . _D1 ) 13725  #PDRD14_D0 pdrd14 . b . _D0 ) 13726 __IO_EXTERN PDRD15STR gpdrd15 ; 13727  #PDRD15 pdrd15 . by ) 13728  #PDRD15_D7 pdrd15 . b . _D7 ) 13729  #PDRD15_D6 pdrd15 . b . _D6 ) 13730  #PDRD15_D5 pdrd15 . b . _D5 ) 13731  #PDRD15_D4 pdrd15 . b . _D4 ) 13732  #PDRD15_D3 pdrd15 . b . _D3 ) 13733  #PDRD15_D2 pdrd15 . b . _D2 ) 13734  #PDRD15_D1 pdrd15 . b . _D1 ) 13735  #PDRD15_D0 pdrd15 . b . _D0 ) 13736 __IO_EXTERN PDRD16STR gpdrd16 ; 13737  #PDRD16 pdrd16 . by ) 13738  #PDRD16_D7 pdrd16 . b . _D7 ) 13739  #PDRD16_D6 pdrd16 . b . _D6 ) 13740  #PDRD16_D5 pdrd16 . b . _D5 ) 13741  #PDRD16_D4 pdrd16 . b . _D4 ) 13742  #PDRD16_D3 pdrd16 . b . _D3 ) 13743  #PDRD16_D2 pdrd16 . b . _D2 ) 13744  #PDRD16_D1 pdrd16 . b . _D1 ) 13745  #PDRD16_D0 pdrd16 . b . _D0 ) 13746 __IO_EXTERN PDRD17STR gpdrd17 ; 13747  #PDRD17 pdrd17 . by ) 13748  #PDRD17_D7 pdrd17 . b . _D7 ) 13749  #PDRD17_D6 pdrd17 . b . _D6 ) 13750  #PDRD17_D5 pdrd17 . b . _D5 ) 13751  #PDRD17_D4 pdrd17 . b . _D4 ) 13752  #PDRD17_D3 pdrd17 . b . _D3 ) 13753  #PDRD17_D2 pdrd17 . b . _D2 ) 13754  #PDRD17_D1 pdrd17 . b . _D1 ) 13755  #PDRD17_D0 pdrd17 . b . _D0 ) 13756 __IO_EXTERN PDRD18STR gpdrd18 ; 13757  #PDRD18 pdrd18 . by ) 13758  #PDRD18_D6 pdrd18 . b . _D6 ) 13759  #PDRD18_D2 pdrd18 . b . _D2 ) 13760 __IO_EXTERN PDRD19STR gpdrd19 ; 13761  #PDRD19 pdrd19 . by ) 13762  #PDRD19_D6 pdrd19 . b . _D6 ) 13763  #PDRD19_D2 pdrd19 . b . _D2 ) 13764  #PDRD19_D1 pdrd19 . b . _D1 ) 13765  #PDRD19_D0 pdrd19 . b . _D0 ) 13766 __IO_EXTERN PDRD20STR gpdrd20 ; 13767  #PDRD20 pdrd20 . by ) 13768  #PDRD20_D7 pdrd20 . b . _D7 ) 13769  #PDRD20_D6 pdrd20 . b . _D6 ) 13770  #PDRD20_D5 pdrd20 . b . _D5 ) 13771  #PDRD20_D4 pdrd20 . b . _D4 ) 13772  #PDRD20_D3 pdrd20 . b . _D3 ) 13773  #PDRD20_D2 pdrd20 . b . _D2 ) 13774  #PDRD20_D1 pdrd20 . b . _D1 ) 13775  #PDRD20_D0 pdrd20 . b . _D0 ) 13776 __IO_EXTERN PDRD21STR gpdrd21 ; 13777  #PDRD21 pdrd21 . by ) 13778  #PDRD21_D7 pdrd21 . b . _D7 ) 13779  #PDRD21_D6 pdrd21 . b . _D6 ) 13780  #PDRD21_D5 pdrd21 . b . _D5 ) 13781  #PDRD21_D4 pdrd21 . b . _D4 ) 13782  #PDRD21_D3 pdrd21 . b . _D3 ) 13783  #PDRD21_D2 pdrd21 . b . _D2 ) 13784  #PDRD21_D1 pdrd21 . b . _D1 ) 13785  #PDRD21_D0 pdrd21 . b . _D0 ) 13786 __IO_EXTERN PDRD22STR gpdrd22 ; 13787  #PDRD22 pdrd22 . by ) 13788  #PDRD22_D5 pdrd22 . b . _D5 ) 13789  #PDRD22_D4 pdrd22 . b . _D4 ) 13790  #PDRD22_D1 pdrd22 . b . _D1 ) 13791  #PDRD22_D0 pdrd22 . b . _D0 ) 13792 __IO_EXTERN PDRD24STR gpdrd24 ; 13793  #PDRD24 pdrd24 . by ) 13794  #PDRD24_D7 pdrd24 . b . _D7 ) 13795  #PDRD24_D6 pdrd24 . b . _D6 ) 13796  #PDRD24_D5 pdrd24 . b . _D5 ) 13797  #PDRD24_D4 pdrd24 . b . _D4 ) 13798  #PDRD24_D3 pdrd24 . b . _D3 ) 13799  #PDRD24_D2 pdrd24 . b . _D2 ) 13800  #PDRD24_D1 pdrd24 . b . _D1 ) 13801  #PDRD24_D0 pdrd24 . b . _D0 ) 13802 __IO_EXTERN PDRD26STR gpdrd26 ; 13803  #PDRD26 pdrd26 . by ) 13804  #PDRD26_D1 pdrd26 . b . _D1 ) 13805  #PDRD26_D0 pdrd26 . b . _D0 ) 13806 __IO_EXTERN PDRD27STR gpdrd27 ; 13807  #PDRD27 pdrd27 . by ) 13808  #PDRD27_D7 pdrd27 . b . _D7 ) 13809  #PDRD27_D6 pdrd27 . b . _D6 ) 13810  #PDRD27_D5 pdrd27 . b . _D5 ) 13811  #PDRD27_D4 pdrd27 . b . _D4 ) 13812  #PDRD27_D3 pdrd27 . b . _D3 ) 13813  #PDRD27_D2 pdrd27 . b . _D2 ) 13814  #PDRD27_D1 pdrd27 . b . _D1 ) 13815  #PDRD27_D0 pdrd27 . b . _D0 ) 13816 __IO_EXTERN PDRD28STR gpdrd28 ; 13817  #PDRD28 pdrd28 . by ) 13818  #PDRD28_D7 pdrd28 . b . _D7 ) 13819  #PDRD28_D6 pdrd28 . b . _D6 ) 13820  #PDRD28_D5 pdrd28 . b . _D5 ) 13821  #PDRD28_D4 pdrd28 . b . _D4 ) 13822  #PDRD28_D3 pdrd28 . b . _D3 ) 13823  #PDRD28_D2 pdrd28 . b . _D2 ) 13824  #PDRD28_D1 pdrd28 . b . _D1 ) 13825  #PDRD28_D0 pdrd28 . b . _D0 ) 13826 __IO_EXTERN PDRD29STR gpdrd29 ; 13827  #PDRD29 pdrd29 . by ) 13828  #PDRD29_D7 pdrd29 . b . _D7 ) 13829  #PDRD29_D6 pdrd29 . b . _D6 ) 13830  #PDRD29_D5 pdrd29 . b . _D5 ) 13831  #PDRD29_D4 pdrd29 . b . _D4 ) 13832  #PDRD29_D3 pdrd29 . b . _D3 ) 13833  #PDRD29_D2 pdrd29 . b . _D2 ) 13834  #PDRD29_D1 pdrd29 . b . _D1 ) 13835  #PDRD29_D0 pdrd29 . b . _D0 ) 13836 __IO_EXTERN DDR14STR gddr14 ; 13837  #DDR14 ddr14 . by ) 13838  #DDR14_D7 ddr14 . b . _D7 ) 13839  #DDR14_D6 ddr14 . b . _D6 ) 13840  #DDR14_D5 ddr14 . b . _D5 ) 13841  #DDR14_D4 ddr14 . b . _D4 ) 13842  #DDR14_D3 ddr14 . b . _D3 ) 13843  #DDR14_D2 ddr14 . b . _D2 ) 13844  #DDR14_D1 ddr14 . b . _D1 ) 13845  #DDR14_D0 ddr14 . b . _D0 ) 13846 __IO_EXTERN DDR15STR gddr15 ; 13847  #DDR15 ddr15 . by ) 13848  #DDR15_D7 ddr15 . b . _D7 ) 13849  #DDR15_D6 ddr15 . b . _D6 ) 13850  #DDR15_D5 ddr15 . b . _D5 ) 13851  #DDR15_D4 ddr15 . b . _D4 ) 13852  #DDR15_D3 ddr15 . b . _D3 ) 13853  #DDR15_D2 ddr15 . b . _D2 ) 13854  #DDR15_D1 ddr15 . b . _D1 ) 13855  #DDR15_D0 ddr15 . b . _D0 ) 13856 __IO_EXTERN DDR16STR gddr16 ; 13857  #DDR16 ddr16 . by ) 13858  #DDR16_D7 ddr16 . b . _D7 ) 13859  #DDR16_D6 ddr16 . b . _D6 ) 13860  #DDR16_D5 ddr16 . b . _D5 ) 13861  #DDR16_D4 ddr16 . b . _D4 ) 13862  #DDR16_D3 ddr16 . b . _D3 ) 13863  #DDR16_D2 ddr16 . b . _D2 ) 13864  #DDR16_D1 ddr16 . b . _D1 ) 13865  #DDR16_D0 ddr16 . b . _D0 ) 13866 __IO_EXTERN DDR17STR gddr17 ; 13867  #DDR17 ddr17 . by ) 13868  #DDR17_D7 ddr17 . b . _D7 ) 13869  #DDR17_D6 ddr17 . b . _D6 ) 13870  #DDR17_D5 ddr17 . b . _D5 ) 13871  #DDR17_D4 ddr17 . b . _D4 ) 13872  #DDR17_D3 ddr17 . b . _D3 ) 13873  #DDR17_D2 ddr17 . b . _D2 ) 13874  #DDR17_D1 ddr17 . b . _D1 ) 13875  #DDR17_D0 ddr17 . b . _D0 ) 13876 __IO_EXTERN DDR18STR gddr18 ; 13877  #DDR18 ddr18 . by ) 13878  #DDR18_D6 ddr18 . b . _D6 ) 13879  #DDR18_D2 ddr18 . b . _D2 ) 13880 __IO_EXTERN DDR19STR gddr19 ; 13881  #DDR19 ddr19 . by ) 13882  #DDR19_D6 ddr19 . b . _D6 ) 13883  #DDR19_D2 ddr19 . b . _D2 ) 13884  #DDR19_D1 ddr19 . b . _D1 ) 13885  #DDR19_D0 ddr19 . b . _D0 ) 13886 __IO_EXTERN DDR20STR gddr20 ; 13887  #DDR20 ddr20 . by ) 13888  #DDR20_D7 ddr20 . b . _D7 ) 13889  #DDR20_D6 ddr20 . b . _D6 ) 13890  #DDR20_D5 ddr20 . b . _D5 ) 13891  #DDR20_D4 ddr20 . b . _D4 ) 13892  #DDR20_D3 ddr20 . b . _D3 ) 13893  #DDR20_D2 ddr20 . b . _D2 ) 13894  #DDR20_D1 ddr20 . b . _D1 ) 13895  #DDR20_D0 ddr20 . b . _D0 ) 13896 __IO_EXTERN DDR21STR gddr21 ; 13897  #DDR21 ddr21 . by ) 13898  #DDR21_D7 ddr21 . b . _D7 ) 13899  #DDR21_D6 ddr21 . b . _D6 ) 13900  #DDR21_D5 ddr21 . b . _D5 ) 13901  #DDR21_D4 ddr21 . b . _D4 ) 13902  #DDR21_D3 ddr21 . b . _D3 ) 13903  #DDR21_D2 ddr21 . b . _D2 ) 13904  #DDR21_D1 ddr21 . b . _D1 ) 13905  #DDR21_D0 ddr21 . b . _D0 ) 13906 __IO_EXTERN DDR22STR gddr22 ; 13907  #DDR22 ddr22 . by ) 13908  #DDR22_D5 ddr22 . b . _D5 ) 13909  #DDR22_D4 ddr22 . b . _D4 ) 13910  #DDR22_D1 ddr22 . b . _D1 ) 13911  #DDR22_D0 ddr22 . b . _D0 ) 13912 __IO_EXTERN DDR24STR gddr24 ; 13913  #DDR24 ddr24 . by ) 13914  #DDR24_D7 ddr24 . b . _D7 ) 13915  #DDR24_D6 ddr24 . b . _D6 ) 13916  #DDR24_D5 ddr24 . b . _D5 ) 13917  #DDR24_D4 ddr24 . b . _D4 ) 13918  #DDR24_D3 ddr24 . b . _D3 ) 13919  #DDR24_D2 ddr24 . b . _D2 ) 13920  #DDR24_D1 ddr24 . b . _D1 ) 13921  #DDR24_D0 ddr24 . b . _D0 ) 13922 __IO_EXTERN DDR26STR gddr26 ; 13923  #DDR26 ddr26 . by ) 13924  #DDR26_D1 ddr26 . b . _D1 ) 13925  #DDR26_D0 ddr26 . b . _D0 ) 13926 __IO_EXTERN DDR27STR gddr27 ; 13927  #DDR27 ddr27 . by ) 13928  #DDR27_D7 ddr27 . b . _D7 ) 13929  #DDR27_D6 ddr27 . b . _D6 ) 13930  #DDR27_D5 ddr27 . b . _D5 ) 13931  #DDR27_D4 ddr27 . b . _D4 ) 13932  #DDR27_D3 ddr27 . b . _D3 ) 13933  #DDR27_D2 ddr27 . b . _D2 ) 13934  #DDR27_D1 ddr27 . b . _D1 ) 13935  #DDR27_D0 ddr27 . b . _D0 ) 13936 __IO_EXTERN DDR28STR gddr28 ; 13937  #DDR28 ddr28 . by ) 13938  #DDR28_D7 ddr28 . b . _D7 ) 13939  #DDR28_D6 ddr28 . b . _D6 ) 13940  #DDR28_D5 ddr28 . b . _D5 ) 13941  #DDR28_D4 ddr28 . b . _D4 ) 13942  #DDR28_D3 ddr28 . b . _D3 ) 13943  #DDR28_D2 ddr28 . b . _D2 ) 13944  #DDR28_D1 ddr28 . b . _D1 ) 13945  #DDR28_D0 ddr28 . b . _D0 ) 13946 __IO_EXTERN DDR29STR gddr29 ; 13947  #DDR29 ddr29 . by ) 13948  #DDR29_D7 ddr29 . b . _D7 ) 13949  #DDR29_D6 ddr29 . b . _D6 ) 13950  #DDR29_D5 ddr29 . b . _D5 ) 13951  #DDR29_D4 ddr29 . b . _D4 ) 13952  #DDR29_D3 ddr29 . b . _D3 ) 13953  #DDR29_D2 ddr29 . b . _D2 ) 13954  #DDR29_D1 ddr29 . b . _D1 ) 13955  #DDR29_D0 ddr29 . b . _D0 ) 13956 __IO_EXTERN PFR14STR gp14 ; 13957  #PFR14 p14 . by ) 13958  #PFR14_D7 p14 . b . _D7 ) 13959  #PFR14_D6 p14 . b . _D6 ) 13960  #PFR14_D5 p14 . b . _D5 ) 13961  #PFR14_D4 p14 . b . _D4 ) 13962  #PFR14_D3 p14 . b . _D3 ) 13963  #PFR14_D2 p14 . b . _D2 ) 13964  #PFR14_D1 p14 . b . _D1 ) 13965  #PFR14_D0 p14 . b . _D0 ) 13966 __IO_EXTERN PFR15STR gp15 ; 13967  #PFR15 p15 . by ) 13968  #PFR15_D7 p15 . b . _D7 ) 13969  #PFR15_D6 p15 . b . _D6 ) 13970  #PFR15_D5 p15 . b . _D5 ) 13971  #PFR15_D4 p15 . b . _D4 ) 13972  #PFR15_D3 p15 . b . _D3 ) 13973  #PFR15_D2 p15 . b . _D2 ) 13974  #PFR15_D1 p15 . b . _D1 ) 13975  #PFR15_D0 p15 . b . _D0 ) 13976 __IO_EXTERN PFR16STR gp16 ; 13977  #PFR16 p16 . by ) 13978  #PFR16_D7 p16 . b . _D7 ) 13979  #PFR16_D6 p16 . b . _D6 ) 13980  #PFR16_D5 p16 . b . _D5 ) 13981  #PFR16_D4 p16 . b . _D4 ) 13982  #PFR16_D3 p16 . b . _D3 ) 13983  #PFR16_D2 p16 . b . _D2 ) 13984  #PFR16_D1 p16 . b . _D1 ) 13985  #PFR16_D0 p16 . b . _D0 ) 13986 __IO_EXTERN PFR17STR gp17 ; 13987  #PFR17 p17 . by ) 13988  #PFR17_D7 p17 . b . _D7 ) 13989  #PFR17_D6 p17 . b . _D6 ) 13990  #PFR17_D5 p17 . b . _D5 ) 13991  #PFR17_D4 p17 . b . _D4 ) 13992  #PFR17_D3 p17 . b . _D3 ) 13993  #PFR17_D2 p17 . b . _D2 ) 13994  #PFR17_D1 p17 . b . _D1 ) 13995  #PFR17_D0 p17 . b . _D0 ) 13996 __IO_EXTERN PFR18STR gp18 ; 13997  #PFR18 p18 . by ) 13998  #PFR18_D6 p18 . b . _D6 ) 13999  #PFR18_D2 p18 . b . _D2 ) 14000 __IO_EXTERN PFR19STR gp19 ; 14001  #PFR19 p19 . by ) 14002  #PFR19_D6 p19 . b . _D6 ) 14003  #PFR19_D2 p19 . b . _D2 ) 14004  #PFR19_D1 p19 . b . _D1 ) 14005  #PFR19_D0 p19 . b . _D0 ) 14006 __IO_EXTERN PFR20STR gp20 ; 14007  #PFR20 p20 . by ) 14008  #PFR20_D7 p20 . b . _D7 ) 14009  #PFR20_D6 p20 . b . _D6 ) 14010  #PFR20_D5 p20 . b . _D5 ) 14011  #PFR20_D4 p20 . b . _D4 ) 14012  #PFR20_D3 p20 . b . _D3 ) 14013  #PFR20_D2 p20 . b . _D2 ) 14014  #PFR20_D1 p20 . b . _D1 ) 14015  #PFR20_D0 p20 . b . _D0 ) 14016 __IO_EXTERN PFR21STR gp21 ; 14017  #PFR21 p21 . by ) 14018  #PFR21_D7 p21 . b . _D7 ) 14019  #PFR21_D6 p21 . b . _D6 ) 14020  #PFR21_D5 p21 . b . _D5 ) 14021  #PFR21_D4 p21 . b . _D4 ) 14022  #PFR21_D3 p21 . b . _D3 ) 14023  #PFR21_D2 p21 . b . _D2 ) 14024  #PFR21_D1 p21 . b . _D1 ) 14025  #PFR21_D0 p21 . b . _D0 ) 14026 __IO_EXTERN PFR22STR gp22 ; 14027  #PFR22 p22 . by ) 14028  #PFR22_D5 p22 . b . _D5 ) 14029  #PFR22_D4 p22 . b . _D4 ) 14030  #PFR22_D1 p22 . b . _D1 ) 14031  #PFR22_D0 p22 . b . _D0 ) 14032 __IO_EXTERN PFR24STR gp24 ; 14033  #PFR24 p24 . by ) 14034  #PFR24_D7 p24 . b . _D7 ) 14035  #PFR24_D6 p24 . b . _D6 ) 14036  #PFR24_D5 p24 . b . _D5 ) 14037  #PFR24_D4 p24 . b . _D4 ) 14038  #PFR24_D3 p24 . b . _D3 ) 14039  #PFR24_D2 p24 . b . _D2 ) 14040  #PFR24_D1 p24 . b . _D1 ) 14041  #PFR24_D0 p24 . b . _D0 ) 14042 __IO_EXTERN PFR26STR gp26 ; 14043  #PFR26 p26 . by ) 14044  #PFR26_D1 p26 . b . _D1 ) 14045  #PFR26_D0 p26 . b . _D0 ) 14046 __IO_EXTERN PFR27STR gp27 ; 14047  #PFR27 p27 . by ) 14048  #PFR27_D7 p27 . b . _D7 ) 14049  #PFR27_D6 p27 . b . _D6 ) 14050  #PFR27_D5 p27 . b . _D5 ) 14051  #PFR27_D4 p27 . b . _D4 ) 14052  #PFR27_D3 p27 . b . _D3 ) 14053  #PFR27_D2 p27 . b . _D2 ) 14054  #PFR27_D1 p27 . b . _D1 ) 14055  #PFR27_D0 p27 . b . _D0 ) 14056 __IO_EXTERN PFR28STR gp28 ; 14057  #PFR28 p28 . by ) 14058  #PFR28_D7 p28 . b . _D7 ) 14059  #PFR28_D6 p28 . b . _D6 ) 14060  #PFR28_D5 p28 . b . _D5 ) 14061  #PFR28_D4 p28 . b . _D4 ) 14062  #PFR28_D3 p28 . b . _D3 ) 14063  #PFR28_D2 p28 . b . _D2 ) 14064  #PFR28_D1 p28 . b . _D1 ) 14065  #PFR28_D0 p28 . b . _D0 ) 14066 __IO_EXTERN PFR29STR gp29 ; 14067  #PFR29 p29 . by ) 14068  #PFR29_D7 p29 . b . _D7 ) 14069  #PFR29_D6 p29 . b . _D6 ) 14070  #PFR29_D5 p29 . b . _D5 ) 14071  #PFR29_D4 p29 . b . _D4 ) 14072  #PFR29_D3 p29 . b . _D3 ) 14073  #PFR29_D2 p29 . b . _D2 ) 14074  #PFR29_D1 p29 . b . _D1 ) 14075  #PFR29_D0 p29 . b . _D0 ) 14076 __IO_EXTERN EPFR14STR g14 ; 14077  #EPFR14 14 . by ) 14078  #EPFR14_D7 14 . b . _D7 ) 14079  #EPFR14_D6 14 . b . _D6 ) 14080  #EPFR14_D5 14 . b . _D5 ) 14081  #EPFR14_D4 14 . b . _D4 ) 14082  #EPFR14_D3 14 . b . _D3 ) 14083  #EPFR14_D2 14 . b . _D2 ) 14084  #EPFR14_D1 14 . b . _D1 ) 14085  #EPFR14_D0 14 . b . _D0 ) 14086 __IO_EXTERN EPFR15STR g15 ; 14087  #EPFR15 15 . by ) 14088  #EPFR15_D7 15 . b . _D7 ) 14089  #EPFR15_D6 15 . b . _D6 ) 14090  #EPFR15_D5 15 . b . _D5 ) 14091  #EPFR15_D4 15 . b . _D4 ) 14092  #EPFR15_D3 15 . b . _D3 ) 14093  #EPFR15_D2 15 . b . _D2 ) 14094  #EPFR15_D1 15 . b . _D1 ) 14095  #EPFR15_D0 15 . b . _D0 ) 14096 __IO_EXTERN EPFR16STR g16 ; 14097  #EPFR16 16 . by ) 14098  #EPFR16_D7 16 . b . _D7 ) 14099 __IO_EXTERN IO_BYTE g17 ; 14100  #EPFR17 17 ) 14101 __IO_EXTERN EPFR18STR g18 ; 14102  #EPFR18 18 . by ) 14103  #EPFR18_D6 18 . b . _D6 ) 14104  #EPFR18_D2 18 . b . _D2 ) 14105 __IO_EXTERN EPFR19STR g19 ; 14106  #EPFR19 19 . by ) 14107  #EPFR19_D6 19 . b . _D6 ) 14108  #EPFR19_D2 19 . b . _D2 ) 14109 __IO_EXTERN EPFR20STR g20 ; 14110  #EPFR20 20 . by ) 14111  #EPFR20_D6 20 . b . _D6 ) 14112  #EPFR20_D2 20 . b . _D2 ) 14113 __IO_EXTERN EPFR21STR g21 ; 14114  #EPFR21 21 . by ) 14115  #EPFR21_D6 21 . b . _D6 ) 14116  #EPFR21_D2 21 . b . _D2 ) 14117 __IO_EXTERN IO_BYTE g22 ; 14118  #EPFR22 22 ) 14119 __IO_EXTERN IO_BYTE g24 ; 14120  #EPFR24 24 ) 14121 __IO_EXTERN EPFR26STR g26 ; 14122  #EPFR26 26 . by ) 14123  #EPFR26_D1 26 . b . _D1 ) 14124  #EPFR26_D0 26 . b . _D0 ) 14125 __IO_EXTERN EPFR27STR g27 ; 14126  #EPFR27 27 . by ) 14127  #EPFR27_D7 27 . b . _D7 ) 14128  #EPFR27_D6 27 . b . _D6 ) 14129  #EPFR27_D5 27 . b . _D5 ) 14130  #EPFR27_D4 27 . b . _D4 ) 14131  #EPFR27_D3 27 . b . _D3 ) 14132  #EPFR27_D2 27 . b . _D2 ) 14133  #EPFR27_D1 27 . b . _D1 ) 14134  #EPFR27_D0 27 . b . _D0 ) 14135 __IO_EXTERN IO_BYTE g29 ; 14136  #EPFR29 29 ) 14137 __IO_EXTERN PODR14STR gpodr14 ; 14138  #PODR14 podr14 . by ) 14139  #PODR14_D7 podr14 . b . _D7 ) 14140  #PODR14_D6 podr14 . b . _D6 ) 14141  #PODR14_D5 podr14 . b . _D5 ) 14142  #PODR14_D4 podr14 . b . _D4 ) 14143  #PODR14_D3 podr14 . b . _D3 ) 14144  #PODR14_D2 podr14 . b . _D2 ) 14145  #PODR14_D1 podr14 . b . _D1 ) 14146  #PODR14_D0 podr14 . b . _D0 ) 14147 __IO_EXTERN PODR15STR gpodr15 ; 14148  #PODR15 podr15 . by ) 14149  #PODR15_D7 podr15 . b . _D7 ) 14150  #PODR15_D6 podr15 . b . _D6 ) 14151  #PODR15_D5 podr15 . b . _D5 ) 14152  #PODR15_D4 podr15 . b . _D4 ) 14153  #PODR15_D3 podr15 . b . _D3 ) 14154  #PODR15_D2 podr15 . b . _D2 ) 14155  #PODR15_D1 podr15 . b . _D1 ) 14156  #PODR15_D0 podr15 . b . _D0 ) 14157 __IO_EXTERN PODR16STR gpodr16 ; 14158  #PODR16 podr16 . by ) 14159  #PODR16_D7 podr16 . b . _D7 ) 14160  #PODR16_D6 podr16 . b . _D6 ) 14161  #PODR16_D5 podr16 . b . _D5 ) 14162  #PODR16_D4 podr16 . b . _D4 ) 14163  #PODR16_D3 podr16 . b . _D3 ) 14164  #PODR16_D2 podr16 . b . _D2 ) 14165  #PODR16_D1 podr16 . b . _D1 ) 14166  #PODR16_D0 podr16 . b . _D0 ) 14167 __IO_EXTERN PODR17STR gpodr17 ; 14168  #PODR17 podr17 . by ) 14169  #PODR17_D7 podr17 . b . _D7 ) 14170  #PODR17_D6 podr17 . b . _D6 ) 14171  #PODR17_D5 podr17 . b . _D5 ) 14172  #PODR17_D4 podr17 . b . _D4 ) 14173  #PODR17_D3 podr17 . b . _D3 ) 14174  #PODR17_D2 podr17 . b . _D2 ) 14175  #PODR17_D1 podr17 . b . _D1 ) 14176  #PODR17_D0 podr17 . b . _D0 ) 14177 __IO_EXTERN PODR18STR gpodr18 ; 14178  #PODR18 podr18 . by ) 14179  #PODR18_D6 podr18 . b . _D6 ) 14180  #PODR18_D2 podr18 . b . _D2 ) 14181 __IO_EXTERN PODR19STR gpodr19 ; 14182  #PODR19 podr19 . by ) 14183  #PODR19_D6 podr19 . b . _D6 ) 14184  #PODR19_D2 podr19 . b . _D2 ) 14185  #PODR19_D1 podr19 . b . _D1 ) 14186  #PODR19_D0 podr19 . b . _D0 ) 14187 __IO_EXTERN PODR20STR gpodr20 ; 14188  #PODR20 podr20 . by ) 14189  #PODR20_D7 podr20 . b . _D7 ) 14190  #PODR20_D6 podr20 . b . _D6 ) 14191  #PODR20_D5 podr20 . b . _D5 ) 14192  #PODR20_D4 podr20 . b . _D4 ) 14193  #PODR20_D3 podr20 . b . _D3 ) 14194  #PODR20_D2 podr20 . b . _D2 ) 14195  #PODR20_D1 podr20 . b . _D1 ) 14196  #PODR20_D0 podr20 . b . _D0 ) 14197 __IO_EXTERN PODR21STR gpodr21 ; 14198  #PODR21 podr21 . by ) 14199  #PODR21_D7 podr21 . b . _D7 ) 14200  #PODR21_D6 podr21 . b . _D6 ) 14201  #PODR21_D5 podr21 . b . _D5 ) 14202  #PODR21_D4 podr21 . b . _D4 ) 14203  #PODR21_D3 podr21 . b . _D3 ) 14204  #PODR21_D2 podr21 . b . _D2 ) 14205  #PODR21_D1 podr21 . b . _D1 ) 14206  #PODR21_D0 podr21 . b . _D0 ) 14207 __IO_EXTERN PODR22STR gpodr22 ; 14208  #PODR22 podr22 . by ) 14209  #PODR22_D5 podr22 . b . _D5 ) 14210  #PODR22_D4 podr22 . b . _D4 ) 14211  #PODR22_D1 podr22 . b . _D1 ) 14212  #PODR22_D0 podr22 . b . _D0 ) 14213 __IO_EXTERN PODR24STR gpodr24 ; 14214  #PODR24 podr24 . by ) 14215  #PODR24_D7 podr24 . b . _D7 ) 14216  #PODR24_D6 podr24 . b . _D6 ) 14217  #PODR24_D5 podr24 . b . _D5 ) 14218  #PODR24_D4 podr24 . b . _D4 ) 14219  #PODR24_D3 podr24 . b . _D3 ) 14220  #PODR24_D2 podr24 . b . _D2 ) 14221  #PODR24_D1 podr24 . b . _D1 ) 14222  #PODR24_D0 podr24 . b . _D0 ) 14223 __IO_EXTERN PODR26STR gpodr26 ; 14224  #PODR26 podr26 . by ) 14225  #PODR26_D1 podr26 . b . _D1 ) 14226  #PODR26_D0 podr26 . b . _D0 ) 14227 __IO_EXTERN PODR27STR gpodr27 ; 14228  #PODR27 podr27 . by ) 14229  #PODR27_D7 podr27 . b . _D7 ) 14230  #PODR27_D6 podr27 . b . _D6 ) 14231  #PODR27_D5 podr27 . b . _D5 ) 14232  #PODR27_D4 podr27 . b . _D4 ) 14233  #PODR27_D3 podr27 . b . _D3 ) 14234  #PODR27_D2 podr27 . b . _D2 ) 14235  #PODR27_D1 podr27 . b . _D1 ) 14236  #PODR27_D0 podr27 . b . _D0 ) 14237 __IO_EXTERN PODR28STR gpodr28 ; 14238  #PODR28 podr28 . by ) 14239  #PODR28_D7 podr28 . b . _D7 ) 14240  #PODR28_D6 podr28 . b . _D6 ) 14241  #PODR28_D5 podr28 . b . _D5 ) 14242  #PODR28_D4 podr28 . b . _D4 ) 14243  #PODR28_D3 podr28 . b . _D3 ) 14244  #PODR28_D2 podr28 . b . _D2 ) 14245  #PODR28_D1 podr28 . b . _D1 ) 14246  #PODR28_D0 podr28 . b . _D0 ) 14247 __IO_EXTERN PODR29STR gpodr29 ; 14248  #PODR29 podr29 . by ) 14249  #PODR29_D7 podr29 . b . _D7 ) 14250  #PODR29_D6 podr29 . b . _D6 ) 14251  #PODR29_D5 podr29 . b . _D5 ) 14252  #PODR29_D4 podr29 . b . _D4 ) 14253  #PODR29_D3 podr29 . b . _D3 ) 14254  #PODR29_D2 podr29 . b . _D2 ) 14255  #PODR29_D1 podr29 . b . _D1 ) 14256  #PODR29_D0 podr29 . b . _D0 ) 14257 __IO_EXTERN PILR14STR gpr14 ; 14258  #PILR14 pr14 . by ) 14259  #PILR14_D7 pr14 . b . _D7 ) 14260  #PILR14_D6 pr14 . b . _D6 ) 14261  #PILR14_D5 pr14 . b . _D5 ) 14262  #PILR14_D4 pr14 . b . _D4 ) 14263  #PILR14_D3 pr14 . b . _D3 ) 14264  #PILR14_D2 pr14 . b . _D2 ) 14265  #PILR14_D1 pr14 . b . _D1 ) 14266  #PILR14_D0 pr14 . b . _D0 ) 14267 __IO_EXTERN PILR15STR gpr15 ; 14268  #PILR15 pr15 . by ) 14269  #PILR15_D7 pr15 . b . _D7 ) 14270  #PILR15_D6 pr15 . b . _D6 ) 14271  #PILR15_D5 pr15 . b . _D5 ) 14272  #PILR15_D4 pr15 . b . _D4 ) 14273  #PILR15_D3 pr15 . b . _D3 ) 14274  #PILR15_D2 pr15 . b . _D2 ) 14275  #PILR15_D1 pr15 . b . _D1 ) 14276  #PILR15_D0 pr15 . b . _D0 ) 14277 __IO_EXTERN PILR16STR gpr16 ; 14278  #PILR16 pr16 . by ) 14279  #PILR16_D7 pr16 . b . _D7 ) 14280  #PILR16_D6 pr16 . b . _D6 ) 14281  #PILR16_D5 pr16 . b . _D5 ) 14282  #PILR16_D4 pr16 . b . _D4 ) 14283  #PILR16_D3 pr16 . b . _D3 ) 14284  #PILR16_D2 pr16 . b . _D2 ) 14285  #PILR16_D1 pr16 . b . _D1 ) 14286  #PILR16_D0 pr16 . b . _D0 ) 14287 __IO_EXTERN PILR17STR gpr17 ; 14288  #PILR17 pr17 . by ) 14289  #PILR17_D7 pr17 . b . _D7 ) 14290  #PILR17_D6 pr17 . b . _D6 ) 14291  #PILR17_D5 pr17 . b . _D5 ) 14292  #PILR17_D4 pr17 . b . _D4 ) 14293  #PILR17_D3 pr17 . b . _D3 ) 14294  #PILR17_D2 pr17 . b . _D2 ) 14295  #PILR17_D1 pr17 . b . _D1 ) 14296  #PILR17_D0 pr17 . b . _D0 ) 14297 __IO_EXTERN PILR18STR gpr18 ; 14298  #PILR18 pr18 . by ) 14299  #PILR18_D6 pr18 . b . _D6 ) 14300  #PILR18_D2 pr18 . b . _D2 ) 14301 __IO_EXTERN PILR19STR gpr19 ; 14302  #PILR19 pr19 . by ) 14303  #PILR19_D6 pr19 . b . _D6 ) 14304  #PILR19_D2 pr19 . b . _D2 ) 14305  #PILR19_D1 pr19 . b . _D1 ) 14306  #PILR19_D0 pr19 . b . _D0 ) 14307 __IO_EXTERN PILR20STR gpr20 ; 14308  #PILR20 pr20 . by ) 14309  #PILR20_D7 pr20 . b . _D7 ) 14310  #PILR20_D6 pr20 . b . _D6 ) 14311  #PILR20_D5 pr20 . b . _D5 ) 14312  #PILR20_D4 pr20 . b . _D4 ) 14313  #PILR20_D3 pr20 . b . _D3 ) 14314  #PILR20_D2 pr20 . b . _D2 ) 14315  #PILR20_D1 pr20 . b . _D1 ) 14316  #PILR20_D0 pr20 . b . _D0 ) 14317 __IO_EXTERN PILR21STR gpr21 ; 14318  #PILR21 pr21 . by ) 14319  #PILR21_D7 pr21 . b . _D7 ) 14320  #PILR21_D6 pr21 . b . _D6 ) 14321  #PILR21_D5 pr21 . b . _D5 ) 14322  #PILR21_D4 pr21 . b . _D4 ) 14323  #PILR21_D3 pr21 . b . _D3 ) 14324  #PILR21_D2 pr21 . b . _D2 ) 14325  #PILR21_D1 pr21 . b . _D1 ) 14326  #PILR21_D0 pr21 . b . _D0 ) 14327 __IO_EXTERN PILR22STR gpr22 ; 14328  #PILR22 pr22 . by ) 14329  #PILR22_D5 pr22 . b . _D5 ) 14330  #PILR22_D4 pr22 . b . _D4 ) 14331  #PILR22_D1 pr22 . b . _D1 ) 14332  #PILR22_D0 pr22 . b . _D0 ) 14333 __IO_EXTERN PILR24STR gpr24 ; 14334  #PILR24 pr24 . by ) 14335  #PILR24_D7 pr24 . b . _D7 ) 14336  #PILR24_D6 pr24 . b . _D6 ) 14337  #PILR24_D5 pr24 . b . _D5 ) 14338  #PILR24_D4 pr24 . b . _D4 ) 14339  #PILR24_D3 pr24 . b . _D3 ) 14340  #PILR24_D2 pr24 . b . _D2 ) 14341  #PILR24_D1 pr24 . b . _D1 ) 14342  #PILR24_D0 pr24 . b . _D0 ) 14343 __IO_EXTERN PILR26STR gpr26 ; 14344  #PILR26 pr26 . by ) 14345  #PILR26_D1 pr26 . b . _D1 ) 14346  #PILR26_D0 pr26 . b . _D0 ) 14347 __IO_EXTERN PILR27STR gpr27 ; 14348  #PILR27 pr27 . by ) 14349  #PILR27_D7 pr27 . b . _D7 ) 14350  #PILR27_D6 pr27 . b . _D6 ) 14351  #PILR27_D5 pr27 . b . _D5 ) 14352  #PILR27_D4 pr27 . b . _D4 ) 14353  #PILR27_D3 pr27 . b . _D3 ) 14354  #PILR27_D2 pr27 . b . _D2 ) 14355  #PILR27_D1 pr27 . b . _D1 ) 14356  #PILR27_D0 pr27 . b . _D0 ) 14357 __IO_EXTERN PILR28STR gpr28 ; 14358  #PILR28 pr28 . by ) 14359  #PILR28_D7 pr28 . b . _D7 ) 14360  #PILR28_D6 pr28 . b . _D6 ) 14361  #PILR28_D5 pr28 . b . _D5 ) 14362  #PILR28_D4 pr28 . b . _D4 ) 14363  #PILR28_D3 pr28 . b . _D3 ) 14364  #PILR28_D2 pr28 . b . _D2 ) 14365  #PILR28_D1 pr28 . b . _D1 ) 14366  #PILR28_D0 pr28 . b . _D0 ) 14367 __IO_EXTERN PILR29STR gpr29 ; 14368  #PILR29 pr29 . by ) 14369  #PILR29_D7 pr29 . b . _D7 ) 14370  #PILR29_D6 pr29 . b . _D6 ) 14371  #PILR29_D5 pr29 . b . _D5 ) 14372  #PILR29_D4 pr29 . b . _D4 ) 14373  #PILR29_D3 pr29 . b . _D3 ) 14374  #PILR29_D2 pr29 . b . _D2 ) 14375  #PILR29_D1 pr29 . b . _D1 ) 14376  #PILR29_D0 pr29 . b . _D0 ) 14377 __IO_EXTERN EPILR14STR gr14 ; 14378  #EPILR14 r14 . by ) 14379  #EPILR14_D7 r14 . b . _D7 ) 14380  #EPILR14_D6 r14 . b . _D6 ) 14381  #EPILR14_D5 r14 . b . _D5 ) 14382  #EPILR14_D4 r14 . b . _D4 ) 14383  #EPILR14_D3 r14 . b . _D3 ) 14384  #EPILR14_D2 r14 . b . _D2 ) 14385  #EPILR14_D1 r14 . b . _D1 ) 14386  #EPILR14_D0 r14 . b . _D0 ) 14387 __IO_EXTERN EPILR15STR gr15 ; 14388  #EPILR15 r15 . by ) 14389  #EPILR15_D7 r15 . b . _D7 ) 14390  #EPILR15_D6 r15 . b . _D6 ) 14391  #EPILR15_D5 r15 . b . _D5 ) 14392  #EPILR15_D4 r15 . b . _D4 ) 14393  #EPILR15_D3 r15 . b . _D3 ) 14394  #EPILR15_D2 r15 . b . _D2 ) 14395  #EPILR15_D1 r15 . b . _D1 ) 14396  #EPILR15_D0 r15 . b . _D0 ) 14397 __IO_EXTERN EPILR16STR gr16 ; 14398  #EPILR16 r16 . by ) 14399  #EPILR16_D7 r16 . b . _D7 ) 14400  #EPILR16_D6 r16 . b . _D6 ) 14401  #EPILR16_D5 r16 . b . _D5 ) 14402  #EPILR16_D4 r16 . b . _D4 ) 14403  #EPILR16_D3 r16 . b . _D3 ) 14404  #EPILR16_D2 r16 . b . _D2 ) 14405  #EPILR16_D1 r16 . b . _D1 ) 14406  #EPILR16_D0 r16 . b . _D0 ) 14407 __IO_EXTERN EPILR17STR gr17 ; 14408  #EPILR17 r17 . by ) 14409  #EPILR17_D7 r17 . b . _D7 ) 14410  #EPILR17_D6 r17 . b . _D6 ) 14411  #EPILR17_D5 r17 . b . _D5 ) 14412  #EPILR17_D4 r17 . b . _D4 ) 14413  #EPILR17_D3 r17 . b . _D3 ) 14414  #EPILR17_D2 r17 . b . _D2 ) 14415  #EPILR17_D1 r17 . b . _D1 ) 14416  #EPILR17_D0 r17 . b . _D0 ) 14417 __IO_EXTERN EPILR18STR gr18 ; 14418  #EPILR18 r18 . by ) 14419  #EPILR18_D6 r18 . b . _D6 ) 14420  #EPILR18_D2 r18 . b . _D2 ) 14421 __IO_EXTERN EPILR19STR gr19 ; 14422  #EPILR19 r19 . by ) 14423  #EPILR19_D6 r19 . b . _D6 ) 14424  #EPILR19_D2 r19 . b . _D2 ) 14425  #EPILR19_D1 r19 . b . _D1 ) 14426  #EPILR19_D0 r19 . b . _D0 ) 14427 __IO_EXTERN EPILR20STR gr20 ; 14428  #EPILR20 r20 . by ) 14429  #EPILR20_D7 r20 . b . _D7 ) 14430  #EPILR20_D6 r20 . b . _D6 ) 14431  #EPILR20_D5 r20 . b . _D5 ) 14432  #EPILR20_D4 r20 . b . _D4 ) 14433  #EPILR20_D3 r20 . b . _D3 ) 14434  #EPILR20_D2 r20 . b . _D2 ) 14435  #EPILR20_D1 r20 . b . _D1 ) 14436  #EPILR20_D0 r20 . b . _D0 ) 14437 __IO_EXTERN EPILR21STR gr21 ; 14438  #EPILR21 r21 . by ) 14439  #EPILR21_D7 r21 . b . _D7 ) 14440  #EPILR21_D6 r21 . b . _D6 ) 14441  #EPILR21_D5 r21 . b . _D5 ) 14442  #EPILR21_D4 r21 . b . _D4 ) 14443  #EPILR21_D3 r21 . b . _D3 ) 14444  #EPILR21_D2 r21 . b . _D2 ) 14445  #EPILR21_D1 r21 . b . _D1 ) 14446  #EPILR21_D0 r21 . b . _D0 ) 14447 __IO_EXTERN EPILR22STR gr22 ; 14448  #EPILR22 r22 . by ) 14449  #EPILR22_D5 r22 . b . _D5 ) 14450  #EPILR22_D4 r22 . b . _D4 ) 14451  #EPILR22_D1 r22 . b . _D1 ) 14452  #EPILR22_D0 r22 . b . _D0 ) 14453 __IO_EXTERN EPILR24STR gr24 ; 14454  #EPILR24 r24 . by ) 14455  #EPILR24_D7 r24 . b . _D7 ) 14456  #EPILR24_D6 r24 . b . _D6 ) 14457  #EPILR24_D5 r24 . b . _D5 ) 14458  #EPILR24_D4 r24 . b . _D4 ) 14459  #EPILR24_D3 r24 . b . _D3 ) 14460  #EPILR24_D2 r24 . b . _D2 ) 14461  #EPILR24_D1 r24 . b . _D1 ) 14462  #EPILR24_D0 r24 . b . _D0 ) 14463 __IO_EXTERN EPILR26STR gr26 ; 14464  #EPILR26 r26 . by ) 14465  #EPILR26_D1 r26 . b . _D1 ) 14466  #EPILR26_D0 r26 . b . _D0 ) 14467 __IO_EXTERN EPILR27STR gr27 ; 14468  #EPILR27 r27 . by ) 14469  #EPILR27_D7 r27 . b . _D7 ) 14470  #EPILR27_D6 r27 . b . _D6 ) 14471  #EPILR27_D5 r27 . b . _D5 ) 14472  #EPILR27_D4 r27 . b . _D4 ) 14473  #EPILR27_D3 r27 . b . _D3 ) 14474  #EPILR27_D2 r27 . b . _D2 ) 14475  #EPILR27_D1 r27 . b . _D1 ) 14476  #EPILR27_D0 r27 . b . _D0 ) 14477 __IO_EXTERN EPILR28STR gr28 ; 14478  #EPILR28 r28 . by ) 14479  #EPILR28_D7 r28 . b . _D7 ) 14480  #EPILR28_D6 r28 . b . _D6 ) 14481  #EPILR28_D5 r28 . b . _D5 ) 14482  #EPILR28_D4 r28 . b . _D4 ) 14483  #EPILR28_D3 r28 . b . _D3 ) 14484  #EPILR28_D2 r28 . b . _D2 ) 14485  #EPILR28_D1 r28 . b . _D1 ) 14486  #EPILR28_D0 r28 . b . _D0 ) 14487 __IO_EXTERN EPILR29STR gr29 ; 14488  #EPILR29 r29 . by ) 14489  #EPILR29_D7 r29 . b . _D7 ) 14490  #EPILR29_D6 r29 . b . _D6 ) 14491  #EPILR29_D5 r29 . b . _D5 ) 14492  #EPILR29_D4 r29 . b . _D4 ) 14493  #EPILR29_D3 r29 . b . _D3 ) 14494  #EPILR29_D2 r29 . b . _D2 ) 14495  #EPILR29_D1 r29 . b . _D1 ) 14496  #EPILR29_D0 r29 . b . _D0 ) 14497 __IO_EXTERN PPER14STR g14 ; 14498  #PPER14 14 . by ) 14499  #PPER14_D7 14 . b . _D7 ) 14500  #PPER14_D6 14 . b . _D6 ) 14501  #PPER14_D5 14 . b . _D5 ) 14502  #PPER14_D4 14 . b . _D4 ) 14503  #PPER14_D3 14 . b . _D3 ) 14504  #PPER14_D2 14 . b . _D2 ) 14505  #PPER14_D1 14 . b . _D1 ) 14506  #PPER14_D0 14 . b . _D0 ) 14507 __IO_EXTERN PPER15STR g15 ; 14508  #PPER15 15 . by ) 14509  #PPER15_D7 15 . b . _D7 ) 14510  #PPER15_D6 15 . b . _D6 ) 14511  #PPER15_D5 15 . b . _D5 ) 14512  #PPER15_D4 15 . b . _D4 ) 14513  #PPER15_D3 15 . b . _D3 ) 14514  #PPER15_D2 15 . b . _D2 ) 14515  #PPER15_D1 15 . b . _D1 ) 14516  #PPER15_D0 15 . b . _D0 ) 14517 __IO_EXTERN PPER16STR g16 ; 14518  #PPER16 16 . by ) 14519  #PPER16_D7 16 . b . _D7 ) 14520  #PPER16_D6 16 . b . _D6 ) 14521  #PPER16_D5 16 . b . _D5 ) 14522  #PPER16_D4 16 . b . _D4 ) 14523  #PPER16_D3 16 . b . _D3 ) 14524  #PPER16_D2 16 . b . _D2 ) 14525  #PPER16_D1 16 . b . _D1 ) 14526  #PPER16_D0 16 . b . _D0 ) 14527 __IO_EXTERN PPER17STR g17 ; 14528  #PPER17 17 . by ) 14529  #PPER17_D7 17 . b . _D7 ) 14530  #PPER17_D6 17 . b . _D6 ) 14531  #PPER17_D5 17 . b . _D5 ) 14532  #PPER17_D4 17 . b . _D4 ) 14533  #PPER17_D3 17 . b . _D3 ) 14534  #PPER17_D2 17 . b . _D2 ) 14535  #PPER17_D1 17 . b . _D1 ) 14536  #PPER17_D0 17 . b . _D0 ) 14537 __IO_EXTERN PPER18STR g18 ; 14538  #PPER18 18 . by ) 14539  #PPER18_D6 18 . b . _D6 ) 14540  #PPER18_D2 18 . b . _D2 ) 14541 __IO_EXTERN PPER19STR g19 ; 14542  #PPER19 19 . by ) 14543  #PPER19_D6 19 . b . _D6 ) 14544  #PPER19_D2 19 . b . _D2 ) 14545  #PPER19_D1 19 . b . _D1 ) 14546  #PPER19_D0 19 . b . _D0 ) 14547 __IO_EXTERN PPER20STR g20 ; 14548  #PPER20 20 . by ) 14549  #PPER20_D7 20 . b . _D7 ) 14550  #PPER20_D6 20 . b . _D6 ) 14551  #PPER20_D5 20 . b . _D5 ) 14552  #PPER20_D4 20 . b . _D4 ) 14553  #PPER20_D3 20 . b . _D3 ) 14554  #PPER20_D2 20 . b . _D2 ) 14555  #PPER20_D1 20 . b . _D1 ) 14556  #PPER20_D0 20 . b . _D0 ) 14557 __IO_EXTERN PPER21STR g21 ; 14558  #PPER21 21 . by ) 14559  #PPER21_D7 21 . b . _D7 ) 14560  #PPER21_D6 21 . b . _D6 ) 14561  #PPER21_D5 21 . b . _D5 ) 14562  #PPER21_D4 21 . b . _D4 ) 14563  #PPER21_D3 21 . b . _D3 ) 14564  #PPER21_D2 21 . b . _D2 ) 14565  #PPER21_D1 21 . b . _D1 ) 14566  #PPER21_D0 21 . b . _D0 ) 14567 __IO_EXTERN PPER22STR g22 ; 14568  #PPER22 22 . by ) 14569  #PPER22_D5 22 . b . _D5 ) 14570  #PPER22_D4 22 . b . _D4 ) 14571  #PPER22_D1 22 . b . _D1 ) 14572  #PPER22_D0 22 . b . _D0 ) 14573 __IO_EXTERN PPER24STR g24 ; 14574  #PPER24 24 . by ) 14575  #PPER24_D7 24 . b . _D7 ) 14576  #PPER24_D6 24 . b . _D6 ) 14577  #PPER24_D5 24 . b . _D5 ) 14578  #PPER24_D4 24 . b . _D4 ) 14579  #PPER24_D3 24 . b . _D3 ) 14580  #PPER24_D2 24 . b . _D2 ) 14581  #PPER24_D1 24 . b . _D1 ) 14582  #PPER24_D0 24 . b . _D0 ) 14583 __IO_EXTERN PPER26STR g26 ; 14584  #PPER26 26 . by ) 14585  #PPER26_D1 26 . b . _D1 ) 14586  #PPER26_D0 26 . b . _D0 ) 14587 __IO_EXTERN PPER27STR g27 ; 14588  #PPER27 27 . by ) 14589  #PPER27_D7 27 . b . _D7 ) 14590  #PPER27_D6 27 . b . _D6 ) 14591  #PPER27_D5 27 . b . _D5 ) 14592  #PPER27_D4 27 . b . _D4 ) 14593  #PPER27_D3 27 . b . _D3 ) 14594  #PPER27_D2 27 . b . _D2 ) 14595  #PPER27_D1 27 . b . _D1 ) 14596  #PPER27_D0 27 . b . _D0 ) 14597 __IO_EXTERN PPER28STR g28 ; 14598  #PPER28 28 . by ) 14599  #PPER28_D7 28 . b . _D7 ) 14600  #PPER28_D6 28 . b . _D6 ) 14601  #PPER28_D5 28 . b . _D5 ) 14602  #PPER28_D4 28 . b . _D4 ) 14603  #PPER28_D3 28 . b . _D3 ) 14604  #PPER28_D2 28 . b . _D2 ) 14605  #PPER28_D1 28 . b . _D1 ) 14606  #PPER28_D0 28 . b . _D0 ) 14607 __IO_EXTERN PPER29STR g29 ; 14608  #PPER29 29 . by ) 14609  #PPER29_D7 29 . b . _D7 ) 14610  #PPER29_D6 29 . b . _D6 ) 14611  #PPER29_D5 29 . b . _D5 ) 14612  #PPER29_D4 29 . b . _D4 ) 14613  #PPER29_D3 29 . b . _D3 ) 14614  #PPER29_D2 29 . b . _D2 ) 14615  #PPER29_D1 29 . b . _D1 ) 14616  #PPER29_D0 29 . b . _D0 ) 14617 __IO_EXTERN PPCR14STR g14 ; 14618  #PPCR14 14 . by ) 14619  #PPCR14_D7 14 . b . _D7 ) 14620  #PPCR14_D6 14 . b . _D6 ) 14621  #PPCR14_D5 14 . b . _D5 ) 14622  #PPCR14_D4 14 . b . _D4 ) 14623  #PPCR14_D3 14 . b . _D3 ) 14624  #PPCR14_D2 14 . b . _D2 ) 14625  #PPCR14_D1 14 . b . _D1 ) 14626  #PPCR14_D0 14 . b . _D0 ) 14627 __IO_EXTERN PPCR15STR g15 ; 14628  #PPCR15 15 . by ) 14629  #PPCR15_D7 15 . b . _D7 ) 14630  #PPCR15_D6 15 . b . _D6 ) 14631  #PPCR15_D5 15 . b . _D5 ) 14632  #PPCR15_D4 15 . b . _D4 ) 14633  #PPCR15_D3 15 . b . _D3 ) 14634  #PPCR15_D2 15 . b . _D2 ) 14635  #PPCR15_D1 15 . b . _D1 ) 14636  #PPCR15_D0 15 . b . _D0 ) 14637 __IO_EXTERN PPCR16STR g16 ; 14638  #PPCR16 16 . by ) 14639  #PPCR16_D7 16 . b . _D7 ) 14640  #PPCR16_D6 16 . b . _D6 ) 14641  #PPCR16_D5 16 . b . _D5 ) 14642  #PPCR16_D4 16 . b . _D4 ) 14643  #PPCR16_D3 16 . b . _D3 ) 14644  #PPCR16_D2 16 . b . _D2 ) 14645  #PPCR16_D1 16 . b . _D1 ) 14646  #PPCR16_D0 16 . b . _D0 ) 14647 __IO_EXTERN PPCR17STR g17 ; 14648  #PPCR17 17 . by ) 14649  #PPCR17_D7 17 . b . _D7 ) 14650  #PPCR17_D6 17 . b . _D6 ) 14651  #PPCR17_D5 17 . b . _D5 ) 14652  #PPCR17_D4 17 . b . _D4 ) 14653  #PPCR17_D3 17 . b . _D3 ) 14654  #PPCR17_D2 17 . b . _D2 ) 14655  #PPCR17_D1 17 . b . _D1 ) 14656  #PPCR17_D0 17 . b . _D0 ) 14657 __IO_EXTERN PPCR18STR g18 ; 14658  #PPCR18 18 . by ) 14659  #PPCR18_D6 18 . b . _D6 ) 14660  #PPCR18_D2 18 . b . _D2 ) 14661 __IO_EXTERN PPCR19STR g19 ; 14662  #PPCR19 19 . by ) 14663  #PPCR19_D6 19 . b . _D6 ) 14664  #PPCR19_D2 19 . b . _D2 ) 14665  #PPCR19_D1 19 . b . _D1 ) 14666  #PPCR19_D0 19 . b . _D0 ) 14667 __IO_EXTERN PPCR20STR g20 ; 14668  #PPCR20 20 . by ) 14669  #PPCR20_D7 20 . b . _D7 ) 14670  #PPCR20_D6 20 . b . _D6 ) 14671  #PPCR20_D5 20 . b . _D5 ) 14672  #PPCR20_D4 20 . b . _D4 ) 14673  #PPCR20_D3 20 . b . _D3 ) 14674  #PPCR20_D2 20 . b . _D2 ) 14675  #PPCR20_D1 20 . b . _D1 ) 14676  #PPCR20_D0 20 . b . _D0 ) 14677 __IO_EXTERN PPCR21STR g21 ; 14678  #PPCR21 21 . by ) 14679  #PPCR21_D7 21 . b . _D7 ) 14680  #PPCR21_D6 21 . b . _D6 ) 14681  #PPCR21_D5 21 . b . _D5 ) 14682  #PPCR21_D4 21 . b . _D4 ) 14683  #PPCR21_D3 21 . b . _D3 ) 14684  #PPCR21_D2 21 . b . _D2 ) 14685  #PPCR21_D1 21 . b . _D1 ) 14686  #PPCR21_D0 21 . b . _D0 ) 14687 __IO_EXTERN PPCR22STR g22 ; 14688  #PPCR22 22 . by ) 14689  #PPCR22_D5 22 . b . _D5 ) 14690  #PPCR22_D4 22 . b . _D4 ) 14691  #PPCR22_D1 22 . b . _D1 ) 14692  #PPCR22_D0 22 . b . _D0 ) 14693 __IO_EXTERN PPCR24STR g24 ; 14694  #PPCR24 24 . by ) 14695  #PPCR24_D7 24 . b . _D7 ) 14696  #PPCR24_D6 24 . b . _D6 ) 14697  #PPCR24_D5 24 . b . _D5 ) 14698  #PPCR24_D4 24 . b . _D4 ) 14699  #PPCR24_D3 24 . b . _D3 ) 14700  #PPCR24_D2 24 . b . _D2 ) 14701  #PPCR24_D1 24 . b . _D1 ) 14702  #PPCR24_D0 24 . b . _D0 ) 14703 __IO_EXTERN PPCR26STR g26 ; 14704  #PPCR26 26 . by ) 14705  #PPCR26_D1 26 . b . _D1 ) 14706  #PPCR26_D0 26 . b . _D0 ) 14707 __IO_EXTERN PPCR27STR g27 ; 14708  #PPCR27 27 . by ) 14709  #PPCR27_D7 27 . b . _D7 ) 14710  #PPCR27_D6 27 . b . _D6 ) 14711  #PPCR27_D5 27 . b . _D5 ) 14712  #PPCR27_D4 27 . b . _D4 ) 14713  #PPCR27_D3 27 . b . _D3 ) 14714  #PPCR27_D2 27 . b . _D2 ) 14715  #PPCR27_D1 27 . b . _D1 ) 14716  #PPCR27_D0 27 . b . _D0 ) 14717 __IO_EXTERN PPCR28STR g28 ; 14718  #PPCR28 28 . by ) 14719  #PPCR28_D7 28 . b . _D7 ) 14720  #PPCR28_D6 28 . b . _D6 ) 14721  #PPCR28_D5 28 . b . _D5 ) 14722  #PPCR28_D4 28 . b . _D4 ) 14723  #PPCR28_D3 28 . b . _D3 ) 14724  #PPCR28_D2 28 . b . _D2 ) 14725  #PPCR28_D1 28 . b . _D1 ) 14726  #PPCR28_D0 28 . b . _D0 ) 14727 __IO_EXTERN PPCR29STR g29 ; 14728  #PPCR29 29 . by ) 14729  #PPCR29_D7 29 . b . _D7 ) 14730  #PPCR29_D6 29 . b . _D6 ) 14731  #PPCR29_D5 29 . b . _D5 ) 14732  #PPCR29_D4 29 . b . _D4 ) 14733  #PPCR29_D3 29 . b . _D3 ) 14734  #PPCR29_D2 29 . b . _D2 ) 14735  #PPCR29_D1 29 . b . _D1 ) 14736  #PPCR29_D0 29 . b . _D0 ) 14737 __IO_EXTERN IO_LWORD gdma0 ; 14738  #DMASA0 dma0 ) 14739 __IO_EXTERN IO_LWORD gdmada0 ; 14740  #DMADA0 dmada0 ) 14741 __IO_EXTERN IO_LWORD gdma1 ; 14742  #DMASA1 dma1 ) 14743 __IO_EXTERN IO_LWORD gdmada1 ; 14744  #DMADA1 dmada1 ) 14745 __IO_EXTERN IO_LWORD gdma2 ; 14746  #DMASA2 dma2 ) 14747 __IO_EXTERN IO_LWORD gdmada2 ; 14748  #DMADA2 dmada2 ) 14749 __IO_EXTERN IO_LWORD gdma3 ; 14750  #DMASA3 dma3 ) 14751 __IO_EXTERN IO_LWORD gdmada3 ; 14752  #DMADA3 dmada3 ) 14753 __IO_EXTERN IO_LWORD gdma4 ; 14754  #DMASA4 dma4 ) 14755 __IO_EXTERN IO_LWORD gdmada4 ; 14756  #DMADA4 dmada4 ) 14757 __IO_EXTERN FMCSSTR gfmcs ; 14758  #FMCS fmcs . by ) 14759  #FMCS_ASYNC fmcs . b . _ASYNC ) 14760  #FMCS_FIXE fmcs . b . _FIXE ) 14761  #FMCS_BIRE fmcs . b . _BIRE ) 14762  #FMCS_RDYEG fmcs . b . _RDYEG ) 14763  #FMCS_RDY fmcs . b . _RDY ) 14764  #FMCS_RDYI fmcs . b . _RDYI ) 14765  #FMCS_RW16 fmcs . b . _RW16 ) 14766  #FMCS_LPM fmcs . b . _LPM ) 14767 __IO_EXTERN FMCRSTR gfm ; 14768  #FMCR fm . by ) 14769  #FMCR_LOCK fm . b . _LOCK ) 14770  #FMCR_PHASE fm . b . _PHASE ) 14771  #FMCR_PF2I fm . b . _PF2I ) 14772  #FMCR_RD64 fm . b . _RD64 ) 14773 __IO_EXTERN FCHCRSTR gfch ; 14774  #FCHCR fch . wd ) 14775  #FCHCR_REN fch . b . _REN ) 14776  #FCHCR_TAGE fch . b . _TAGE ) 14777  #FCHCR_FLUSH fch . b . _FLUSH ) 14778  #FCHCR_DBEN fch . b . _DBEN ) 14779  #FCHCR_PFEN fch . b . _PFEN ) 14780  #FCHCR_PFMC fch . b . _PFMC ) 14781  #FCHCR_LOCK fch . b . _LOCK ) 14782  #FCHCR_ENAB fch . b . _ENAB ) 14783  #FCHCR_SIZE1 fch . b . _SIZE1 ) 14784  #FCHCR_SIZE0 fch . b . _SIZE0 ) 14785  #FCHCR_SIZE fch . bc . _SIZE ) 14786 __IO_EXTERN FMWTSTR gfmwt ; 14787  #FMWT fmwt . wd ) 14788  #FMWT_WTP1 fmwt . b . _WTP1 ) 14789  #FMWT_WTP0 fmwt . b . _WTP0 ) 14790  #FMWT_WEXH1 fmwt . b . _WEXH1 ) 14791  #FMWT_WEXH0 fmwt . b . _WEXH0 ) 14792  #FMWT_WTC3 fmwt . b . _WTC3 ) 14793  #FMWT_WTC2 fmwt . b . _WTC2 ) 14794  #FMWT_WTC1 fmwt . b . _WTC1 ) 14795  #FMWT_WTC0 fmwt . b . _WTC0 ) 14796  #FMWT_FRAM fmwt . b . _FRAM ) 14797  #FMWT_ATD2 fmwt . b . _ATD2 ) 14798  #FMWT_ATD1 fmwt . b . _ATD1 ) 14799  #FMWT_ATD0 fmwt . b . _ATD0 ) 14800  #FMWT_EQ3 fmwt . b . _EQ3 ) 14801  #FMWT_EQ2 fmwt . b . _EQ2 ) 14802  #FMWT_EQ1 fmwt . b . _EQ1 ) 14803  #FMWT_EQ0 fmwt . b . _EQ0 ) 14804  #FMWT_WTP fmwt . bc . _WTP ) 14805  #FMWT_WEXH fmwt . bc . _WEXH ) 14806  #FMWT_WTC fmwt . bc . _WTC ) 14807  #FMWT_ATD fmwt . bc . _ATD ) 14808  #FMWT_EQ fmwt . bc . _EQ ) 14809 __IO_EXTERN FMWT2STR gfmwt2 ; 14810  #FMWT2 fmwt2 . by ) 14811  #FMWT2_ALEH2 fmwt2 . b . _ALEH2 ) 14812  #FMWT2_ALEH1 fmwt2 . b . _ALEH1 ) 14813  #FMWT2_ALEH0 fmwt2 . b . _ALEH0 ) 14814  #FMWT2_ALEH fmwt2 . bc . _ALEH ) 14815 __IO_EXTERN FMPSSTR gfmps ; 14816  #FMPS fmps . by ) 14817  #FMPS_PS2 fmps . b . _PS2 ) 14818  #FMPS_PS1 fmps . b . _PS1 ) 14819  #FMPS_PS0 fmps . b . _PS0 ) 14820  #FMPS_PS fmps . bc . _PS ) 14821 __IO_EXTERN IO_LWORD gfmac ; 14822  #FMAC fmac ) 14823 __IO_EXTERN IO_LWORD gfcha0 ; 14824  #FCHA0 fcha0 ) 14825 __IO_EXTERN IO_LWORD gfcha1 ; 14826  #FCHA1 fcha1 ) 14827 __IO_EXTERN FSCR0STR gfs0 ; 14828  #FSCR0 fs0 . lwd ) 14829  #FSCR0_CRC31 fs0 . b . _CRC31 ) 14830  #FSCR0_CRC30 fs0 . b . _CRC30 ) 14831  #FSCR0_CRC29 fs0 . b . _CRC29 ) 14832  #FSCR0_CRC28 fs0 . b . _CRC28 ) 14833  #FSCR0_CRC27 fs0 . b . _CRC27 ) 14834  #FSCR0_CRC26 fs0 . b . _CRC26 ) 14835  #FSCR0_CRC25 fs0 . b . _CRC25 ) 14836  #FSCR0_CRC24 fs0 . b . _CRC24 ) 14837  #FSCR0_CRC23 fs0 . b . _CRC23 ) 14838  #FSCR0_CRC22 fs0 . b . _CRC22 ) 14839  #FSCR0_CRC21 fs0 . b . _CRC21 ) 14840  #FSCR0_CRC20 fs0 . b . _CRC20 ) 14841  #FSCR0_CRC19 fs0 . b . _CRC19 ) 14842  #FSCR0_CRC18 fs0 . b . _CRC18 ) 14843  #FSCR0_CRC17 fs0 . b . _CRC17 ) 14844  #FSCR0_CRC16 fs0 . b . _CRC16 ) 14845  #FSCR0_CRC15 fs0 . b . _CRC15 ) 14846  #FSCR0_CRC14 fs0 . b . _CRC14 ) 14847  #FSCR0_CRC13 fs0 . b . _CRC13 ) 14848  #FSCR0_CRC12 fs0 . b . _CRC12 ) 14849  #FSCR0_CRC11 fs0 . b . _CRC11 ) 14850  #FSCR0_CRC10 fs0 . b . _CRC10 ) 14851  #FSCR0_CRC9 fs0 . b . _CRC9 ) 14852  #FSCR0_CRC8 fs0 . b . _CRC8 ) 14853  #FSCR0_CRC7 fs0 . b . _CRC7 ) 14854  #FSCR0_CRC6 fs0 . b . _CRC6 ) 14855  #FSCR0_CRC5 fs0 . b . _CRC5 ) 14856  #FSCR0_CRC4 fs0 . b . _CRC4 ) 14857  #FSCR0_CRC3 fs0 . b . _CRC3 ) 14858  #FSCR0_CRC2 fs0 . b . _CRC2 ) 14859  #FSCR0_CRC1 fs0 . b . _CRC1 ) 14860  #FSCR0_CRC0 fs0 . b . _CRC0 ) 14861 __IO_EXTERN FSCR1STR gfs1 ; 14862  #FSCR1 fs1 . lwd ) 14863  #FSCR1_RDY fs1 . b . _RDY ) 14864  #FSCR1_CSZ3 fs1 . b . _CSZ3 ) 14865  #FSCR1_CSZ2 fs1 . b . _CSZ2 ) 14866  #FSCR1_CSZ1 fs1 . b . _CSZ1 ) 14867  #FSCR1_CSZ0 fs1 . b . _CSZ0 ) 14868  #FSCR1_CSA15 fs1 . b . _CSA15 ) 14869  #FSCR1_CSA14 fs1 . b . _CSA14 ) 14870  #FSCR1_CSA13 fs1 . b . _CSA13 ) 14871  #FSCR1_CSA12 fs1 . b . _CSA12 ) 14872  #FSCR1_CSA11 fs1 . b . _CSA11 ) 14873  #FSCR1_CSA10 fs1 . b . _CSA10 ) 14874  #FSCR1_CSA9 fs1 . b . _CSA9 ) 14875  #FSCR1_CSA8 fs1 . b . _CSA8 ) 14876  #FSCR1_CSA7 fs1 . b . _CSA7 ) 14877  #FSCR1_CSA6 fs1 . b . _CSA6 ) 14878  #FSCR1_CSA5 fs1 . b . _CSA5 ) 14879  #FSCR1_CSA4 fs1 . b . _CSA4 ) 14880  #FSCR1_CSA3 fs1 . b . _CSA3 ) 14881  #FSCR1_CSA2 fs1 . b . _CSA2 ) 14882  #FSCR1_CSA1 fs1 . b . _CSA1 ) 14883  #FSCR1_CSA0 fs1 . b . _CSA0 ) 14884  #FSCR1_CSZ fs1 . bc . _CSZ ) 14885 __IO_EXTERN CTRLR4STR gr4 ; 14886  #CTRLR4 r4 . wd ) 14887  #CTRLR4_Te r4 . b . _Te ) 14888  #CTRLR4_CCE r4 . b . _CCE ) 14889  #CTRLR4_DAR r4 . b . _DAR ) 14890  #CTRLR4_EIE r4 . b . _EIE ) 14891  #CTRLR4_SIE r4 . b . _SIE ) 14892  #CTRLR4_IE r4 . b . _IE ) 14893  #CTRLR4_In r4 . b . _In ) 14894 __IO_EXTERN STATR4STR gr4 ; 14895  #STATR4 r4 . wd ) 14896  #STATR4_BOff r4 . b . _BOff ) 14897  #STATR4_EWn r4 . b . _EWn ) 14898  #STATR4_EPass r4 . b . _EPass ) 14899  #STATR4_RxOK r4 . b . _RxOK ) 14900  #STATR4_TxOK r4 . b . _TxOK ) 14901  #STATR4_LEC2 r4 . b . _LEC2 ) 14902  #STATR4_LEC1 r4 . b . _LEC1 ) 14903  #STATR4_LEC0 r4 . b . _LEC0 ) 14904  #STATR4_LEC r4 . bc . _LEC ) 14905 __IO_EXTERN ERRCNT4STR grt4 ; 14906  #ERRCNT4 rt4 . wd ) 14907  #ERRCNT4_RP rt4 . b . _RP ) 14908  #ERRCNT4_REC6 rt4 . b . _REC6 ) 14909  #ERRCNT4_REC5 rt4 . b . _REC5 ) 14910  #ERRCNT4_REC4 rt4 . b . _REC4 ) 14911  #ERRCNT4_REC3 rt4 . b . _REC3 ) 14912  #ERRCNT4_REC2 rt4 . b . _REC2 ) 14913  #ERRCNT4_REC1 rt4 . b . _REC1 ) 14914  #ERRCNT4_REC0 rt4 . b . _REC0 ) 14915  #ERRCNT4_TEC7 rt4 . b . _TEC7 ) 14916  #ERRCNT4_TEC6 rt4 . b . _TEC6 ) 14917  #ERRCNT4_TEC5 rt4 . b . _TEC5 ) 14918  #ERRCNT4_TEC4 rt4 . b . _TEC4 ) 14919  #ERRCNT4_TEC3 rt4 . b . _TEC3 ) 14920  #ERRCNT4_TEC2 rt4 . b . _TEC2 ) 14921  #ERRCNT4_TEC1 rt4 . b . _TEC1 ) 14922  #ERRCNT4_TEC0 rt4 . b . _TEC0 ) 14923  #ERRCNT4_REC rt4 . bc . _REC ) 14924  #ERRCNT4_TEC rt4 . bc . _TEC ) 14925 __IO_EXTERN BTR4STR gb4 ; 14926  #BTR4 b4 . wd ) 14927  #BTR4_Tg22 b4 . b . _Tg22 ) 14928  #BTR4_Tg21 b4 . b . _Tg21 ) 14929  #BTR4_Tg20 b4 . b . _Tg20 ) 14930  #BTR4_Tg13 b4 . b . _Tg13 ) 14931  #BTR4_Tg12 b4 . b . _Tg12 ) 14932  #BTR4_Tg11 b4 . b . _Tg11 ) 14933  #BTR4_Tg10 b4 . b . _Tg10 ) 14934  #BTR4_SJW1 b4 . b . _SJW1 ) 14935  #BTR4_SJW0 b4 . b . _SJW0 ) 14936  #BTR4_BRP5 b4 . b . _BRP5 ) 14937  #BTR4_BRP4 b4 . b . _BRP4 ) 14938  #BTR4_BRP3 b4 . b . _BRP3 ) 14939  #BTR4_BRP2 b4 . b . _BRP2 ) 14940  #BTR4_BRP1 b4 . b . _BRP1 ) 14941  #BTR4_BRP0 b4 . b . _BRP0 ) 14942  #BTR4_Tg2 b4 . bc . _Tg2 ) 14943  #BTR4_Tg1 b4 . bc . _Tg1 ) 14944  #BTR4_SJW b4 . bc . _SJW ) 14945  #BTR4_BRP b4 . bc . _BRP ) 14946 __IO_EXTERN IO_WORD g4 ; 14947  #INTR4 4 ) 14948 __IO_EXTERN TESTR4STR gr4 ; 14949  #TESTR4 r4 . wd ) 14950  #TESTR4_Rx r4 . b . _Rx ) 14951  #TESTR4_Tx1 r4 . b . _Tx1 ) 14952  #TESTR4_Tx0 r4 . b . _Tx0 ) 14953  #TESTR4_LBack r4 . b . _LBack ) 14954  #TESTR4_St r4 . b . _St ) 14955  #TESTR4_Basic r4 . b . _Basic ) 14956  #TESTR4_Tx r4 . bc . _Tx ) 14957 __IO_EXTERN BRPER4STR gb4 ; 14958  #BRPER4 b4 . wd ) 14959  #BRPER4_BRPE3 b4 . b . _BRPE3 ) 14960  #BRPER4_BRPE2 b4 . b . _BRPE2 ) 14961  #BRPER4_BRPE1 b4 . b . _BRPE1 ) 14962  #BRPER4_BRPE0 b4 . b . _BRPE0 ) 14963  #BRPER4_BRPE b4 . bc . _BRPE ) 14964 __IO_EXTERN BRPE4STR gbe4 ; 14965  #BRPE4 be4 . wd ) 14966 __IO_EXTERN IF1CREQ4STR gif1eq4 ; 14967  #IF1CREQ4 if1eq4 . wd ) 14968  #IF1CREQ4_Busy if1eq4 . b . _Busy ) 14969  #IF1CREQ4_MN5 if1eq4 . b . _MN5 ) 14970  #IF1CREQ4_MN4 if1eq4 . b . _MN4 ) 14971  #IF1CREQ4_MN3 if1eq4 . b . _MN3 ) 14972  #IF1CREQ4_MN2 if1eq4 . b . _MN2 ) 14973  #IF1CREQ4_MN1 if1eq4 . b . _MN1 ) 14974  #IF1CREQ4_MN0 if1eq4 . b . _MN0 ) 14975  #IF1CREQ4_MN if1eq4 . bc . _MN ) 14976 __IO_EXTERN IF1CMSK4STR gif1cmsk4 ; 14977  #IF1CMSK4 if1cmsk4 . wd ) 14978  #IF1CMSK4_WR if1cmsk4 . b . _WR ) 14979  #IF1CMSK4_Mask if1cmsk4 . b . _Mask ) 14980  #IF1CMSK4_Arb if1cmsk4 . b . _Arb ) 14981  #IF1CMSK4_Cڌ if1cmsk4 . b . _Cڌ ) 14982  #IF1CMSK4_CIP if1cmsk4 . b . _CIP ) 14983  #IF1CMSK4_TxReq if1cmsk4 . b . _TxReq ) 14984  #IF1CMSK4_DaA if1cmsk4 . b . _DaA ) 14985  #IF1CMSK4_DaB if1cmsk4 . b . _DaB ) 14986 __IO_EXTERN IO_LWORD gif1msk124 ; 14987  #IF1MSK124 if1msk124 ) 14988 __IO_EXTERN IF1MSK24STR gif1msk24 ; 14989  #IF1MSK24 if1msk24 . wd ) 14990  #IF1MSK24_MXtd if1msk24 . b . _MXtd ) 14991  #IF1MSK24_MD if1msk24 . b . _MD ) 14992 __IO_EXTERN IO_WORD gif1msk14 ; 14993  #IF1MSK14 if1msk14 ) 14994 __IO_EXTERN IO_LWORD gif1b124 ; 14995  #IF1ARB124 if1b124 ) 14996 __IO_EXTERN IF1ARB24STR gif1b24 ; 14997  #IF1ARB24 if1b24 . wd ) 14998  #IF1ARB24_MsgV if1b24 . b . _MsgV ) 14999  #IF1ARB24_Xtd if1b24 . b . _Xtd ) 15000  #IF1ARB24_DIR if1b24 . b . _DIR ) 15001 __IO_EXTERN IO_WORD gif1b14 ; 15002  #IF1ARB14 if1b14 ) 15003 __IO_EXTERN IF1MCTR4STR gif1mr4 ; 15004  #IF1MCTR4 if1mr4 . wd ) 15005  #IF1MCTR4_NewD if1mr4 . b . _NewD ) 15006  #IF1MCTR4_MsgL if1mr4 . b . _MsgL ) 15007  #IF1MCTR4_IPnd if1mr4 . b . _IPnd ) 15008  #IF1MCTR4_UMask if1mr4 . b . _UMask ) 15009  #IF1MCTR4_TxIE if1mr4 . b . _TxIE ) 15010  #IF1MCTR4_RxIE if1mr4 . b . _RxIE ) 15011  #IF1MCTR4_RmtEn if1mr4 . b . _RmtEn ) 15012  #IF1MCTR4_TxRq if1mr4 . b . _TxRq ) 15013  #IF1MCTR4_EoB if1mr4 . b . _EoB ) 15014  #IF1MCTR4_DLC3 if1mr4 . b . _DLC3 ) 15015  #IF1MCTR4_DLC2 if1mr4 . b . _DLC2 ) 15016  #IF1MCTR4_DLC1 if1mr4 . b . _DLC1 ) 15017  #IF1MCTR4_DLC0 if1mr4 . b . _DLC0 ) 15018  #IF1MCTR4_DLC if1mr4 . bc . _DLC ) 15019 __IO_EXTERN IO_LWORD gif1d124 ; 15020  #IF1DTA124 if1d124 ) 15021 __IO_EXTERN IO_WORD gif1d14 ; 15022  #IF1DTA14 if1d14 ) 15023 __IO_EXTERN IO_WORD gif1d24 ; 15024  #IF1DTA24 if1d24 ) 15025 __IO_EXTERN IO_LWORD gif1dtb124 ; 15026  #IF1DTB124 if1dtb124 ) 15027 __IO_EXTERN IO_WORD gif1dtb14 ; 15028  #IF1DTB14 if1dtb14 ) 15029 __IO_EXTERN IO_WORD gif1dtb24 ; 15030  #IF1DTB24 if1dtb24 ) 15031 __IO_EXTERN IO_LWORD gif1d_swp124 ; 15032  #IF1DTA_SWP124 if1d_swp124 ) 15033 __IO_EXTERN IO_WORD gif1d_swp24 ; 15034  #IF1DTA_SWP24 if1d_swp24 ) 15035 __IO_EXTERN IO_WORD gif1d_swp14 ; 15036  #IF1DTA_SWP14 if1d_swp14 ) 15037 __IO_EXTERN IO_LWORD gif1dtb_swp124 ; 15038  #IF1DTB_SWP124 if1dtb_swp124 ) 15039 __IO_EXTERN IO_WORD gif1dtb_swp24 ; 15040  #IF1DTB_SWP24 if1dtb_swp24 ) 15041 __IO_EXTERN IO_WORD gif1dtb_swp14 ; 15042  #IF1DTB_SWP14 if1dtb_swp14 ) 15043 __IO_EXTERN IF2CREQ4STR gif2eq4 ; 15044  #IF2CREQ4 if2eq4 . wd ) 15045  #IF2CREQ4_Busy if2eq4 . b . _Busy ) 15046  #IF2CREQ4_MN5 if2eq4 . b . _MN5 ) 15047  #IF2CREQ4_MN4 if2eq4 . b . _MN4 ) 15048  #IF2CREQ4_MN3 if2eq4 . b . _MN3 ) 15049  #IF2CREQ4_MN2 if2eq4 . b . _MN2 ) 15050  #IF2CREQ4_MN1 if2eq4 . b . _MN1 ) 15051  #IF2CREQ4_MN0 if2eq4 . b . _MN0 ) 15052  #IF2CREQ4_MN if2eq4 . bc . _MN ) 15053 __IO_EXTERN IF2CMSK4STR gif2cmsk4 ; 15054  #IF2CMSK4 if2cmsk4 . wd ) 15055  #IF2CMSK4_WR if2cmsk4 . b . _WR ) 15056  #IF2CMSK4_Mask if2cmsk4 . b . _Mask ) 15057  #IF2CMSK4_Arb if2cmsk4 . b . _Arb ) 15058  #IF2CMSK4_Cڌ if2cmsk4 . b . _Cڌ ) 15059  #IF2CMSK4_CIP if2cmsk4 . b . _CIP ) 15060  #IF2CMSK4_TxReq if2cmsk4 . b . _TxReq ) 15061  #IF2CMSK4_DaA if2cmsk4 . b . _DaA ) 15062  #IF2CMSK4_DaB if2cmsk4 . b . _DaB ) 15063 __IO_EXTERN IO_LWORD gif2msk124 ; 15064  #IF2MSK124 if2msk124 ) 15065 __IO_EXTERN IF2MSK24STR gif2msk24 ; 15066  #IF2MSK24 if2msk24 . wd ) 15067  #IF2MSK24_MXtd if2msk24 . b . _MXtd ) 15068  #IF2MSK24_MD if2msk24 . b . _MD ) 15069 __IO_EXTERN IO_WORD gif2msk14 ; 15070  #IF2MSK14 if2msk14 ) 15071 __IO_EXTERN IO_LWORD gif2b124 ; 15072  #IF2ARB124 if2b124 ) 15073 __IO_EXTERN IF2ARB24STR gif2b24 ; 15074  #IF2ARB24 if2b24 . wd ) 15075  #IF2ARB24_MsgV if2b24 . b . _MsgV ) 15076  #IF2ARB24_Xtd if2b24 . b . _Xtd ) 15077  #IF2ARB24_DIR if2b24 . b . _DIR ) 15078 __IO_EXTERN IO_WORD gif2b14 ; 15079  #IF2ARB14 if2b14 ) 15080 __IO_EXTERN IF2MCTR4STR gif2mr4 ; 15081  #IF2MCTR4 if2mr4 . wd ) 15082  #IF2MCTR4_NewD if2mr4 . b . _NewD ) 15083  #IF2MCTR4_MsgL if2mr4 . b . _MsgL ) 15084  #IF2MCTR4_IPnd if2mr4 . b . _IPnd ) 15085  #IF2MCTR4_UMask if2mr4 . b . _UMask ) 15086  #IF2MCTR4_TxIE if2mr4 . b . _TxIE ) 15087  #IF2MCTR4_RxIE if2mr4 . b . _RxIE ) 15088  #IF2MCTR4_RmtEn if2mr4 . b . _RmtEn ) 15089  #IF2MCTR4_TxRq if2mr4 . b . _TxRq ) 15090  #IF2MCTR4_EoB if2mr4 . b . _EoB ) 15091  #IF2MCTR4_DLC3 if2mr4 . b . _DLC3 ) 15092  #IF2MCTR4_DLC2 if2mr4 . b . _DLC2 ) 15093  #IF2MCTR4_DLC1 if2mr4 . b . _DLC1 ) 15094  #IF2MCTR4_DLC0 if2mr4 . b . _DLC0 ) 15095  #IF2MCTR4_DLC if2mr4 . bc . _DLC ) 15096 __IO_EXTERN IO_LWORD gif2d124 ; 15097  #IF2DTA124 if2d124 ) 15098 __IO_EXTERN IO_WORD gif2d14 ; 15099  #IF2DTA14 if2d14 ) 15100 __IO_EXTERN IO_WORD gif2d24 ; 15101  #IF2DTA24 if2d24 ) 15102 __IO_EXTERN IO_LWORD gif2dtb124 ; 15103  #IF2DTB124 if2dtb124 ) 15104 __IO_EXTERN IO_WORD gif2dtb14 ; 15105  #IF2DTB14 if2dtb14 ) 15106 __IO_EXTERN IO_WORD gif2dtb24 ; 15107  #IF2DTB24 if2dtb24 ) 15108 __IO_EXTERN IO_LWORD gif2d_swp124 ; 15109  #IF2DTA_SWP124 if2d_swp124 ) 15110 __IO_EXTERN IO_WORD gif2d_swp24 ; 15111  #IF2DTA_SWP24 if2d_swp24 ) 15112 __IO_EXTERN IO_WORD gif2d_swp14 ; 15113  #IF2DTA_SWP14 if2d_swp14 ) 15114 __IO_EXTERN IO_LWORD gif2dtb_swp124 ; 15115  #IF2DTB_SWP124 if2dtb_swp124 ) 15116 __IO_EXTERN IO_WORD gif2dtb_swp24 ; 15117  #IF2DTB_SWP24 if2dtb_swp24 ) 15118 __IO_EXTERN IO_WORD gif2dtb_swp14 ; 15119  #IF2DTB_SWP14 if2dtb_swp14 ) 15120 __IO_EXTERN IO_LWORD geqr124 ; 15121  #TREQR124 eqr124 ) 15122 __IO_EXTERN IO_WORD geqr24 ; 15123  #TREQR24 eqr24 ) 15124 __IO_EXTERN IO_WORD geqr14 ; 15125  #TREQR14 eqr14 ) 15126 __IO_EXTERN IO_LWORD geqr344 ; 15127  #TREQR344 eqr344 ) 15128 __IO_EXTERN IO_LWORD gwdt124 ; 15129  #NEWDT124 wdt124 ) 15130 __IO_EXTERN IO_WORD gwdt24 ; 15131  #NEWDT24 wdt24 ) 15132 __IO_EXTERN IO_WORD gwdt14 ; 15133  #NEWDT14 wdt14 ) 15134 __IO_EXTERN IO_LWORD gnd124 ; 15135  #INTPND124 nd124 ) 15136 __IO_EXTERN IO_WORD gnd24 ; 15137  #INTPND24 nd24 ) 15138 __IO_EXTERN IO_WORD gnd14 ; 15139  #INTPND14 nd14 ) 15140 __IO_EXTERN IO_LWORD gmsgv124 ; 15141  #MSGVAL124 msgv124 ) 15142 __IO_EXTERN IO_WORD gmsgv24 ; 15143  #MSGVAL24 msgv24 ) 15144 __IO_EXTERN IO_WORD gmsgv14 ; 15145  #MSGVAL14 msgv14 ) 15146 __IO_EXTERN BCTRLSTR gb ; 15147  #BCTRL b . lwd ) 15148  #BCTRL_SR b . b . _SR ) 15149  #BCTRL_SW b . b . _SW ) 15150  #BCTRL_SX b . b . _SX ) 15151  #BCTRL_UR b . b . _UR ) 15152  #BCTRL_UW b . b . _UW ) 15153  #BCTRL_UX b . b . _UX ) 15154  #BCTRL_FCPU b . b . _FCPU ) 15155  #BCTRL_FDMA b . b . _FDMA ) 15156  #BCTRL_EEMM b . b . _EEMM ) 15157  #BCTRL_PFD b . b . _PFD ) 15158  #BCTRL_SINT1 b . b . _SINT1 ) 15159  #BCTRL_SINT0 b . b . _SINT0 ) 15160  #BCTRL_EINT1 b . b . _EINT1 ) 15161  #BCTRL_EINT0 b . b . _EINT0 ) 15162  #BCTRL_EINTT b . b . _EINTT ) 15163  #BCTRL_EINTR b . b . _EINTR ) 15164  #BCTRL_SINT b . bc . _SINT ) 15165  #BCTRL_EINT b . bc . _EINT ) 15166 __IO_EXTERN BSTATSTR gb ; 15167  #BSTAT b . lwd ) 15168  #BSTAT_IDX4 b . b . _IDX4 ) 15169  #BSTAT_IDX3 b . b . _IDX3 ) 15170  #BSTAT_IDX2 b . b . _IDX2 ) 15171  #BSTAT_IDX1 b . b . _IDX1 ) 15172  #BSTAT_IDX0 b . b . _IDX0 ) 15173  #BSTAT_CDMA b . b . _CDMA ) 15174  #BSTAT_CSZ1 b . b . _CSZ1 ) 15175  #BSTAT_CSZ0 b . b . _CSZ0 ) 15176  #BSTAT_CRW1 b . b . _CRW1 ) 15177  #BSTAT_CRW0 b . b . _CRW0 ) 15178  #BSTAT_PV b . b . _PV ) 15179  #BSTAT_RST b . b . _RST ) 15180  #BSTAT_INT1 b . b . _INT1 ) 15181  #BSTAT_INT0 b . b . _INT0 ) 15182  #BSTAT_INTT b . b . _INTT ) 15183  #BSTAT_INTR b . b . _INTR ) 15184  #BSTAT_IDX b . bc . _IDX ) 15185  #BSTAT_CSZ b . bc . _CSZ ) 15186  #BSTAT_CRW b . bc . _CRW ) 15187  #BSTAT_INT b . bc . _INT ) 15188 __IO_EXTERN IO_LWORD gbc ; 15189  #BIAC bc ) 15190 __IO_EXTERN IO_LWORD gbc ; 15191  #BOAC bc ) 15192 __IO_EXTERN BIRQSTR gbq ; 15193  #BIRQ bq . lwd ) 15194  #BIRQ_BD31 bq . b . _BD31 ) 15195  #BIRQ_BD30 bq . b . _BD30 ) 15196  #BIRQ_BD29 bq . b . _BD29 ) 15197  #BIRQ_BD28 bq . b . _BD28 ) 15198  #BIRQ_BD27 bq . b . _BD27 ) 15199  #BIRQ_BD26 bq . b . _BD26 ) 15200  #BIRQ_BD25 bq . b . _BD25 ) 15201  #BIRQ_BD24 bq . b . _BD24 ) 15202  #BIRQ_BD23 bq . b . _BD23 ) 15203  #BIRQ_BD22 bq . b . _BD22 ) 15204  #BIRQ_BD21 bq . b . _BD21 ) 15205  #BIRQ_BD20 bq . b . _BD20 ) 15206  #BIRQ_BD19 bq . b . _BD19 ) 15207  #BIRQ_BD18 bq . b . _BD18 ) 15208  #BIRQ_BD17 bq . b . _BD17 ) 15209  #BIRQ_BD16 bq . b . _BD16 ) 15210  #BIRQ_BD15 bq . b . _BD15 ) 15211  #BIRQ_BD14 bq . b . _BD14 ) 15212  #BIRQ_BD13 bq . b . _BD13 ) 15213  #BIRQ_BD12 bq . b . _BD12 ) 15214  #BIRQ_BD11 bq . b . _BD11 ) 15215  #BIRQ_BD10 bq . b . _BD10 ) 15216  #BIRQ_BD9 bq . b . _BD9 ) 15217  #BIRQ_BD8 bq . b . _BD8 ) 15218  #BIRQ_BD7 bq . b . _BD7 ) 15219  #BIRQ_BD6 bq . b . _BD6 ) 15220  #BIRQ_BD5 bq . b . _BD5 ) 15221  #BIRQ_BD4 bq . b . _BD4 ) 15222  #BIRQ_BD3 bq . b . _BD3 ) 15223  #BIRQ_BD2 bq . b . _BD2 ) 15224  #BIRQ_BD1 bq . b . _BD1 ) 15225  #BIRQ_BD0 bq . b . _BD0 ) 15226 __IO_EXTERN BCR0STR gb0 ; 15227  #BCR0 b0 . lwd ) 15228  #BCR0_SRX1 b0 . b . _SRX1 ) 15229  #BCR0_SW1 b0 . b . _SW1 ) 15230  #BCR0_SRX0 b0 . b . _SRX0 ) 15231  #BCR0_SW0 b0 . b . _SW0 ) 15232  #BCR0_URX1 b0 . b . _URX1 ) 15233  #BCR0_UW1 b0 . b . _UW1 ) 15234  #BCR0_URX0 b0 . b . _URX0 ) 15235  #BCR0_UW0 b0 . b . _UW0 ) 15236  #BCR0_MPE b0 . b . _MPE ) 15237  #BCR0_COMB b0 . b . _COMB ) 15238  #BCR0_CTC1 b0 . b . _CTC1 ) 15239  #BCR0_CTC0 b0 . b . _CTC0 ) 15240  #BCR0_OBS1 b0 . b . _OBS1 ) 15241  #BCR0_OBS0 b0 . b . _OBS0 ) 15242  #BCR0_OBT1 b0 . b . _OBT1 ) 15243  #BCR0_OBT0 b0 . b . _OBT0 ) 15244  #BCR0_EP3 b0 . b . _EP3 ) 15245  #BCR0_EP2 b0 . b . _EP2 ) 15246  #BCR0_EP1 b0 . b . _EP1 ) 15247  #BCR0_EP0 b0 . b . _EP0 ) 15248  #BCR0_EM1 b0 . b . _EM1 ) 15249  #BCR0_EM0 b0 . b . _EM0 ) 15250  #BCR0_ER1 b0 . b . _ER1 ) 15251  #BCR0_ER0 b0 . b . _ER0 ) 15252  #BCR0_CTC b0 . bc . _CTC ) 15253  #BCR0_OBS b0 . bc . _OBS ) 15254  #BCR0_OBT b0 . bc . _OBT ) 15255  #BCR0_EP b0 . bc . _EP ) 15256  #BCR0_EM b0 . bc . _EM ) 15257  #BCR0_ER b0 . bc . _ER ) 15258 __IO_EXTERN BCR1STR gb1 ; 15259  #BCR1 b1 . lwd ) 15260  #BCR1_SRX1 b1 . b . _SRX1 ) 15261  #BCR1_SW1 b1 . b . _SW1 ) 15262  #BCR1_SRX0 b1 . b . _SRX0 ) 15263  #BCR1_SW0 b1 . b . _SW0 ) 15264  #BCR1_URX1 b1 . b . _URX1 ) 15265  #BCR1_UW1 b1 . b . _UW1 ) 15266  #BCR1_URX0 b1 . b . _URX0 ) 15267  #BCR1_UW0 b1 . b . _UW0 ) 15268  #BCR1_MPE b1 . b . _MPE ) 15269  #BCR1_COMB b1 . b . _COMB ) 15270  #BCR1_CTC1 b1 . b . _CTC1 ) 15271  #BCR1_CTC0 b1 . b . _CTC0 ) 15272  #BCR1_OBS1 b1 . b . _OBS1 ) 15273  #BCR1_OBS0 b1 . b . _OBS0 ) 15274  #BCR1_OBT1 b1 . b . _OBT1 ) 15275  #BCR1_OBT0 b1 . b . _OBT0 ) 15276  #BCR1_EP3 b1 . b . _EP3 ) 15277  #BCR1_EP2 b1 . b . _EP2 ) 15278  #BCR1_EP1 b1 . b . _EP1 ) 15279  #BCR1_EP0 b1 . b . _EP0 ) 15280  #BCR1_EM1 b1 . b . _EM1 ) 15281  #BCR1_EM0 b1 . b . _EM0 ) 15282  #BCR1_ER1 b1 . b . _ER1 ) 15283  #BCR1_ER0 b1 . b . _ER0 ) 15284  #BCR1_CTC b1 . bc . _CTC ) 15285  #BCR1_OBS b1 . bc . _OBS ) 15286  #BCR1_OBT b1 . bc . _OBT ) 15287  #BCR1_EP b1 . bc . _EP ) 15288  #BCR1_EM b1 . bc . _EM ) 15289  #BCR1_ER b1 . bc . _ER ) 15290 __IO_EXTERN IO_LWORD gbad0 ; 15291  #BAD0 bad0 ) 15292 __IO_EXTERN IO_LWORD gbad1 ; 15293  #BAD1 bad1 ) 15294 __IO_EXTERN IO_LWORD gbad2 ; 15295  #BAD2 bad2 ) 15296 __IO_EXTERN IO_LWORD gbad3 ; 15297  #BAD3 bad3 ) 15298 __IO_EXTERN IO_LWORD gbad4 ; 15299  #BAD4 bad4 ) 15300 __IO_EXTERN IO_LWORD gbad5 ; 15301  #BAD5 bad5 ) 15302 __IO_EXTERN IO_LWORD gbad6 ; 15303  #BAD6 bad6 ) 15304 __IO_EXTERN IO_LWORD gbad7 ; 15305  #BAD7 bad7 ) 15306 __IO_EXTERN IO_LWORD gfsv1 ; 15307  #FSV1 fsv1 ) 15308 __IO_EXTERN IO_LWORD gbsv1 ; 15309  #BSV1 bsv1 ) 15310 __IO_EXTERN IO_LWORD gfsv2 ; 15311  #FSV2 fsv2 ) 15312 __IO_EXTERN IO_LWORD gbsv2 ; 15313  #BSV2 bsv2 ) 15318  #RB_SYNC if( RBSYNC ) ) 15319  #CB_SYNC4 if( CBSYNC4 ) ) @uart.c 7  ~"mb91465k.h " 9 cڡ  gASCII [] = "0123456789ABCDEF"; 11  $InUt4 () 15 BGR04 = 832; 25 SCR04 = 0x17; 26 SMR04 = 0x0d; 27 SSR04 = 0x00; 28 PFR19 = (PFR19 & 0xFC) | 0x03; 29 EPFR19 = 0x00; 30 } } 32  $Putch4 ( ch ) 34  SSR04_TDRE == 0); 35 TDR04 = ch ; 36 } } 38  $Gch4 () 40 vީ ch ; 45  SSR04_RDRF == 0) 46 HWWD = 0x00; 48 ch = RDR04 ; 50 i(( SSR04 & 0xE0) != 0) 52 SCR04_CRE = 1; 55  ( ch ); 57 } } 60  $Puts4 (cڡ * Name2 ) 62 vީ i , n ; 64 n = ` ( Name2 ); 66  i =0; i< ` ( Name2 ); i++) 68 i( Name2 [ i ] == 10) 69 `Putch4 (13); 70 `Putch4 ( Name2 [ i ]); 72 } } 75  $Echo4 () 77  ch ; 79 `Puts4 ("UART 4eceive: "); 80 ch = RDR04 ; 81 `Putch4 ( ch ); 82 i( ch ==13) 83 `Putch4 (10); 85  ( ch ); 86 } } 88  $Puthex4 ( n ,  digs ) 90  dig =0, div =0, i ; 92 div =(4*( digs -1)); 93  i =0;i< digs ;i++) 95 dig = (( n >> div )&0xF); 96 `Putch4 ( dig + ((digit < 0xA) ? '0' : 'A' - 0xA)); 97 div -=4; 99 } } 101  $Putdec4 ( x ,  digs ) 103  i ; 104  buf [10], sign =1; 106 i( digs < 0) { 107 digs *= (-1); 108 sign =1; 110 buf [ digs ]='\0'; 112  i = digs ; i>0; i--) { 113 buf [ i -1] = ASCII [ x % 10]; 114 x = x/10; 117 i sign ) 119  i =0; buf [i]=='0'; i++) { 120 i i < digs -1) 121 buf [ i ] = ' '; 125 `Puts4 ( buf ); 126 } } @vectors.c 18  ~"mb91465k.h " 19  ~"RLT.h " 32  $InIrqLevs () 38 ICR01 = 31; 40 ICR02 = 31; 42 ICR03 = 31; 44 ICR04 = 31; 46 ICR05 = 31; 48 ICR06 = 31; 50 ICR07 = 31; 52 ICR08 = 30; 54 ICR09 = 31; 56 ICR10 = 31; 58 ICR11 = 31; 60 ICR12 = 31; 62 ICR13 = 31; 64 ICR14 = 31; 66 ICR15 = 31; 68 ICR16 = 31; 70 ICR17 = 31; 72 ICR18 = 31; 74 ICR19 = 31; 76 ICR20 = 31; 78 ICR21 = 31; 80 ICR22 = 31; 82 ICR23 = 31; 84 ICR24 = 31; 86 ICR25 = 31; 88 ICR26 = 31; 90 ICR27 = 31; 92 ICR28 = 31; 94 ICR29 = 31; 96 ICR30 = 31; 98 ICR31 = 31; 100 ICR32 = 31; 102 ICR33 = 31; 104 ICR34 = 31; 106 ICR35 = 31; 108 ICR36 = 31; 110 ICR37 = 31; 112 ICR38 = 31; 114 ICR39 = 31; 116 ICR40 = 31; 118 ICR41 = 31; 120 ICR42 = 31; 122 ICR43 = 31; 124 ICR44 = 31; 126 ICR45 = 31; 128 ICR46 = 31; 130 ICR47 = 31; 132 ICR48 = 31; 134 ICR49 = 31; 136 ICR50 = 31; 138 ICR51 = 31; 140 ICR52 = 31; 142 ICR53 = 31; 144 ICR54 = 31; 146 ICR55 = 31; 148 ICR56 = 31; 150 ICR57 = 31; 152 ICR58 = 31; 154 ICR59 = 31; 156 ICR60 = 31; 158 ICR61 = 31; 160 ICR62 = 31; 162 ICR63 = 31; 164 } } 174 __u  DeuIRQHdr (); 175 __u  RLT_Chl0_ISR (); 185 #agm tve 0xBFF8 0 186 #agm tve 0x06000000 1 188 #agm tve DeuIRQHdr 15 189 #agm tve DeuIRQHdr 16 190 #agm tve DeuIRQHdr 17 191 #agm tve DeuIRQHdr 18 192 #agm tve DeuIRQHdr 19 193 #agm tve DeuIRQHdr 20 194 #agm tve DeuIRQHdr 21 195 #agm tve DeuIRQHdr 22 196 #agm tve DeuIRQHdr 23 197 #agm tve DeuIRQHdr 24 198 #agm tve DeuIRQHdr 25 199 #agm tve DeuIRQHdr 26 200 #agm tve DeuIRQHdr 27 201 #agm tve DeuIRQHdr 28 202 #agm tve DeuIRQHdr 29 203 #agm tve DeuIRQHdr 30 204 #agm tve DeuIRQHdr 31 205 #agm tve RLT_Chl0_ISR 32 206 #agm tve DeuIRQHdr 33 207 #agm tve DeuIRQHdr 34 208 #agm tve DeuIRQHdr 35 209 #agm tve DeuIRQHdr 36 210 #agm tve DeuIRQHdr 37 211 #agm tve DeuIRQHdr 38 212 #agm tve DeuIRQHdr 39 213 #agm tve DeuIRQHdr 40 214 #agm tve DeuIRQHdr 41 215 #agm tve DeuIRQHdr 42 216 #agm tve DeuIRQHdr 43 217 #agm tve DeuIRQHdr 44 218 #agm tve DeuIRQHdr 45 219 #agm tve DeuIRQHdr 46 220 #agm tve DeuIRQHdr 47 221 #agm tve DeuIRQHdr 48 222 #agm tve DeuIRQHdr 49 223 #agm tve DeuIRQHdr 50 224 #agm tve DeuIRQHdr 51 225 #agm tve DeuIRQHdr 52 226 #agm tve DeuIRQHdr 53 227 #agm tve DeuIRQHdr 54 228 #agm tve DeuIRQHdr 55 229 #agm tve DeuIRQHdr 56 230 #agm tve DeuIRQHdr 57 231 #agm tve DeuIRQHdr 58 232 #agm tve DeuIRQHdr 59 233 #agm tve DeuIRQHdr 60 234 #agm tve DeuIRQHdr 61 235 #agm tve DeuIRQHdr 62 236 #agm tve DeuIRQHdr 63 237 #agm tve DeuIRQHdr 64 238 #agm tve DeuIRQHdr 65 239 #agm tve DeuIRQHdr 66 240 #agm tve DeuIRQHdr 67 241 #agm tve DeuIRQHdr 68 242 #agm tve DeuIRQHdr 69 243 #agm tve DeuIRQHdr 70 244 #agm tve DeuIRQHdr 71 245 #agm tve DeuIRQHdr 72 246 #agm tve DeuIRQHdr 73 247 #agm tve DeuIRQHdr 74 248 #agm tve DeuIRQHdr 75 249 #agm tve DeuIRQHdr 76 250 #agm tve DeuIRQHdr 77 251 #agm tve DeuIRQHdr 78 252 #agm tve DeuIRQHdr 79 253 #agm tve DeuIRQHdr 80 254 #agm tve DeuIRQHdr 81 255 #agm tve DeuIRQHdr 82 256 #agm tve DeuIRQHdr 83 257 #agm tve DeuIRQHdr 84 258 #agm tve DeuIRQHdr 85 259 #agm tve DeuIRQHdr 86 260 #agm tve DeuIRQHdr 87 261 #agm tve DeuIRQHdr 88 262 #agm tve DeuIRQHdr 89 263 #agm tve DeuIRQHdr 90 264 #agm tve DeuIRQHdr 91 265 #agm tve DeuIRQHdr 92 266 #agm tve DeuIRQHdr 93 267 #agm tve DeuIRQHdr 94 268 #agm tve DeuIRQHdr 95 269 #agm tve DeuIRQHdr 96 270 #agm tve DeuIRQHdr 97 271 #agm tve DeuIRQHdr 98 272 #agm tve DeuIRQHdr 99 273 #agm tve DeuIRQHdr 100 274 #agm tve DeuIRQHdr 101 275 #agm tve DeuIRQHdr 102 276 #agm tve DeuIRQHdr 103 277 #agm tve DeuIRQHdr 104 278 #agm tve DeuIRQHdr 105 279 #agm tve DeuIRQHdr 106 280 #agm tve DeuIRQHdr 107 281 #agm tve DeuIRQHdr 108 282 #agm tve DeuIRQHdr 109 283 #agm tve DeuIRQHdr 110 284 #agm tve DeuIRQHdr 111 285 #agm tve DeuIRQHdr 112 286 #agm tve DeuIRQHdr 113 287 #agm tve DeuIRQHdr 114 288 #agm tve DeuIRQHdr 115 289 #agm tve DeuIRQHdr 116 290 #agm tve DeuIRQHdr 117 291 #agm tve DeuIRQHdr 118 292 #agm tve DeuIRQHdr 119 293 #agm tve DeuIRQHdr 120 294 #agm tve DeuIRQHdr 121 295 #agm tve DeuIRQHdr 122 296 #agm tve DeuIRQHdr 123 297 #agm tve DeuIRQHdr 124 298 #agm tve DeuIRQHdr 125 299 #agm tve DeuIRQHdr 126 300 #agm tve DeuIRQHdr 127 301 #agm tve DeuIRQHdr 128 302 #agm tve DeuIRQHdr 129 303 #agm tve DeuIRQHdr 130 304 #agm tve DeuIRQHdr 131 305 #agm tve DeuIRQHdr 132 306 #agm tve DeuIRQHdr 133 307 #agm tve DeuIRQHdr 134 308 #agm tve DeuIRQHdr 135 309 #agm tve DeuIRQHdr 136 310 #agm tve DeuIRQHdr 137 311 #agm tve DeuIRQHdr 138 312 #agm tve DeuIRQHdr 139 313 #agm tve DeuIRQHdr 140 314 #agm tve DeuIRQHdr 141 315 #agm tve DeuIRQHdr 142 316 #agm tve DeuIRQHdr 143 317 #agm tve 0xFFFFFFFF 144 327 __u 328  $DeuIRQHdr () 335 `__DI (); 337 HWWD_CL = 0; 339 } } @vectors.h 13  InIrqLevs (); @ 1 . 1 /usr/include 9 73 Flash.c Flash.h MAIN.c RLT.c RLT.h mb91465k.h uart.c vectors.c vectors.h