todo/implemtierung: update
authorBernhard Urban <lewurm@gmail.com>
Sun, 16 May 2010 00:25:38 +0000 (02:25 +0200)
committerBernhard Urban <lewurm@gmail.com>
Sun, 16 May 2010 00:25:38 +0000 (02:25 +0200)
src/TODO
src/scanner.vhd

index 2d0b5265c4d4d3896a5608804a08e63e6fca92af..9b97722aad19829b0646237219568cf914e8a1de 100644 (file)
--- a/src/TODO
+++ b/src/TODO
@@ -1,3 +1,38 @@
-- einheitliche clock in den testbenches
-- "features" die in der vorlesung erwaehnt worden sind einbauen
-- alu postlayout fix (noch immer ein grosses fragezeichen was da nicht passt)
+- debounce fuer sys_res_n und btnA -- einfach die debounce entity vom example
+  hernehmen
+
+
+- vga: 
+  1. zuerst einfache history implementierung machen. um folgenden aufbau zu
+  realisieren:
+  >  ps2/ipcore <> scanner <> history_easy <> display <> vga/ipcore
+  wenn dann quasi die eingabe bis zum vga durchgemappt werden kann schauts schon
+  mal sehr gut aus...
+  
+  2. parser einbauen
+
+  3. display-modul komplett fertig machen (vorerst reicht es ja wenn das history
+  modul nur die aktuelle eingabe und ergebnis abspeichert -- die daten werden ja
+  eh nicht mehr vom display angefordert)
+
+
+- rs232/pc-kommunikation
+  1. schauen ob die eintraege der "einfachen" history gedumpt werden.
+
+  2. history komplett fertig stellen (da wir dann eine gute debug moeglichkeit
+  haben)
+
+
+- history testen: wie? ich stell mir das irgendwie seeehr muehsam vor das so
+  automatisiert wie z.b. parser, scanner und alu zu testen.
+  idee?
+
+
+- alu postlayout noch an die neue alu anpassen (... wenn das projekt final is),
+  dass ma eine postlayoutsim bei der abgabe zum herzeigen haben
+
+
+== low prio ==
+- mehr testfaelle fuer alu/scanner/parser
+- parser refactor
+- logic elements eliminieren
index b4fd57a877dd128d17ceb3e7d8837117a1228415..8506b1bd5882320c6ed1f693a15ab046a1b3c42b 100644 (file)
@@ -108,7 +108,7 @@ begin
        end process;
 
        -- out
-       process(state_int)
+       process(state_int, data)
        begin
                s_char_next <= (others => '0');
                s_take_next <= '0';