added waiting states to pccom
[hwmod.git] / src / pc_communication.vhd
index 610ec681f7af8ed53a3e355fa8b32b22125e5d2c..bb15b065194f9e32f24de8d848af9baa674229f8 100644 (file)
@@ -32,16 +32,12 @@ end entity pc_communication;
 architecture beh of pc_communication is
        signal spalte, spalte_next : integer range 1 to hspalte_max + 1;
        signal zeile , zeile_next : integer range 1 to hzeile_max + 1;
-       signal spalte_up, spalte_up_next : std_logic;
        signal get, get_next : std_logic;
        signal new_i, new_i_next : std_logic;
        signal tx_done_i, tx_done_i_next : std_logic;
        signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0);
-       signal s_done, s_done_next : std_logic;
 
-       signal char, char_next : hbyte;
-       signal char_en : std_logic;
-       type STATE_PC is (IDLE, FETCH, FORWARD, DONE);
+       type STATE_PC is (IDLE, WAIT_HIST, FETCH, FORWARD, WAIT_UART, UART_DONE);
        signal state, state_next : STATE_PC ;
 
 begin
@@ -50,7 +46,6 @@ begin
        d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
        d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
        d_get <= get;
-       char_next <= d_char;
        tx_new <= new_i;
        tx_done_i_next <= tx_done;
        tx_data <= tx_data_i;
@@ -64,9 +59,7 @@ begin
                        get <= '0';
                        new_i <= '0';
                        tx_data_i <= "00000000";
-                       spalte_up <= '0';
                        tx_done_i <= '0';
-                       s_done <= '0';
                elsif rising_edge(sys_clk) then
                        spalte <= spalte_next;
                        zeile <= zeile_next;
@@ -75,61 +68,43 @@ begin
                        new_i <= new_i_next;
                        tx_done_i <= tx_done_i_next;
                        tx_data_i <= tx_data_i_next;
-                       spalte_up <= spalte_up_next;
-                       s_done <= s_done_next;
-                       if (char_en = '1') then
-                               char <= char_next;
-                       end if;
                end if;
        end process sync;
 
-       output_pc : process (state, zeile, spalte, char, tx_data_i, tx_done_i, spalte_up)
+       output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i, d_char)
        begin
                get_next <= '0';
                new_i_next <= '0';
 
-               spalte_up_next <= '0';
-               s_done_next <= '0';
                spalte_next <= spalte;
                zeile_next <= zeile;
                tx_data_i_next <= tx_data_i;
-               char_en <= '0';
-
-               if spalte_up = '1' then
-                       if spalte = hspalte_max  then
-                               if zeile = hzeile_max then
-                                       spalte_next <= 1;
-                                       zeile_next <= 1;
-                                       s_done_next <= '1';
-                               else
-                                       spalte_next <= 1;
-                                       zeile_next <= zeile + 1;
-                               end if;
-                       else
-                               spalte_next <= spalte + 1; --overflow here!
-                               zeile_next <= zeile;
-                       end if;
-               end if;
 
                case state is
                        when IDLE =>
                                null;
                        when FETCH =>
                                get_next <= '1';
-                               char_en <= '1';
+                       when WAIT_HIST =>
+                               tx_data_i_next <= d_char;
                        when FORWARD =>
-                               tx_data_i_next <= char;
                                new_i_next <= '1';
-                               if (tx_done_i = '1') then
-                                       spalte_up_next <= '1';
-                               end if;
-
-                       when DONE =>
+                       when WAIT_UART =>
                                null;
+                       when UART_DONE =>
+                               if tx_data_i = x"00" or spalte = hspalte_max then
+                                       zeile_next <= zeile + 1;
+                                       spalte_next <= 1;
+                                       if zeile = hzeile_max then
+                                               zeile_next <= 1;
+                                       end if;
+                               else
+                                       spalte_next <= spalte + 1;
+                               end if;
                end case;
        end process output_pc;
 
-       next_state_pc : process (state, rx_new, rx_data, btn_a, d_done, tx_done_i, s_done)
+       next_state_pc : process (btn_a, d_done, rx_new, rx_data, spalte, state, tx_data_i ,tx_done_i, zeile)
        begin
                state_next <= state;
                case state is
@@ -138,17 +113,24 @@ begin
                                        state_next <= FETCH;
                                end if;
                        when FETCH =>
+                               state_next <= WAIT_HIST;
+                       when WAIT_HIST =>
                                if (d_done = '1') then
                                        state_next <= FORWARD;
-                               elsif (s_done = '1') then
-                                       state_next <= IDLE;
                                end if;
                        when FORWARD =>
+                               state_next <= WAIT_UART;
+                       when WAIT_UART =>
                                if (tx_done_i = '1') then
+                                       state_next <= UART_DONE;
+                               end if;
+                       when UART_DONE =>
+                               if (tx_data_i = x"00" or spalte = hspalte_max) and
+                                       zeile = hzeile_max then
+                                       state_next <= IDLE;
+                               else
                                        state_next <= FETCH;
                                end if;
-                       when DONE =>
-                               state_next <= IDLE;
                end case;
        end process next_state_pc;