added waiting states to pccom
[hwmod.git] / src / pc_communication.vhd
index 4bc09d4c9bd319f745f6a40bd5e9df4071099a93..bb15b065194f9e32f24de8d848af9baa674229f8 100644 (file)
@@ -17,7 +17,7 @@ entity pc_communication is
                tx_done : in std_logic;
 
                --uart_rx
-               rx_data : in std_logic_vector(7 downto 0); --not really required
+               rx_data : in std_logic_vector(7 downto 0);
                rx_new : in std_logic;
 
                -- History
@@ -30,19 +30,14 @@ entity pc_communication is
 end entity pc_communication;
 
 architecture beh of pc_communication is
-       signal push_history, push_history_next : std_logic;
-
-       signal spalte, spalte_next : integer range 0 to 71;
-       signal zeile , zeile_next : integer range 0 to 71;
-       signal spalte_up, spalte_up_next : std_logic;
+       signal spalte, spalte_next : integer range 1 to hspalte_max + 1;
+       signal zeile , zeile_next : integer range 1 to hzeile_max + 1;
        signal get, get_next : std_logic;
        signal new_i, new_i_next : std_logic;
        signal tx_done_i, tx_done_i_next : std_logic;
-       signal d_done_i, d_done_i_next : std_logic;
+       signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0);
 
-       signal char, char_next : hbyte;
-       signal char_en : std_logic;
-       type STATE_PC is (IDLE, FETCH, FORWARD, DONE);
+       type STATE_PC is (IDLE, WAIT_HIST, FETCH, FORWARD, WAIT_UART, UART_DONE);
        signal state, state_next : STATE_PC ;
 
 begin
@@ -51,108 +46,91 @@ begin
        d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
        d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
        d_get <= get;
-       char_next <= d_char;
        tx_new <= new_i;
-       d_done_i <= d_done;
-       tx_done_i <= tx_done;
+       tx_done_i_next <= tx_done;
+       tx_data <= tx_data_i;
 
        sync: process (sys_clk, sys_res_n)
        begin
                if sys_res_n = '0' then
                        state <= IDLE;
-                       push_history <= '0';
-                       spalte <= 0;
-                       zeile <= 0;
+                       spalte <= 1;
+                       zeile <= 1;
                        get <= '0';
                        new_i <= '0';
-                       tx_data <= "00000000";
-                       spalte_up <= '0';
+                       tx_data_i <= "00000000";
+                       tx_done_i <= '0';
                elsif rising_edge(sys_clk) then
-                       push_history <= push_history_next;
                        spalte <= spalte_next;
                        zeile <= zeile_next;
                        state <= state_next;
                        get <= get_next;
                        new_i <= new_i_next;
-                       spalte_up <= spalte_up_next;
-                       if (char_en = '1') then
-                               char <= char_next;
-                       end if;
+                       tx_done_i <= tx_done_i_next;
+                       tx_data_i <= tx_data_i_next;
                end if;
        end process sync;
 
-       process (spalte_up, spalte, zeile)
-       begin
-               if (spalte_up = '1') then
-                       if (spalte > 71) then
-                               spalte_next <= 0;
-                               zeile_next <= zeile + 1;
-                       else
-                               spalte_next <= spalte + 1;
-                               zeile_next <= zeile;
-                       end if;
-               else
-                       spalte_next <= spalte;
-                       zeile_next <= zeile;
-               end if;
-       end process;
-
-       async_push_history : process (rx_new, rx_data, btn_a)
-       begin
-               if rx_new = '1' then
-                       if rx_data = X"41" then
-                               push_history_next <= '1';
-                       else
-                               push_history_next <= '0';
-                       end if;
-               elsif btn_a = '1' then
-                               push_history_next <= '1';
-               else
-                       push_history_next <= '0';
-               end if;
-       end process async_push_history;
-
-       output_pc : process (state, zeile, spalte, char)
+       output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i, d_char)
        begin
                get_next <= '0';
                new_i_next <= '0';
-               spalte_up_next <= '0';
+
+               spalte_next <= spalte;
+               zeile_next <= zeile;
+               tx_data_i_next <= tx_data_i;
+
                case state is
                        when IDLE =>
                                null;
                        when FETCH =>
                                get_next <= '1';
-                               char_en <= '1';
+                       when WAIT_HIST =>
+                               tx_data_i_next <= d_char;
                        when FORWARD =>
-                               char_en <= '0';
-                               tx_data <= char;
                                new_i_next <= '1';
-                               if (tx_done = '1') then
-                                       spalte_up_next <= '1';
-                               end if;
-                       when DONE =>
+                       when WAIT_UART =>
                                null;
+                       when UART_DONE =>
+                               if tx_data_i = x"00" or spalte = hspalte_max then
+                                       zeile_next <= zeile + 1;
+                                       spalte_next <= 1;
+                                       if zeile = hzeile_max then
+                                               zeile_next <= 1;
+                                       end if;
+                               else
+                                       spalte_next <= spalte + 1;
+                               end if;
                end case;
        end process output_pc;
 
-       next_state_pc : process (rx_new, btn_a, d_done, tx_done)
+       next_state_pc : process (btn_a, d_done, rx_new, rx_data, spalte, state, tx_data_i ,tx_done_i, zeile)
        begin
+               state_next <= state;
                case state is
                        when IDLE =>
-                               if rx_new = '1' or btn_a = '1' then
+                               if (rx_new = '1' and rx_data = x"0a" ) or btn_a = '1' then
                                        state_next <= FETCH;
-                                       
                                end if;
                        when FETCH =>
+                               state_next <= WAIT_HIST;
+                       when WAIT_HIST =>
                                if (d_done = '1') then
                                        state_next <= FORWARD;
                                end if;
                        when FORWARD =>
-                               if (tx_done = '1') then
+                               state_next <= WAIT_UART;
+                       when WAIT_UART =>
+                               if (tx_done_i = '1') then
+                                       state_next <= UART_DONE;
+                               end if;
+                       when UART_DONE =>
+                               if (tx_data_i = x"00" or spalte = hspalte_max) and
+                                       zeile = hzeile_max then
+                                       state_next <= IDLE;
+                               else
                                        state_next <= FETCH;
                                end if;
-                       when DONE =>
-                               state_next <= IDLE;
                end case;
        end process next_state_pc;