updated todo
[hwmod.git] / src / pc_communication.vhd
index 321d0a36feb4627e4a41f50216909c8a6614341b..94af8430a5497e8bd72d633a60a1d9483a832f84 100644 (file)
@@ -74,7 +74,7 @@ begin
                end if;
        end process sync;
 
-       output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i)
+       output_pc : process (state, zeile, spalte, tx_data_i, tx_done_i, d_char)
        variable spalte_up : std_logic;
        begin
                get_next <= '0';