history/display: backspace logic
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is integer range -33 to 33;
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21         -- TODO: 50 * 71 * 2 = 7100
22         constant H_RAM_SIZE : integer := 71;
23         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
24         subtype hspalte is std_logic_vector(6 downto 0);
25         subtype hzeile is std_logic_vector(4 downto 0);
26         subtype hbyte is std_logic_vector(7 downto 0);
27         subtype hstring is string(1 to 72);
28         subtype hstr_int is integer range 0 to 72;
29
30         function find_msb(a : csigned) return divinteger;
31         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
32
33         -- http://www.marjorie.de/ps2/scancode-set2.htm
34         constant SC_KP_0 : std_logic_vector(7 downto 0) := x"70";
35         constant SC_KP_1 : std_logic_vector(7 downto 0) := x"69";
36         constant SC_KP_2 : std_logic_vector(7 downto 0) := x"72";
37         constant SC_KP_3 : std_logic_vector(7 downto 0) := x"7a";
38         constant SC_KP_4 : std_logic_vector(7 downto 0) := x"6b";
39         constant SC_KP_5 : std_logic_vector(7 downto 0) := x"73";
40         constant SC_KP_6 : std_logic_vector(7 downto 0) := x"74";
41         constant SC_KP_7 : std_logic_vector(7 downto 0) := x"6c";
42         constant SC_KP_8 : std_logic_vector(7 downto 0) := x"75";
43         constant SC_KP_9 : std_logic_vector(7 downto 0) := x"7d";
44
45         constant SC_KP_PLUS : std_logic_vector(7 downto 0) := x"79";
46         constant SC_KP_MINUS : std_logic_vector(7 downto 0) := x"7b";
47         constant SC_KP_MUL : std_logic_vector(7 downto 0) := x"7c";
48         constant SC_KP_DIV : std_logic_vector(7 downto 0) := x"4a"; -- inkl. 0xe0!
49
50         constant SC_ENTER : std_logic_vector(7 downto 0) := x"5a";
51         constant SC_BKSP : std_logic_vector(7 downto 0) := x"66";
52         constant SC_SPACE : std_logic_vector(7 downto 0) := x"29";
53 end package gen_pkg;
54
55 package body gen_pkg is
56         function find_msb(a : csigned) return divinteger is
57                 variable r : divinteger := 0;
58         begin
59                 for i in (CBITS-1) downto 0 loop
60                         exit when a(i) = '1';
61                         r := r+1;
62                 end loop;
63                 return (CBITS - r);
64         end function find_msb;
65
66         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
67         begin
68                 for i in 1 to cycles loop
69                         wait until clk_i= '0' and clk_i'event;
70                 end loop;
71         end;
72 end package body gen_pkg;
73