display: fuer istate wird nun auch ein enum verwendet
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is unsigned(4 downto 0);
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21         -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes
22         constant H_RAM_SIZE : integer := 7100;
23         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
24         subtype hspalte is std_logic_vector(6 downto 0);
25         subtype hzeile is std_logic_vector(6 downto 0);
26         subtype hbyte is std_logic_vector(7 downto 0);
27         subtype hstring is string(1 to 72);
28         subtype hstr_int is integer range 0 to 72;
29
30         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
31
32         function ascii2sc (x : hbyte) return hbyte;
33         function valid_char (x : hbyte) return boolean;
34
35         -- http://www.marjorie.de/ps2/scancode-set2.htm
36         constant SC_KP_0 : hbyte := x"70";
37         constant SC_KP_1 : hbyte := x"69";
38         constant SC_KP_2 : hbyte := x"72";
39         constant SC_KP_3 : hbyte := x"7a";
40         constant SC_KP_4 : hbyte := x"6b";
41         constant SC_KP_5 : hbyte := x"73";
42         constant SC_KP_6 : hbyte := x"74";
43         constant SC_KP_7 : hbyte := x"6c";
44         constant SC_KP_8 : hbyte := x"75";
45         constant SC_KP_9 : hbyte := x"7d";
46
47         constant SC_0 : hbyte := x"45";
48         constant SC_1 : hbyte := x"16";
49         constant SC_2 : hbyte := x"1e";
50         constant SC_3 : hbyte := x"26";
51         constant SC_4 : hbyte := x"25";
52         constant SC_5 : hbyte := x"2e";
53         constant SC_6 : hbyte := x"36";
54         constant SC_7 : hbyte := x"3d";
55         constant SC_8 : hbyte := x"3e";
56         constant SC_9 : hbyte := x"46";
57
58         constant SC_KP_PLUS : hbyte := x"79";
59         constant SC_KP_MINUS : hbyte := x"7b";
60         constant SC_KP_MUL : hbyte := x"7c";
61         constant SC_KP_DIV : hbyte := x"4a"; -- inkl. 0xe0!
62
63         -- fuer deutsches layout, alle anderen zeichen sind unguenstig belegt
64         constant SC_PLUS : hbyte := x"5b";
65
66         constant SC_ENTER : hbyte := x"5a";
67         constant SC_BKSP : hbyte := x"66";
68         constant SC_SPACE : hbyte := x"29";
69 end package gen_pkg;
70
71 package body gen_pkg is
72         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
73         begin
74                 for i in 1 to cycles loop
75                         wait until clk_i= '0' and clk_i'event;
76                 end loop;
77         end;
78
79         function ascii2sc (x : hbyte) return hbyte is
80                 variable y : hbyte;
81         begin
82                 case x is
83                         when x"30" => y := SC_KP_0;
84                         when x"31" => y := SC_KP_1;
85                         when x"32" => y := SC_KP_2;
86                         when x"33" => y := SC_KP_3;
87                         when x"34" => y := SC_KP_4;
88                         when x"35" => y := SC_KP_5;
89                         when x"36" => y := SC_KP_6;
90                         when x"37" => y := SC_KP_7;
91                         when x"38" => y := SC_KP_8;
92                         when x"39" => y := SC_KP_9;
93                         when x"2b" => y := SC_KP_PLUS;
94                         when x"2d" => y := SC_KP_MINUS;
95                         when x"2a" => y := SC_KP_MUL;
96                         when x"2f" => y := SC_KP_DIV;
97                         when x"20" => y := SC_SPACE;
98                         when x"1c" => y := SC_ENTER;
99                         when x"0e" => y := SC_BKSP;
100                         when others => y := x"41";
101                 end case;
102                 return y;
103         end function;
104
105         function valid_char (x : hbyte) return boolean is
106                 variable y : boolean;
107         begin
108                 case x is
109                         when SC_KP_0 | SC_KP_1 | SC_KP_2 | SC_KP_3 |
110                                 SC_KP_4 | SC_KP_5 | SC_KP_6 | SC_KP_7 |
111                                 SC_KP_8 | SC_KP_9 | SC_KP_PLUS |
112                                 SC_KP_MINUS | SC_KP_MUL |
113                                 SC_KP_DIV | SC_SPACE |
114                                 SC_BKSP | SC_ENTER =>
115                                         y := true;
116                         when others => y := false;
117                 end case;
118                 return y;
119         end function;
120 end package body gen_pkg;