2 -- Generated by Xilinx Architecture Wizard
3 -- Written for synthesis tool: XST
6 use ieee.std_logic_1164.ALL;
7 use ieee.numeric_std.ALL;
9 use UNISIM.Vcomponents.ALL;
12 port ( CLKIN_IN : in std_logic;
13 RST_IN : in std_logic;
14 CLKIN_IBUFG_OUT : out std_logic;
15 CLK0_OUT : out std_logic;
16 CLK0_OUT1 : out std_logic;
17 LOCKED_OUT : out std_logic);
20 architecture BEHAVIORAL of dcm_s3e is
21 signal CLKFB_IN : std_logic;
22 signal CLKIN_IBUFG : std_logic;
23 signal CLK0_BUF : std_logic;
24 signal GND_BIT : std_logic;
27 CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
29 CLKIN_IBUFG_INST : IBUFG
30 port map (I=>CLKIN_IN,
34 port map (I=>CLK0_BUF,
37 CLK0_BUFG_INST1 : BUFG
38 port map (I=>CLK0_BUF,
42 generic map( CLK_FEEDBACK => "1X",
46 CLKIN_DIVIDE_BY_2 => FALSE,
47 CLKIN_PERIOD => 20.000,
48 CLKOUT_PHASE_SHIFT => "NONE",
49 DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
50 DFS_FREQUENCY_MODE => "LOW",
51 DLL_FREQUENCY_MODE => "LOW",
52 DUTY_CYCLE_CORRECTION => TRUE,
53 FACTORY_JF => x"C080",
55 STARTUP_WAIT => FALSE)
56 port map (CLKFB=>CLKFB_IN,