2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
12 CLK_50MHZ : in std_logic;
13 -- sys_res_n : in std_logic;
19 vsync_n : out std_logic;
20 hsync_n : out std_logic;
21 r : out std_logic_vector(RED_BITS - 1 downto 0);
22 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
23 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
25 ps2_clk : inout std_logic;
26 ps2_data : inout std_logic;
33 architecture top of calc is
35 signal new_data : std_logic;
36 signal data : std_logic_vector(7 downto 0);
38 signal vga_clk, free : std_logic;
40 signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
41 signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
43 signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
44 signal d_zeile : hzeile;
45 signal d_spalte : hspalte;
46 signal d_get, d_done : std_logic;
47 signal d_char : hbyte;
49 signal s_char : hbyte;
50 signal s_take, s_done, s_backspace : std_logic;
52 -- tmp: history<>scanner
53 signal do_it, finished : std_logic;
59 textmode_vga_inst : entity work.textmode_vga(struct)
61 VGA_CLK_FREQ => 25000000,
62 BLINK_INTERVAL_MS => 500,
69 command_data => command_data,
81 clk_vga_s3e_inst : entity work.clk_vga_s3e(beh)
88 display_inst : entity work.display(beh)
93 d_new_eingabe => d_new_eingabe,
94 d_new_result => d_new_result,
103 command_data => command_data,
108 history_inst : entity work.history(beh)
110 sys_clk => CLK_50MHZ,
116 s_backspace => s_backspace,
118 d_new_eingabe => d_new_eingabe,
119 d_new_result => d_new_result,
120 d_new_bs => d_new_bs,
122 d_spalte => d_spalte,
132 scanner_inst : entity work.scanner(beh)
134 sys_clk => CLK_50MHZ,
137 new_data => new_data,
143 s_backspace => s_backspace,
144 -- TODO: parser. temporaer mit history verbunden
150 ps2_inst : entity work.ps2_keyboard_controller(beh)
152 CLK_FREQ => 50000000,
156 sys_clk => CLK_50MHZ,
159 new_data => new_data,
164 end architecture top;