initial files of pc_communication
[hwmod.git] / src / beh_pc_communication_tb.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8
9 entity beh_pc_communication_tb is
10 end entity beh_pc_communication_tb;
11
12 architecture sim of beh_pc_communication_tb is
13 begin
14         -- display
15         inst : entity work.pc_communication(beh)
16         port map (
17                 sys_clk => sys_clk,
18                 sys_res_n => sys_res_n,
19
20                 --button=> ,
21                 btn_a => btn_a,
22
23                 --uart_tx=> ,
24                 tx_data => tx_data,
25                 tx_new => tx_new,
26                 tx_done => tx_done,
27
28                 --uart_rx=> ,
29                 rx_data => rx_data,
30                 rx_new => rx_new,
31
32                 -- History=> ,
33                 d_zeile => d_zeile,
34                 d_spalte => d_spalte,
35                 d_get => d_get,
36                 d_done => d_done,
37                 d_char => d_char--,
38         );
39
40         clk : process
41         begin
42                 sys_clk <= '0';
43                 wait for 15 ns;
44                 sys_clk <= '1';
45                 wait for 15 ns;
46                 if stop = true then
47                         wait;
48                 end if;
49         end process clk;
50
51         stub_history process (d_get)
52                 file f : text open read_mode is "../../src/pc_communication.test";
53         begin
54                 if rising_edge(d_get) then
55                         read(f, d_char);
56                         wait 30 ns;
57                         done <= d_done;
58                 end if;
59         end process stub_history;
60
61         process
62         begin
63                 -- init & reset
64                 -- we only simulate pressing of button a by now!
65                 sys_res_n <= 0;
66                 wait for 100 ns;
67                 sys_res_n <= 1;
68
69                 btn_a <= 1;
70                 wait;
71         end process;
72 end architecture sim;