beautify
[hwmod.git] / src / beh_pc_communication_tb.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8
9 -- this is for test file io
10 use std.textio.all;
11
12 entity beh_pc_communication_tb is
13 end entity beh_pc_communication_tb;
14
15 architecture sim of beh_pc_communication_tb is
16                 type byte_file_type is file of hbyte;
17                 subtype my_string is string(1 to 720);
18                 signal sys_clk : std_logic;
19                 signal sys_res_n : std_logic;
20                 signal btn_a : std_logic;
21                 signal tx_new : std_logic;
22                 signal tx_done : std_logic;
23                 signal rx_new : std_logic;
24                 signal pc_get : std_logic;
25                 signal pc_done : std_logic;
26                 signal rx_data, tx_data : std_logic_vector(7 downto 0);
27
28                 signal pc_zeile : hzeile;
29                 signal pc_spalte : hspalte;
30                 signal pc_char : hbyte;
31 begin
32         -- pc_communication
33         inst : entity work.pc_communication(beh)
34         port map (
35                 sys_clk => sys_clk,
36                 sys_res_n => sys_res_n,
37
38                 --button
39                 btn_a => btn_a,
40
41                 --uart_tx
42                 tx_data => tx_data,
43                 tx_new => tx_new,
44                 tx_done => tx_done,
45
46                 --uart_rx
47                 rx_data => rx_data,
48                 rx_new => rx_new,
49
50                 -- History
51                 pc_zeile => pc_zeile,
52                 pc_spalte => pc_spalte,
53                 pc_get => pc_get,
54                 pc_done => pc_done,
55                 pc_char => pc_char
56         );
57
58         clk : process
59         begin
60                 sys_clk <= '0';
61                 wait for 15 ns;
62                 sys_clk <= '1';
63                 wait for 15 ns;
64         end process clk;
65
66         stub_history : process
67                 file f : text open read_mode is "../../src/pc_communication.test";
68                 --variable rb : hbyte;
69                 variable rb : character;
70                 variable good : boolean;
71                 variable i : integer;
72                 variable buf : my_string;
73                 variable l : line;
74         begin
75                 --take control of the situation.
76                 pc_char <= (others => '0');
77                 pc_done <= '0';
78                 wait until sys_res_n = '1';
79
80                 while not endfile (f) loop
81                         readline(f, l);
82                         buf := l.all;
83                         i := 1;
84                         while i < l'length loop
85                                 pc_done <= '0';
86                                 wait until rising_edge(pc_get);
87                                 pc_char <= (others => '0');
88                                 wait for 300 ns;
89
90                                 pc_char <= hbyte(std_logic_vector(to_unsigned(character'pos(buf(i)),8)));
91                                 i := i + 1;
92                                 pc_done <= '1';
93                                 wait for 30 ns;
94                                 
95                         end loop;
96                 end loop;
97
98                 assert not endfile(f) report "test beendet" severity failure;
99         end process stub_history;
100
101         stub_uart : process
102         begin
103                 tx_done <= '0';
104                 wait until sys_res_n = '1';
105                 while true loop
106                         tx_done <= '0';
107                         wait until rising_edge(tx_new);
108                         wait for 300 ns;
109                         tx_done <= '1';
110                         wait for 30 ns;
111                 end loop;
112         end process stub_uart;
113
114         reset_and_button : process
115         begin
116                 -- init & reset
117                 -- we only simulate pressing of button a by now!
118                 sys_res_n <= '0';
119                 btn_a <= '0';
120                 rx_data <= ( others => '0');
121                 rx_new <= '0';
122                 
123                 wait for 90 ns;
124                 sys_res_n <= '1';
125                 wait for 30 ns;
126                 btn_a <= '1';
127                 wait for 30 ns;
128                 btn_a <= '0';
129                 wait;
130                 --wait for 1000 ns;
131                 --assert false report "test beendet" severity failure;
132         end process reset_and_button;
133
134 end architecture sim;