spec: weitere mini-fixes
[hwmod.git] / spec / speck.tex
1 \documentclass[12pt,a4paper,titlepage,oneside]{article}
2 %\documentclass[12pt,a4paper,titlepage,oneside]{report}
3
4 \usepackage{ProtocolHeader}
5
6 \begin{document}
7 \MakeTitleAndTOC
8
9 %\chapter %for use with report class
10 \section
11 {Einleitung}
12
13 Es ist ein einfacher Taschenrechner, der die Grundrechnungsarten Addition, Subtraktion,
14 Multiplikation und Division beherrscht, auf einem FPGA Board zu realisieren. Dabei soll als Eingabegerät eine PS/2
15 Tastatur beziehungsweise als Ausgabegerät ein VGA Monitor dienen. Als zusätzliches Feature soll eine
16 History eingebaut werden, sodass vergangene Berechnungen angezeigt werden
17 können. Außerdem besteht die Möglichkeit diese History per RS232-Schnittstelle zu
18 exportieren.
19
20 \section{Requirement Spezifikation}
21
22 \subsection{Eingabe}
23
24 \req{Der Taschenrechner soll eine Eingabe bestehend aus den Ziffern '0'-'9', Leerzeichen ' ', '+', '-', '*' und '/' ausrechnen können}
25
26 \req{Der Syntax für so eine Eingabe - eine \textbf{Expression} - sieht folgendermaßen aus:
27
28 DIGIT = '0' \textbar '1' \textbar '2' \textbar '3' \textbar '4' \textbar '5' \textbar '6' \textbar '7' \textbar '8' \textbar '9' ;
29
30 UNSIGNED = DIGIT \{ DIGIT \} ;
31
32 OPERAND = ['-'] UNSIGNED ;
33
34 OPERATOR = '+' \textbar '-' \textbar '*' \textbar '/' ;
35
36 EXPRESSION = OPERAND \{ OPERATOR OPERAND \} ;
37 }
38
39 \req{Bei der Auswertung der Ausdr\"ucke soll Punkt- vor Strichrechnung gelten}
40
41 \req{Die Zahlen m\"uessen im Zahlenbereich eines signed long liegen ($-2^{31}$ bis $2^{31}-1$)}
42
43 \req{Die Eingabe soll aus bis zu 70 Zeichen bestehen}
44
45 \req{Die Eingabe erfolgt über eine PS/2-Tastatur. 'Enter' schließt die Eingabe ab und es soll
46 anschlie\ss{}end das Ergebnis berechnet werden. 'Backspace' löscht das zuletzt eingegebene
47 Zeichen im Eingabebuffer}
48
49 \subsection{Ausgabe}
50
51 \req{Die Anzeige der Ein- und Ausgaben erfolgt über einen VGA Monitor}
52
53 \req{Es wird pro Zeile eine Eingabe oder Ausgabe angezeigt. Die aktuelle Eingabezeile wandert nach
54 unten, dar\"uber befindet sich das Ergebnis der vorigen Rechnung, dar\"uber die Eingabe der
55 vorigen Rechnung usw.\\
56 Aufgrund der physikalischen Beschr\"ankung sollen so insgesamt bis zu 14 Ein- und Ausgaben
57 und die aktuelle Eingabezeile angezeigt werden k\"onnen. }
58
59 \subsection{History}
60
61 \req{Die letzten 50 Ein- und Ausgaben werden als History im Speicher gehalten}
62
63 \req{Die History soll über RS232, auf Anfrage vom PC oder bei Betätigen eines Buttons am Development Board, zum PC gesendet werden}
64
65
66 \section{High-Level Design Description}
67
68 \begin{figure}[!ht]
69 \includegraphics[width=\textwidth]{Architektur.png}
70 \centering
71 \caption{Die Architektur des Taschenrechners}
72 \label{fig:arch}
73 \end{figure}
74
75 In Abbildung \ref{fig:arch} ist der Aufbau des Taschenrechners zu sehen. Der Taschenrechner besteht aus folgenden Modulen:
76
77 \begin{itemize}
78 \item \textbf{VGA} - Zeichenweises Ansprechen des Monitors
79 \item \textbf{Display} - Liest einzelne Zeichen aus der History aus und leitet diese an das VGA Modul weiter, um sie in der entsprechenden Zeile anzeigen zu lassen
80 \item \textbf{RS232} - Senden und Empfangen von Daten vom/zum PC über die serielle Schnittstelle % TODO. baudrate? kodierung? (8N1?)
81 \item \textbf{PC-Kommunikation} - Auf eine vom PC eintreffende Bufferübermittlungsanforderung oder ein Drücken des entsprechenden Board-Buttons, liest es Zeichen für Zeichen aus dem History Modul aus und leitet es an das RS232 Modul weiter
82 \item \textbf{PS/2} - Empfangen von Keyboard-Eingaben als Scancodes
83 \item \textbf{Scanner} - Empfängt die Scan-Codes vom PS/2 Modul und leitet nur für die Eingabezeile
84 erlaubte Zeichen dekodiert in das CP850 Format an die History weiter. Bei einem empfangenen
85 Enter wird der Beginn der Berechnung an das Parser Modul signalisiert
86 \item \textbf{Parser} - Wertet die Eingabezeile der History aus und liefert als Antwort entweder
87 einen Fehler zurück oder gibt die einzelnen Berechnungen von je zwei Zahlen an die ALU weiter.
88 Die vom History Modul ausgelesenen Dezimalstellen werden intern umgerechnet.
89 Das Endergebnis wird wieder in einzelne Charakter umgerechnet und in der History gespeichert
90 \item \textbf{ALU} - Führt die tatsächlichen Berechnungen von Addition, Subtraktion, Multiplikation und Division durch
91 \item \textbf{History} - Verwaltung des dahinterliegenden Buffers von vergangenen Berechnungen und
92 der aktuellen Eingabezeile. Bei Aktualisierung der aktuellen Eingabezeile und bei Empfang eines
93 Ergebnisses vom Parser Modul signalisiert es das Display Modul
94 \end{itemize}
95
96
97 \begin{landscape}
98 \subsection{Logische und physikalische Interfaces}
99
100 \subsubsection{VGA}
101 \THEAD
102 vga\_clk & in & 1 & std\_logic & PLL & VGA-Clock \ZE
103 vga\_res\_n & in & 1 & std\_logic & PLL & VGA-Reset \ZE
104 \hline
105 vsync\_n & out & 1 & std\_logic & Bildschirm & Vertikale Synchronisation\ZE
106 hsync\_n & out & 1 & std\_logic & Bildschirm & Horizontale Synchronisation\ZE
107
108 r & out & 3 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Rot \ZE
109 g & out & 3 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Gr\"un \ZE
110 b & out & 2 & std\_logic\_vector & Bildschirm & Ausgabe der Farbe Blau \ZE
111 \hline
112 command & in & 8 & std\_logic\_vector & Display & Kommando an das VGA Modul \ZE
113 command\_data & in & 32 & std\_logic\_vector & Display & Daten f\"ur das Kommando \ZE
114 free & out & 1 & std\_logic & Display & Signalisiert Bereitschaft \ZE
115 \TEND
116
117 \newpage
118 \subsubsection{Display}
119 \THEAD
120 new\_eingabe & in & 1 & std\_logic & History & Aufforderung der History die aktuelle Eingabe
121 auszulesen \ZE
122 new\_result & in & 1 & std\_logic & History & Aufforderung der History das aktuelle Ergebnis
123 auszulesen \ZE
124 zeile & out & 5 & std\_logic\_vector & History & Zeilenadressierung ($2 * 15$ Zeilen $=30$) \ZE
125 spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
126 get & out & 1 & std\_logic & History & Signalisiert Speicheranforderung \ZE
127 done & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
128 char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
129 \hline
130 command & out & 8 & std\_logic\_vector & VGA & Kommando an das VGA Modul \ZE
131 command\_data & out & 32 & std\_logic\_vector & VGA & Daten f\"ur das Kommando \ZE
132 free & in & 1 & std\_logic & VGA & Signalisiert Bereitschaft \ZE
133 \TEND
134
135 \newpage
136 \subsubsection{RS232}
137 \THEAD
138 rxd & in & 1 & std\_logic & PC & Sendeleitung der seriellen \"Ubertragung \ZE
139 txd & out & 1 & std\_logic & PC & Empfangsleitung der seriellen \"Ubertragung \ZE
140 \hline
141 rx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das Empfangen \ZE
142 rx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert ein neu empfangendes Byte \ZE
143
144 tx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das \"Ubertragen \ZE
145 tx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert dass das anliegende Byte gesendet werden soll \ZE
146 \TEND
147
148 \newpage
149 \subsubsection{PC-Kommunikation}
150 \THEAD
151 btn\emph{A} & in & 1 & std\_logic & externer Button & zum Triggern der RS232 Kommunikation \ZE
152 \hline
153 rx\_data & in & 8 & std\_logic\_vector & RS232 & Datenfeld f\"ur das Empfangen \ZE
154 rx\_new & in & 1 & std\_logic & RS232 & Signalisiert ein neu empfangendes Byte \ZE
155 tx\_data & in & 8 & std\_logic\_vector & RS232 & Datenfeld f\"ur das \"Ubertragen \ZE
156 tx\_new & in & 1 & std\_logic & RS232 & Signalisiert dass das anliegende Byte gesendet werden soll \ZE
157 \hline
158 zeile & out & 7 & std\_logic\_vector & History & Zeilenadressierung (50 * 2 Zeilen = 100)\ZE
159 spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
160 get & out & 1 & std\_logic & History & Signalisiert Speicheranforderung \ZE
161 done & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
162 char & in & 8 & character & History & enth\"alt angeforderne Daten \ZE
163 \TEND
164
165
166 \newpage
167 \subsubsection{PS/2}
168 \THEAD
169 new\_data & out & 1 & std\_logic\_vector & Scanner & Signalisiert neuen Scancode \ZE
170 data & out & 8 & std\_logic\_vector & Scanner & Scancode laut Codepage 850 \ZE
171 \hline
172 ps2\_clk & inout & 1 & std\_logic & Tastatur & Clockleitung zum Keyboard \ZE
173 ps2\_data & inout & 1 & std\_logic & Tastatur & Datenleitung zum Keyboard \ZE
174 \TEND
175
176
177 \subsubsection{Scanner}
178 \THEAD
179 new\_data & in & 1 & std\_logic\_vector & PS/2 & Signalisiert neuen Scancode \ZE
180 data & in & 8 & std\_logic\_vector & PS/2 & Scancode laut Codepage 850 \ZE
181 \hline
182 char & out & 8 & character & History & Zeichen das vom History Modul \"ubernommen werden soll \ZE
183 take & out & 1 & std\_logic & History & Signalisiert Datenfeld char \ZE
184 done & in & 1 & std\_logic & History & Signalisiert die \"Ubernahme der Daten \ZE
185 backspace & out & 1 & std\_logic & History & Signalisiert Backspace (letztes Zeichen im Buffer soll gel\"oscht werden) \ZE
186 \hline
187 do\_it & out & 1 & std\_logic & Parser & Auswertung beginnen (ENTER) \ZE
188 finished & in & 1 & std\_logic & Parser & Auswertung fertig \ZE
189 \TEND
190
191
192 \subsubsection{Parser}
193 \THEAD
194 h\_rw & out & 1 & std\_logic & History & 0 = read (Expression), 1 = write (Ergebnis) \ZE
195 h\_spalte & out & 7 & std\_logic\_vector & History & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
196 h\_rget & out & 1 & std\_logic & History & Signalisiert Leseanforderung \ZE
197 h\_rdone & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
198 h\_read & out & 8 & character & History & enth\"alt angeforderne Daten \ZE
199
200 h\_wtake & out & 1 & std\_logic & History & Signalisiert Schreibanforderung \ZE
201 h\_wdone & in & 1 & std\_logic & History & Signalisiert dass Daten anliegen \ZE
202 h\_write & in & 8 & character & History & enth\"alt zu schreibende Daten \ZE
203
204 h\_finished & out & 1 & std\_logic & History & Auswertung fertig \ZE
205 \hline
206 opcode & out & 3 & enum OPS & ALU & die auszuf\"uhrende Art der Berechnung \ZE
207 op1 & out & 32 & SIGNED(32-1 downto 0) & ALU & erste Operand \ZE
208 op2 & out & 32 & SIGNED(32-1 downto 0) & ALU & zweite Operand \ZE
209 op3 & in & 32 & SIGNED(32-1 downto 0) & ALU & Zieloperand \ZE
210 do\_calc & out & 1 & std\_logic & ALU & Signalisert Berechnungstart \ZE
211 calc\_done & in & 1 & std\_logic & ALU & Berechnung fertig \ZE
212 \hline
213 do\_it & in & 1 & std\_logic & Scanner & Auswertung beginnen (ENTER) \ZE
214 finished & out & 1 & std\_logic & Scanner & Auswertung fertig \ZE
215 \TEND
216
217
218 \subsubsection{ALU}
219 \THEAD
220 opcode & in & 3 & enum OPS & Parser & die auszuf\"uhrende Art der Berechnung \ZE
221 op1 & in & 32 & SIGNED(32-1 downto 0) & Parser & erste Operand \ZE
222 op2 & in & 32 & SIGNED(32-1 downto 0) & ALU & zweite Operand \ZE
223 op3 & out & 32 & SIGNED(32-1 downto 0) & ALU & Zieloperand \ZE
224 do\_calc & in & 1 & std\_logic & Parser & Signalisert Berechnungstart \ZE
225 calc\_done & out & 1 & std\_logic & Parser & Berechnung fertig \ZE
226 \TEND
227
228
229 \subsubsection{History}
230 \THEAD
231 pc\_zeile & in & 7 & std\_logic\_vector & PC-Kommunikation & Zeilenadressierung (50 * 2 Zeilen = 100)\ZE
232 pc\_spalte & in & 7 & std\_logic\_vector & PC-Kommunikation & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
233 pc\_get & in & 1 & std\_logic & PC-Kommunikation & Signalisiert Speicheranforderung \ZE
234 pc\_done & out & 1 & std\_logic & PC-Kommunikation & Signalisiert dass Daten anliegen \ZE
235 pc\_char & out & 8 & character & PC-Kommunikation & enth\"alt angeforderne Daten \ZE
236 \hline
237 s\_char & in & 8 & character & Scanner & Zeichen das vom Scanner Modul \"ubernommen werden soll \ZE
238 s\_take & in & 1 & std\_logic & Scanner & Signalisiert Datenfeld char \ZE
239 s\_done & out & 1 & std\_logic & Scanner & Signalisiert die \"Ubernahme der Daten \ZE
240 s\_backspace & in & 1 & std\_logic & Scanner & Signalisiert Backspace (letztes Zeichen im Buffer soll gel\"oscht werden) \ZE
241 \hline
242 d\_new\_eingabe & out & 1 & std\_logic & Display & Fordert Display auf die Eingabe auszulesen \ZE
243 d\_new\_result & out & 1 & std\_logic & Display & Fordert Display auf das Ergebnis auszulesen \ZE
244 d\_zeile & in & 5 & std\_logic\_vector & Display & Zeilenadressierung ($2 * 15$ Zeilen $=30$) \ZE
245 d\_spalte & in & 7 & std\_logic\_vector & Display & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
246 d\_get & in & 1 & std\_logic & Display & Signalisiert Speicheranforderung \ZE
247 d\_done & out & 1 & std\_logic & Display & Signalisiert dass Daten anliegen \ZE
248 d\_char & out & 8 & character & Display & enth\"alt angeforderne Daten \ZE
249 \hline
250 p\_rw & in & 1 & std\_logic & Parser & 0 = read (Expression), 1 = write (Ergebnis) \ZE
251 p\_spalte & in & 7 & std\_logic\_vector & Parser & Spaltenadressierung (71 Zeichen inklusive \textbackslash 0) \ZE
252 p\_rget & in & 1 & std\_logic & Parser & Signalisiert Leseanforderung \ZE
253 p\_rdone & out & 1 & std\_logic & Parser & Signalisiert dass Daten anliegen \ZE
254 p\_read & in & 8 & character & Parser & enth\"alt angeforderne Daten \ZE
255
256 p\_wtake & in & 1 & std\_logic & Parser & Signalisiert Schreibanforderung \ZE
257 p\_wdone & out & 1 & std\_logic & Parser & Signalisiert dass Daten anliegen \ZE
258 p\_write & out & 8 & character & Parser & enth\"alt zu schreibende Daten \ZE
259
260 p\_finished & in & 1 & std\_logic & Parser & Auswertung fertig \ZE
261 \TEND
262 \end{landscape}
263
264
265 \subsection{Verhalten der Interfaces}
266 \paragraph{Erlaubte Keyboard-Eingaben}
267
268 Als erlaubte Eingabetasten werden die Ziffern \emph{`0'} bis \emph{`9'} am Numpad, die Zeichen
269 \emph{`/'}, \emph{`*'}, \emph{`-'} und \emph{`+'} am Numpad, die beiden Enter-Tasten und die Backspace-Taste akzeptiert. Dabei wird auf jede gedrückte Taste nur einmal reagiert. Alle anderen Tasten auf der Tastatur werden ignoriert.
270 %warum nur numpad? weil / und * dann über eine shift-kombination erreicht werden müssen und die scancode-behandlung würd ich mir nicht antun wollen
271 %ob numlock gedrückt ist oder nicht sollt egal sein - ändert die scancodes nicht AFAIK
272 %wird auf eine taste wirklich nur einmal reagiert wenn man z.B. die 0 hält und CTRL drückt und wieder los lässt?
273
274 Während eine Berechnung durchgeführt wird, sind die Tastatureingaben wirkungslos.
275
276 %wie wärs mit einem easter egg...
277
278 \paragraph{Over- und Underflows}
279 Tritt ein Over- bzw. Underflow während der Berechnung auf -- entweder in einem Zwischenergebnis, oder im
280 Endergebnis -- dann wird der Fehlerstring ``ERROR'' in der Ergebniszeile ausgegeben.
281 %TODO aufwendigere fehlermeldung? zb "ERROR: OVERFLOW"
282
283 \paragraph{Fehlerhafte Eingaben}
284 Entspricht eine zu berechnende Eingabezeile nicht dem Format der Grammatik aus
285 dem Requirement Req. 2, so wird der Fehlerstring ``ERROR'' in der Ergebniszeile
286 ausgegeben.
287 %TODO aufwendigere fehlermeldung? zb "ERROR: FEHLERHAFTE EINGABE"
288
289 Liegt der Wert eines Zahlen-Literals außerhalb dem Wertebereich eines Signed Long, dann wird der
290 Fehlerstring ``ERROR'' am Bildschirm ausgegeben.
291 %TODO aufwendigere fehlermeldung? zb "ERROR: ZAHL ZU GROSS"
292
293 \paragraph{Bildschirm-Layout}
294 Die erste Berechnung nach dem Reset f\"angt links oben an. Die Eingabezeile wandert nach der Eingabe
295 von Berechnungen ``runter'', d.h. \"uber der aktuellen Eingabezeile befinden sich die Ein- bzw.
296 Ausgaben der vergangenen Berechnungen. 
297 Wird das Ende des Bildschirms erreicht, so wird automatisch weitergescrollt (entsprechend
298 verschwinden dann vergangene Berechnungen inkl. Ergebnisse vom Bildschirm).
299 %TODO vielleicht in entsprechend ähnlichem requirement etwas abstrakter werden wie in der angabe
300
301 Die Eingabezeile wird ebenso dargestellt wie die Eingabe erfolgt ist (Leerzeichen werden dargestellt), von der ersten Textspalte bis zur 70.
302 %TODO vielleicht vor jede zeile präfix "IN3: " / "OUT3: "?
303
304 Alle Textausgaben sind in weißer Schrift dargestellt.
305
306 \paragraph{Fehlermeldungen}
307 Fehlermeldungen werden als Strings wie ein korrektes Ergebnis in die entsprechende Ausgabezeile geschrieben.
308
309 Fehlerhafte Eingaben und Fehlermeldungen werden ebenso am Bildschirm dargestellt wie korrekte. Bei
310 Abfrage der History \"uber RS232 werden daher auch fehlerhafte Ein- und Ausgaben dazugez\"ahlt.
311
312 \paragraph{Taster}
313 Bei Drücken des Buttons \emph{A} wird eine einmalige Übertragung des History-Inhalts über
314 die RS232-Schnittstelle veranlasst.
315 Der Taster wird sowohl beim Drücken, als auch beim Loslassen entprellt mit einer Entprellzeit von 100ms.
316
317 \paragraph{RS232}
318 Die Kommunikation über RS232 erfolgt über 8N1-Codierung ohne Handshake.
319
320 Bei Senden des Zeichens \emph{` \textbackslash n'} vom PC an den FPGA über die RS232 Schnittstelle wird
321 eine einmalige Übertragung des History-Inhalts über die RS232-Schnittstelle veranlasst, ebenso wie
322 beim Drücken des Buttons \emph{A}.
323 %oder doch ein anderes zeichen?
324
325 Der History Inhalt wird im ASCII Format über die RS232 Schnittstelle an den PC gesendet. Dabei wird
326 mit der 1. Eingabe begonnen, dann die 1. Ausgabe, die 2. Eingabe usw. Die aktuelle Eingabezeile
327 wird NICHT mit übertragen. Die Ein- und Ausgabezeilen werden nur bis zum letzten erlaubten
328 Eingabe- bzw. Ausgabezeichen gesendet. Nach jeder Zeile folgt ein \emph{` \textbackslash n'} Zeichen.
329
330 \subsection{Testf\"alle}
331 \tcc{$9*55*-6+3000/2-1-1-1*50\text{\textasciicircum B}00 = -1972 $}
332 Erf\"ullt \textbf{Req 1, 2, 3, 6}
333
334 \tcc{$9---2 \Rightarrow$ Err}
335 Erf\"ullt \textbf{Req 2}
336
337 \tcc{$-2147483648 + 1 = -2147483647$}
338 Erf\"ullt \textbf{Req 4}
339
340 \tcc{$-2147483649 + 1 \Rightarrow$ Err}
341 Erf\"ullt \textbf{Req 4}
342
343 \tcc{$2147483647 - 1 = 2147483646$}
344 Erf\"ullt \textbf{Req 4}
345
346 \tcc{$2147483644 + 100 \Rightarrow$ Err}
347 Erf\"ullt \textbf{Req 4}
348
349 \tcc{$-2147483643 - 100 \Rightarrow$ err}
350 Erf\"ullt \textbf{Req 4}
351
352 \tcc{$1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 1 +1 + 2 +2 + 2 + 1
353 \Rightarrow$ ab dem ersten `2'er soll die Eingabe verweigert werden (man beachte die Leerzeichen)}
354 Erf\"ullt \textbf{Req 5}
355
356 \tcc{Es sollen 20 Rechnungen eingegeben werden (ob g\"ultig oder nicht spielt dabei keine Rolle).
357 Ab der 15. Berechnung soll ein Scrollen des Bildschirms festgestellt werden k\"onnen.}
358 Erf\"ullt \textbf{Req 7, 8}
359
360 \tcc{Es sollen 52 Berechnungen der Art $i + i\text{<ENTER>}$ eingegeben werden, wobei $i$ der
361 aktuellen Berechnung entspricht. Danach soll eine RS232 \"Ubertragung (einmal per Button und einmal
362 per PC) in Gang gesetzt werden. Am PC sollen nur die letzten 50 Berechnungen ersichtlich sein.}
363 Erf\"ullt \textbf{Req 9, 10}
364
365 \section{Detailed Design Description}
366 \subsection{VGA}
367 siehe \textit{hwmod\_ipcores.pdf}
368
369 \subsection{Display}
370 \begin{figure}[!ht]
371 \includegraphics[width=0.9\textwidth]{sm/display.pdf}
372 \centering
373 \caption{Statemachine zum Anzeigen der Daten}
374 \label{fig:display}
375 \end{figure}
376
377 \begin{itemize}
378 \item \textbf{idle}: In diesem Zustand wird die lokale Variable \emph{s}, die der Spaltenberechnung
379 dient, zur\"uckgesetzt.
380 \item \textbf{addr\_eingabe}: Das Modul weiss nun, dass eine neue Eingabe vorliegt, es wird daher
381 aufgefordert jenige zu aktualisieren. Daf\"ur wird nun die passende Zeile berechnet (mit Hilfe der
382 Variable \emph{z}).
383 \item \textbf{addr\_ergebnis}: In diesem Zustand weiss das Modul, dass ein neues Resultat vorliegt,
384 es muss sich also nun die Zeilennummer mit Hilfe der internen Variable \emph{z} berechnen.
385 \item \textbf{read/put}: Hier wird Zeichen f\"ur Zeichen das von der berechneten Zeilen vom
386 History Modul angefordert und per VGA Modul auf den Bildschirm ausgegeben. F\"ur den Fall dass nicht
387 die vollen 71 Zeichen in der entsprechenden Zeile enthalten sind\footnote{ein String wird mit
388 \emph{` \textbackslash 0'} abgeschlossen}, wird der Rest der Zeile am Bildschirm mit dem Leerzeichen
389 \emph{` '} ausgef\"ullt.
390 \end{itemize}
391
392 \subsection{RS232}
393 Es soll eine Baudrate von 115200 bauds und die 8N1 Codierung (8bit Daten, keine Parity und ein
394 Stopbit) verwendet werden. Da das FPGA Design um ein Vielfaches schneller als 115200Hz \footnote{
395 n\"amlich $33.33$MHz} ist muss bei der Implementierung zus\"atzlich ein Taktgeber f\"ur das RS232
396 Modul implementiert werden um BT zu erzeugen\footnote{BT steht dabei f\"ur
397 BitTime}. Das soll mit einem Takteiler realisiert werden. Ausserdem ist zu
398 beachten, dass das LSB zuerst geschickt wird.
399
400 \begin{figure}[!ht]
401 \includegraphics[width=0.9\textwidth]{sm/rs232-rs.pdf}
402 \centering
403 \caption{Statemachine des RS232 Modules zum Empfangen von Daten}
404 \label{fig:rs232rx}
405 \end{figure}
406 \begin{itemize}
407 \item \textbf{idle}: Die lokale Variable \emph{bc} wird zur\"uckgesetzt.
408 \item \textbf{read startbit}: Eine fallende Flanke auf \emph{rxd} signalisiert dass eine
409 Byte\"ubertragung beginnt.
410 \item \textbf{read}: In diesem State werden die Datenbits nacheinander \"uber \emph{rxd} empfangen.
411 \item \textbf{read stopbit}: Um das Ende einer Byte\"ubertragung zu signalisieren wird \emph{rxd}
412 auf high gesetzt. Dadurch weiss das RS232 Modul bescheid, dass die Byte\"ubertragung zu Ende ist und
413 kann dem PC-Kommunikation Modul signalisieren, dass ein Byte vollst\"andig empfangen wurde.
414 \end{itemize}
415
416
417 \begin{figure}[!ht]
418 \includegraphics[width=0.9\textwidth]{sm/rs232-ts.pdf}
419 \centering
420 \caption{Statemachine des RS232 Modules zum Senden von Daten}
421 \label{fig:rs232tx}
422 \end{figure}
423 \begin{itemize}
424 \item \textbf{idle}: Die lokale Variable \emph{bc} wird zur\"uckgesetzt.
425 \item \textbf{write startbit}: Um eine \"Ubertragung in Gang zu setzen muss \emph{txd} auf low
426 gesetzt werden.
427 \item \textbf{write}: In diesem State werden die Datenbits nacheinander an \emph{txd} angelegt.
428 \item \textbf{write stopbit}: Um das Ende einer Byte\"ubertragung zu signalisieren muss \emph{txd}
429 auf high gesetzt werden.
430 \end{itemize}
431
432
433 \subsection{PC-Kommunikation}
434 \begin{figure}[!ht]
435 \includegraphics[width=0.7\textwidth]{sm/pckomm.pdf}
436 \centering
437 \caption{Statemachine f\"ur das Modul PC-Kommunikation}
438 \label{fig:pckomm}
439 \end{figure}
440
441 \begin{itemize}
442 \item \textbf{idle}: Die internen Variablen \emph{z} und \emph{s} werden zur\"uck gesetzt. \emph{z}
443 wird als Zeilencounter verwendet und \emph{s} als Spaltencounter, da der interne Speicher nach und
444 nach vollst\"andig auf der seriellen Schnittstelle ausgegeben werden soll.
445 \item \textbf{nzeile}: Dieser Zustand wird erreicht wenn die Ausgabe auf die RS232 Schnittstelle
446 entweder durch den Button oder durch ein empfangen von einem Byte getriggert wird.
447 \item \textbf{rwbyte}: Es wird das Byte an der Stelle \emph{z}/\emph{s} ausgelesen und danach an das
448 RS232 Modul weitergegeben und zum PC \"ubertragen. Ist die \"Ubertragung abgeschlossen, wird der
449 Spaltencounter erh\"oht.
450 \end{itemize}
451
452
453 \subsection{PS/2}
454 siehe \textit{hwmod\_ipcores.pdf}
455
456 \subsection{Scanner}
457 \begin{figure}[!ht]
458 \includegraphics[width=0.9\textwidth]{sm/scanner.pdf}
459 \centering
460 \caption{Statemachine zum Scannen des Inputs der PS/2 Schnittstelle}
461 \label{fig:scanner}
462 \end{figure}
463
464 \begin{itemize}
465 \item \textbf{idle}: Setz alle Steuersignale \emph{backspace}, \emph{take} und \emph{do\_it} low.
466 \item \textbf{read}: Bei steigender Flanke auf \emph{new\_data} wird das anliegende Byte des
467 PS/2-Modules \"ubernommen. Je nach Wert wird in den n\"achsten Zustand gewechselt.
468 \item \textbf{enter}: Wurde die Entertaste gedr\"uckt wird der Parser getriggert
469 (per Signal \emph{do\_it}). Der Scanner befindet sich so lange in diesem Zustand
470 bis der Parser das Ergebnis berechnet hat.
471 \item \textbf{l\"oschen}: Teilt dem History Modul mit das letzte Zeichen im
472 Buffer zu l\"oschen (per Signal \emph{backspace}).
473 \item \textbf{mod}: Da wir nur Zeichen des Numpads \"ubernehmen wollen, ist dieser Zwischenstate
474 n\"otig, da Scancodes vom Numpad einen Modifier mitschicken (\emph{0xe0}).
475 \item \textbf{\"ubernehmen}: Wenn ein g\"ultiges Zeichen laut Requirements
476 eingegeben wurde, wird jenes Zeichen an \emph{char} angelegt und \emph{take}
477 wird auf high gesetzt. Das History Modul wird dadurch getriggert um das Zeichen
478 in den Buffer zu \"ubernehmen.
479 \end{itemize}
480
481
482 \subsection{Parser}
483 \begin{figure}[!ht]
484 \includegraphics[width=0.9\textwidth]{sm/parser.pdf}
485 \centering
486 \caption{Statemachine zum Parsen der aktuellen Expression}
487 \label{fig:parser}
488 \end{figure}
489
490 \begin{lstlisting}
491 procedure exec() {
492         z := z*s;
493         switch(opp) {
494                 case NOP: 
495                         if(aktop != '\0')
496                                 c1();
497                         break;
498                 case '-':
499                         z := z * (-1);
500                 case '+':
501                         c1();
502                         break;
503
504                 case '/':
505                 case '*':
506                   if(aktop in ['+','-','\0']) {
507                           alu(opp, z, punkt);
508                           do_calc = 1;
509                           while(calc_done == 0);
510
511                           alu(ADD, punkt, strich);
512                           punkt = 1;
513                   }
514                   else if (aktop in ['*','/']) {
515                           alu(opp, z, punkt);
516                   }
517                   break;
518         }
519         do_calc = 1;
520         opp = aktop;
521 }
522
523 procedure c1() {
524         if(aktop in ['+','-','\0']) {
525                 alu(ADD, z, strich);
526         }
527         else if (aktop in ['*','/']) {
528                 alu(MUL, z, punkt);
529         }
530 }
531 \end{lstlisting}
532
533 \begin{itemize}
534 \item \textbf{idle}: Das Modul ist unt\"atig und wartet auf eine steigende Flanke von \emph{do\_it}.
535
536 \item \textbf{read char}: Lokale Variablen werden zur\"uckgesetzt und das n\"achste Zeichen wird vom
537 History Modul angefordert.
538
539 \item \textbf{sign}: Ggf. wird das Vorzeichen auf '-' gesetzt.
540
541 \item \textbf{int}: Zeichen f\"ur Zeichen wird eingelesen und die Zahl wird daraus berechnet.
542
543 \item \textbf{calc}: Punkt- und Strichrechnungen m\"ussen getrennt behandelt werden, daher ergibt
544 sich dieses Konstrukt im Codebeispiel.
545
546 \item \textbf{null}: Sonderbehandlung ist n\"otig wenn die Expression mit '\textbackslash 0'
547 abgeschlossen wird.
548
549 \item \textbf{done}: In diesem Zustand wird das Ergebnis das sich je nach \emph{opp} in
550 \emph{strich} oder \emph{punkt}  befindet als String in den Ergebnisbuffer des History Modules
551 geschrieben. Danach wird \emph{finished} auf high gesetzt.
552
553 \item \textbf{error}: Zwecks \"Ubersichtlichkeit wurden die Transitionen zu diesem Zustand
554 vernachl\"assigt. Dieser Zustand wird erreicht sobald ein Grammatikfehler oder ein Fehler der ALU
555 auftritt. Es wird der String ``Error'' in den Ergebnisbuffer geschrieben und
556 \emph{finished} wird auf high gesetzt.
557 \end{itemize}
558
559
560 \subsection{ALU}
561 \begin{figure}[!ht]
562 \includegraphics[width=0.9\textwidth]{sm/alu.pdf}
563 \centering
564 \caption{Statemachine der ALU}
565 \label{fig:alu}
566 \end{figure}
567 %TODO: eventuell zweierkomplement statt SUB?
568 Folgende Opcodes werden von uns als \emph{enum} definiert:
569 \begin{quote}
570 NOP, SUB, ADD, MUL, DIV, DONE
571 \end{quote}
572 wobei NOP und DONE von der ALU nicht bearbeitet werden sollen.
573
574 In jedem State wird die entsprechende Berechnungsart durchgef\"uhrt und danach der Abschluss der
575 Berechnung mit \emph{calc\_done} signalisiert. Wichtig zu beachten ist dabei, dass sich die
576 Rechenoperationen in der Ausf\"uhrungszeit unterscheiden k\"onnen.
577
578
579 \subsection{History}
580 Dieses Modul stellt die zentrale Speicherstelle f\"ur die verschiedenen Module da.
581 Die Idee ist dabei, dass intern ein RAM-Block mit mindestens der Gr\"o\ss{}e
582 \mbox{$(50\cdot2) \cdot (71) = 710$} Bytes verwaltet wird.
583
584 Das History Modul kann \"uber die angelegten Leitungen \emph{s\_take}, \emph{p\_rget},
585 \emph{p\_wdo}, \emph{pc\_get} bzw. \emph{d\_get} feststellen welches Modul\footnote{die
586 betreffendenen Module sind: Scanner, Parser, PC-Kommunikation und Display} eine Speicheranfrage
587 ausf\"uhrt.
588 Der Zugriff der externen Module erfolgt priorisiert:
589 \begin{quote}
590 Scanner > Parser > PC-Kommunikation > Display
591 \end{quote}
592
593 Weiters ist zu beachten, dass das History Modul f\"ur den Scanner und Parser intern einen Index
594 mitspeichern muss, der die Adresse der aktuellen Eingabe mitf\"uhrt. Mit Hilfe dieses Indexes plus
595 der Spaltenadressierung der Module kann die tats\"achliche Adresse f\"ur den internen RAM-Block
596 ermittelt werden.
597
598 Ist das History Modul mit der Speicheranfrage fertig, wird das andere Modul \"uber die entsprechende
599 \emph{done}-Leitung benachrichtigt. Wurde das Signal vom entsprechenden
600 \emph{*\_\{get,take,do\}}-Signal quittiert kann der n\"achste Request
601 verarbeitet werden.
602
603 %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
604 %LISTINGS
605 %\newpage
606 %\appendix
607 %\section{Listings}
608 %howto include src files
609 %\subsection{einfache Variante -- generierter Sourcecode}
610 %\label{att:einfachsrc}
611 %\lstinputlisting{../einfach/einfach.src}
612 %\lstinputlisting[firstnumber=24, firstline=24, lastline=34]{bla.src} %firstnumber shouldn't be necessary, but there is probably a bug
613
614 \end{document}
615