one place for all my love
[hwmod.git] / demo / src / pll.vhd
1 -- megafunction wizard: %ALTPLL%
2 -- GENERATION: STANDARD
3 -- VERSION: WM1.0
4 -- MODULE: altpll 
5
6 -- ============================================================
7 -- File Name: pll.vhd
8 -- Megafunction Name(s):
9 --                      altpll
10 --
11 -- Simulation Library Files(s):
12 --                      altera_mf
13 -- ============================================================
14 -- ************************************************************
15 -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16 --
17 -- 8.1 Build 163 10/28/2008 SJ Full Version
18 -- ************************************************************
19
20
21 --Copyright (C) 1991-2008 Altera Corporation
22 --Your use of Altera Corporation's design tools, logic functions 
23 --and other software and tools, and its AMPP partner logic 
24 --functions, and any output files from any of the foregoing 
25 --(including device programming or simulation files), and any 
26 --associated documentation or information are expressly subject 
27 --to the terms and conditions of the Altera Program License 
28 --Subscription Agreement, Altera MegaCore Function License 
29 --Agreement, or other applicable license agreement, including, 
30 --without limitation, that your use is for the sole purpose of 
31 --programming logic devices manufactured by Altera and sold by 
32 --Altera or its authorized distributors.  Please refer to the 
33 --applicable agreement for further details.
34
35
36 LIBRARY ieee;
37 USE ieee.std_logic_1164.all;
38
39 LIBRARY altera_mf;
40 USE altera_mf.all;
41
42 ENTITY pll IS
43         PORT
44         (
45                 inclk0          : IN STD_LOGIC  := '0';
46                 c0              : OUT STD_LOGIC 
47         );
48 END pll;
49
50
51 ARCHITECTURE SYN OF pll IS
52
53         SIGNAL sub_wire0        : STD_LOGIC_VECTOR (5 DOWNTO 0);
54         SIGNAL sub_wire1        : STD_LOGIC ;
55         SIGNAL sub_wire2        : STD_LOGIC ;
56         SIGNAL sub_wire3        : STD_LOGIC_VECTOR (1 DOWNTO 0);
57         SIGNAL sub_wire4_bv     : BIT_VECTOR (0 DOWNTO 0);
58         SIGNAL sub_wire4        : STD_LOGIC_VECTOR (0 DOWNTO 0);
59
60
61
62         COMPONENT altpll
63         GENERIC (
64                 clk0_divide_by          : NATURAL;
65                 clk0_duty_cycle         : NATURAL;
66                 clk0_multiply_by                : NATURAL;
67                 clk0_phase_shift                : STRING;
68                 compensate_clock                : STRING;
69                 inclk0_input_frequency          : NATURAL;
70                 intended_device_family          : STRING;
71                 lpm_hint                : STRING;
72                 lpm_type                : STRING;
73                 operation_mode          : STRING;
74                 port_activeclock                : STRING;
75                 port_areset             : STRING;
76                 port_clkbad0            : STRING;
77                 port_clkbad1            : STRING;
78                 port_clkloss            : STRING;
79                 port_clkswitch          : STRING;
80                 port_configupdate               : STRING;
81                 port_fbin               : STRING;
82                 port_inclk0             : STRING;
83                 port_inclk1             : STRING;
84                 port_locked             : STRING;
85                 port_pfdena             : STRING;
86                 port_phasecounterselect         : STRING;
87                 port_phasedone          : STRING;
88                 port_phasestep          : STRING;
89                 port_phaseupdown                : STRING;
90                 port_pllena             : STRING;
91                 port_scanaclr           : STRING;
92                 port_scanclk            : STRING;
93                 port_scanclkena         : STRING;
94                 port_scandata           : STRING;
95                 port_scandataout                : STRING;
96                 port_scandone           : STRING;
97                 port_scanread           : STRING;
98                 port_scanwrite          : STRING;
99                 port_clk0               : STRING;
100                 port_clk1               : STRING;
101                 port_clk2               : STRING;
102                 port_clk3               : STRING;
103                 port_clk4               : STRING;
104                 port_clk5               : STRING;
105                 port_clkena0            : STRING;
106                 port_clkena1            : STRING;
107                 port_clkena2            : STRING;
108                 port_clkena3            : STRING;
109                 port_clkena4            : STRING;
110                 port_clkena5            : STRING;
111                 port_extclk0            : STRING;
112                 port_extclk1            : STRING;
113                 port_extclk2            : STRING;
114                 port_extclk3            : STRING
115         );
116         PORT (
117                         inclk   : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
118                         clk     : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)
119         );
120         END COMPONENT;
121
122 BEGIN
123         sub_wire4_bv(0 DOWNTO 0) <= "0";
124         sub_wire4    <= To_stdlogicvector(sub_wire4_bv);
125         sub_wire1    <= sub_wire0(0);
126         c0    <= sub_wire1;
127         sub_wire2    <= inclk0;
128         sub_wire3    <= sub_wire4(0 DOWNTO 0) & sub_wire2;
129
130         altpll_component : altpll
131         GENERIC MAP (
132                 clk0_divide_by => 1,
133                 clk0_duty_cycle => 50,
134                 clk0_multiply_by => 4,
135                 clk0_phase_shift => "0",
136                 compensate_clock => "CLK0",
137                 inclk0_input_frequency => 40000,
138                 intended_device_family => "Cyclone II",
139                 lpm_hint => "CBX_MODULE_PREFIX=pll",
140                 lpm_type => "altpll",
141                 operation_mode => "NORMAL",
142                 port_activeclock => "PORT_UNUSED",
143                 port_areset => "PORT_UNUSED",
144                 port_clkbad0 => "PORT_UNUSED",
145                 port_clkbad1 => "PORT_UNUSED",
146                 port_clkloss => "PORT_UNUSED",
147                 port_clkswitch => "PORT_UNUSED",
148                 port_configupdate => "PORT_UNUSED",
149                 port_fbin => "PORT_UNUSED",
150                 port_inclk0 => "PORT_USED",
151                 port_inclk1 => "PORT_UNUSED",
152                 port_locked => "PORT_UNUSED",
153                 port_pfdena => "PORT_UNUSED",
154                 port_phasecounterselect => "PORT_UNUSED",
155                 port_phasedone => "PORT_UNUSED",
156                 port_phasestep => "PORT_UNUSED",
157                 port_phaseupdown => "PORT_UNUSED",
158                 port_pllena => "PORT_UNUSED",
159                 port_scanaclr => "PORT_UNUSED",
160                 port_scanclk => "PORT_UNUSED",
161                 port_scanclkena => "PORT_UNUSED",
162                 port_scandata => "PORT_UNUSED",
163                 port_scandataout => "PORT_UNUSED",
164                 port_scandone => "PORT_UNUSED",
165                 port_scanread => "PORT_UNUSED",
166                 port_scanwrite => "PORT_UNUSED",
167                 port_clk0 => "PORT_USED",
168                 port_clk1 => "PORT_UNUSED",
169                 port_clk2 => "PORT_UNUSED",
170                 port_clk3 => "PORT_UNUSED",
171                 port_clk4 => "PORT_UNUSED",
172                 port_clk5 => "PORT_UNUSED",
173                 port_clkena0 => "PORT_UNUSED",
174                 port_clkena1 => "PORT_UNUSED",
175                 port_clkena2 => "PORT_UNUSED",
176                 port_clkena3 => "PORT_UNUSED",
177                 port_clkena4 => "PORT_UNUSED",
178                 port_clkena5 => "PORT_UNUSED",
179                 port_extclk0 => "PORT_UNUSED",
180                 port_extclk1 => "PORT_UNUSED",
181                 port_extclk2 => "PORT_UNUSED",
182                 port_extclk3 => "PORT_UNUSED"
183         )
184         PORT MAP (
185                 inclk => sub_wire3,
186                 clk => sub_wire0
187         );
188
189
190
191 END SYN;
192
193 -- ============================================================
194 -- CNX file retrieval info
195 -- ============================================================
196 -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
197 -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
198 -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
199 -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
200 -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
201 -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
202 -- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
203 -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
204 -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
205 -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
206 -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
207 -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
208 -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
209 -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
210 -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
211 -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
212 -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6"
213 -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
214 -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
215 -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
216 -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
217 -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
218 -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
219 -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
220 -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
221 -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
222 -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000"
223 -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
224 -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
225 -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
226 -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
227 -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
228 -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
229 -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
230 -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
231 -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
232 -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000"
233 -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
234 -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
235 -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
236 -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
237 -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
238 -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
239 -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
240 -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
241 -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
242 -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
243 -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
244 -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
245 -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
246 -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
247 -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
248 -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
249 -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
250 -- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
251 -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
252 -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
253 -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
254 -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
255 -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
256 -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
257 -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
258 -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
259 -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
260 -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
261 -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
262 -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
263 -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
264 -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
265 -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
266 -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
267 -- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
268 -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
269 -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
270 -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
271 -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
272 -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
273 -- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
274 -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
275 -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
276 -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
277 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
278 -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
279 -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
280 -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "4"
281 -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
282 -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
283 -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000"
284 -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
285 -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
286 -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
287 -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
288 -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
289 -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
290 -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
291 -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
292 -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
293 -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
294 -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
295 -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
296 -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
297 -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
298 -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
299 -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
300 -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
301 -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
302 -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
303 -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
304 -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
305 -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
306 -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
307 -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
308 -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
309 -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
310 -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
311 -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
312 -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
313 -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
314 -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
315 -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
316 -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
317 -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
318 -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
319 -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
320 -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
321 -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
322 -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
323 -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
324 -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
325 -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
326 -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
327 -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
328 -- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
329 -- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
330 -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
331 -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
332 -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
333 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
334 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
335 -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
336 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE FALSE
337 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE FALSE
338 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE FALSE
339 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE FALSE
340 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf TRUE
341 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE FALSE
342 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_waveforms.html TRUE FALSE
343 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_wave*.jpg FALSE FALSE
344 -- Retrieval info: LIB_FILE: altera_mf
345 -- Retrieval info: CBX_MODULE_PREFIX: ON