one place for all my love
[hwmod.git] / demo / quartus / simulation / modelsim / demo_vhd.sdo
1 // Copyright (C) 1991-2007 Altera Corporation
2 // Your use of Altera Corporation's design tools, logic functions 
3 // and other software and tools, and its AMPP partner logic 
4 // functions, and any output files from any of the foregoing 
5 // (including device programming or simulation files), and any 
6 // associated documentation or information are expressly subject 
7 // to the terms and conditions of the Altera Program License 
8 // Subscription Agreement, Altera MegaCore Function License 
9 // Agreement, or other applicable license agreement, including, 
10 // without limitation, that your use is for the sole purpose of 
11 // programming logic devices manufactured by Altera and sold by 
12 // Altera or its authorized distributors.  Please refer to the 
13 // applicable agreement for further details.
14
15
16 // 
17 // Device: Altera EP2C35F484C6 Package FBGA484
18 // 
19
20 // 
21 // This SDF file should be used for ModelSim (VHDL) only
22 // 
23
24 (DELAYFILE
25   (SDFVERSION "2.1")
26   (DESIGN "demo_top")
27   (DATE "03/30/2009 19:53:36")
28   (VENDOR "Altera")
29   (PROGRAM "Quartus II")
30   (VERSION "Version 7.0 Build 33 02/05/2007 SJ Full Version")
31   (DIVIDER .)
32   (TIMESCALE 1 ps)
33
34   (CELL
35     (CELLTYPE "cycloneii_lcell_ff")
36     (INSTANCE \\inst\|counter\[3\]\~I\\)
37     (DELAY
38       (ABSOLUTE
39         (PORT clk (1557:1557:1557) (1559:1559:1559))
40         (PORT datain (84:84:84) (84:84:84))
41         (PORT sclr (6919:6919:6919) (7003:7003:7003))
42         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
43       )
44     )
45     (TIMINGCHECK
46       (HOLD datain (posedge clk) (266:266:266))
47       (HOLD sclr (posedge clk) (266:266:266))
48     )
49   )
50   (CELL
51     (CELLTYPE "cycloneii_lcell_ff")
52     (INSTANCE \\inst\|counter\[6\]\~I\\)
53     (DELAY
54       (ABSOLUTE
55         (PORT clk (1557:1557:1557) (1559:1559:1559))
56         (PORT datain (84:84:84) (84:84:84))
57         (PORT sclr (6919:6919:6919) (7003:7003:7003))
58         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
59       )
60     )
61     (TIMINGCHECK
62       (HOLD datain (posedge clk) (266:266:266))
63       (HOLD sclr (posedge clk) (266:266:266))
64     )
65   )
66   (CELL
67     (CELLTYPE "cycloneii_lcell_comb")
68     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[4\]\~20_I\\)
69     (DELAY
70       (ABSOLUTE
71         (PORT datab (258:258:258) (226:226:226))
72         (IOPATH datab combout (420:420:420) (420:420:420))
73         (IOPATH datab cout (393:393:393) (393:393:393))
74         (IOPATH datad combout (150:150:150) (150:150:150))
75         (IOPATH cin combout (410:410:410) (410:410:410))
76         (IOPATH cin cout (71:71:71) (71:71:71))
77       )
78     )
79   )
80   (CELL
81     (CELLTYPE "cycloneii_lcell_comb")
82     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[5\]\~22_I\\)
83     (DELAY
84       (ABSOLUTE
85         (PORT dataa (276:276:276) (238:238:238))
86         (IOPATH dataa combout (438:438:438) (438:438:438))
87         (IOPATH dataa cout (414:414:414) (414:414:414))
88         (IOPATH datad combout (150:150:150) (150:150:150))
89         (IOPATH cin combout (410:410:410) (410:410:410))
90         (IOPATH cin cout (71:71:71) (71:71:71))
91       )
92     )
93   )
94   (CELL
95     (CELLTYPE "cycloneii_lcell_comb")
96     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[6\]\~24_I\\)
97     (DELAY
98       (ABSOLUTE
99         (PORT datab (257:257:257) (224:224:224))
100         (IOPATH datab combout (420:420:420) (420:420:420))
101         (IOPATH datab cout (393:393:393) (393:393:393))
102         (IOPATH datad combout (150:150:150) (150:150:150))
103         (IOPATH cin combout (410:410:410) (410:410:410))
104         (IOPATH cin cout (71:71:71) (71:71:71))
105       )
106     )
107   )
108   (CELL
109     (CELLTYPE "cycloneii_lcell_comb")
110     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[3\]\~22_I\\)
111     (DELAY
112       (ABSOLUTE
113         (PORT dataa (272:272:272) (232:232:232))
114         (PORT datab (266:266:266) (236:236:236))
115         (IOPATH dataa combout (413:413:413) (413:413:413))
116         (IOPATH dataa cout (414:414:414) (414:414:414))
117         (IOPATH datab combout (393:393:393) (393:393:393))
118         (IOPATH datab cout (393:393:393) (393:393:393))
119         (IOPATH datad combout (150:150:150) (150:150:150))
120         (IOPATH cin combout (410:410:410) (410:410:410))
121         (IOPATH cin cout (71:71:71) (71:71:71))
122       )
123     )
124   )
125   (CELL
126     (CELLTYPE "cycloneii_lcell_comb")
127     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[6\]\~28_I\\)
128     (DELAY
129       (ABSOLUTE
130         (PORT dataa (685:685:685) (583:583:583))
131         (PORT datab (442:442:442) (377:377:377))
132         (IOPATH dataa combout (438:438:438) (438:438:438))
133         (IOPATH dataa cout (414:414:414) (414:414:414))
134         (IOPATH datab combout (420:420:420) (420:420:420))
135         (IOPATH datab cout (393:393:393) (393:393:393))
136         (IOPATH datad combout (150:150:150) (150:150:150))
137         (IOPATH cin combout (410:410:410) (410:410:410))
138         (IOPATH cin cout (71:71:71) (71:71:71))
139       )
140     )
141   )
142   (CELL
143     (CELLTYPE "cycloneii_lcell_comb")
144     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[54\]\~25_I\\)
145     (DELAY
146       (ABSOLUTE
147         (PORT datac (680:680:680) (629:629:629))
148         (PORT datad (432:432:432) (371:371:371))
149         (IOPATH datac combout (275:275:275) (275:275:275))
150         (IOPATH datad combout (150:150:150) (150:150:150))
151       )
152     )
153   )
154   (CELL
155     (CELLTYPE "cycloneii_lcell_comb")
156     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[53\]\~26_I\\)
157     (DELAY
158       (ABSOLUTE
159         (PORT dataa (451:451:451) (378:378:378))
160         (PORT datad (524:524:524) (474:474:474))
161         (IOPATH dataa combout (438:438:438) (438:438:438))
162         (IOPATH datad combout (150:150:150) (150:150:150))
163       )
164     )
165   )
166   (CELL
167     (CELLTYPE "cycloneii_lcell_comb")
168     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[52\]\~27_I\\)
169     (DELAY
170       (ABSOLUTE
171         (PORT datac (430:430:430) (363:363:363))
172         (PORT datad (519:519:519) (470:470:470))
173         (IOPATH datac combout (275:275:275) (275:275:275))
174         (IOPATH datad combout (150:150:150) (150:150:150))
175       )
176     )
177   )
178   (CELL
179     (CELLTYPE "cycloneii_lcell_comb")
180     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[50\]\~21_I\\)
181     (DELAY
182       (ABSOLUTE
183         (PORT datac (663:663:663) (569:569:569))
184         (PORT datad (705:705:705) (625:625:625))
185         (IOPATH datac combout (271:271:271) (271:271:271))
186         (IOPATH datad combout (150:150:150) (150:150:150))
187       )
188     )
189   )
190   (CELL
191     (CELLTYPE "cycloneii_lcell_comb")
192     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[49\]\~22_I\\)
193     (DELAY
194       (ABSOLUTE
195         (PORT datab (670:670:670) (576:576:576))
196         (PORT datad (705:705:705) (626:626:626))
197         (IOPATH datab combout (393:393:393) (393:393:393))
198         (IOPATH datad combout (150:150:150) (150:150:150))
199       )
200     )
201   )
202   (CELL
203     (CELLTYPE "cycloneii_lcell_comb")
204     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[59\]\~638_I\\)
205     (DELAY
206       (ABSOLUTE
207         (PORT dataa (471:471:471) (404:404:404))
208         (PORT datab (446:446:446) (384:384:384))
209         (PORT datac (253:253:253) (219:219:219))
210         (PORT datad (271:271:271) (244:244:244))
211         (IOPATH dataa combout (438:438:438) (438:438:438))
212         (IOPATH datab combout (419:419:419) (419:419:419))
213         (IOPATH datac combout (275:275:275) (275:275:275))
214         (IOPATH datad combout (150:150:150) (150:150:150))
215       )
216     )
217   )
218   (CELL
219     (CELLTYPE "cycloneii_lcell_comb")
220     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[62\]\~641_I\\)
221     (DELAY
222       (ABSOLUTE
223         (PORT dataa (689:689:689) (587:587:587))
224         (PORT datab (255:255:255) (224:224:224))
225         (PORT datac (441:441:441) (374:374:374))
226         (PORT datad (274:274:274) (247:247:247))
227         (IOPATH dataa combout (437:437:437) (437:437:437))
228         (IOPATH datab combout (420:420:420) (420:420:420))
229         (IOPATH datac combout (271:271:271) (271:271:271))
230         (IOPATH datad combout (150:150:150) (150:150:150))
231       )
232     )
233   )
234   (CELL
235     (CELLTYPE "cycloneii_lcell_comb")
236     (INSTANCE \\inst\|ledstate_next\~434_I\\)
237     (DELAY
238       (ABSOLUTE
239         (PORT datac (355:355:355) (329:329:329))
240         (PORT datad (1719:1719:1719) (1734:1734:1734))
241         (IOPATH datac combout (275:275:275) (275:275:275))
242         (IOPATH datad combout (150:150:150) (150:150:150))
243       )
244     )
245   )
246   (CELL
247     (CELLTYPE "cycloneii_lcell_comb")
248     (INSTANCE \\inst\|ledstate_next\~435_I\\)
249     (DELAY
250       (ABSOLUTE
251         (PORT dataa (386:386:386) (355:355:355))
252         (PORT datab (342:342:342) (313:313:313))
253         (PORT datac (287:287:287) (263:263:263))
254         (PORT datad (485:485:485) (474:474:474))
255         (IOPATH dataa combout (438:438:438) (438:438:438))
256         (IOPATH datab combout (420:420:420) (420:420:420))
257         (IOPATH datac combout (275:275:275) (275:275:275))
258         (IOPATH datad combout (150:150:150) (150:150:150))
259       )
260     )
261   )
262   (CELL
263     (CELLTYPE "cycloneii_asynch_io")
264     (INSTANCE \\CLK\~I\\.asynch_inst)
265     (DELAY
266       (ABSOLUTE
267         (IOPATH padio combout (979:979:979) (979:979:979))
268       )
269     )
270   )
271   (CELL
272     (CELLTYPE "cycloneii_pll")
273     (INSTANCE \\inst1\|altpll_component\|pll\\)
274     (DELAY
275       (ABSOLUTE
276         (PORT inclk[0] (2013:2013:2013) (2005:2005:2005))
277       )
278     )
279   )
280   (CELL
281     (CELLTYPE "cycloneii_clkctrl")
282     (INSTANCE \\inst1\|altpll_component\|_clk0\~clkctrl_I\\)
283     (DELAY
284       (ABSOLUTE
285         (PORT inclk[0] (1091:1091:1091) (1087:1087:1087))
286       )
287     )
288   )
289   (CELL
290     (CELLTYPE "cycloneii_ena_reg")
291     (INSTANCE \\inst1\|altpll_component\|_clk0\~clkctrl_I\\.extena0_reg)
292     (DELAY
293       (ABSOLUTE
294         (PORT d (254:254:254) (254:254:254))
295         (PORT clk (0:0:0) (0:0:0))
296         (IOPATH (posedge clk) q (218:218:218) (218:218:218))
297       )
298     )
299     (TIMINGCHECK
300       (SETUP d (posedge clk) (50:50:50))
301       (HOLD d (posedge clk) (100:100:100))
302     )
303   )
304   (CELL
305     (CELLTYPE "cycloneii_lcell_comb")
306     (INSTANCE \\inst\|Add0\~100_I\\)
307     (DELAY
308       (ABSOLUTE
309         (PORT dataa (741:741:741) (648:648:648))
310         (IOPATH dataa combout (438:438:438) (438:438:438))
311         (IOPATH dataa cout (414:414:414) (414:414:414))
312         (IOPATH datad combout (150:150:150) (150:150:150))
313         (IOPATH cin combout (410:410:410) (410:410:410))
314         (IOPATH cin cout (71:71:71) (71:71:71))
315       )
316     )
317   )
318   (CELL
319     (CELLTYPE "cycloneii_lcell_comb")
320     (INSTANCE \\inst\|Add0\~102_I\\)
321     (DELAY
322       (ABSOLUTE
323         (PORT datab (713:713:713) (622:622:622))
324         (IOPATH datab combout (420:420:420) (420:420:420))
325         (IOPATH datab cout (393:393:393) (393:393:393))
326         (IOPATH datad combout (150:150:150) (150:150:150))
327         (IOPATH cin combout (410:410:410) (410:410:410))
328         (IOPATH cin cout (71:71:71) (71:71:71))
329       )
330     )
331   )
332   (CELL
333     (CELLTYPE "cycloneii_lcell_comb")
334     (INSTANCE \\inst\|Add0\~104_I\\)
335     (DELAY
336       (ABSOLUTE
337         (PORT dataa (735:735:735) (638:638:638))
338         (IOPATH dataa combout (438:438:438) (438:438:438))
339         (IOPATH dataa cout (414:414:414) (414:414:414))
340         (IOPATH datad combout (150:150:150) (150:150:150))
341         (IOPATH cin combout (410:410:410) (410:410:410))
342         (IOPATH cin cout (71:71:71) (71:71:71))
343       )
344     )
345   )
346   (CELL
347     (CELLTYPE "cycloneii_lcell_comb")
348     (INSTANCE \\inst\|Add0\~106_I\\)
349     (DELAY
350       (ABSOLUTE
351         (PORT dataa (736:736:736) (633:633:633))
352         (IOPATH dataa combout (438:438:438) (438:438:438))
353         (IOPATH dataa cout (414:414:414) (414:414:414))
354         (IOPATH datad combout (150:150:150) (150:150:150))
355         (IOPATH cin combout (410:410:410) (410:410:410))
356         (IOPATH cin cout (71:71:71) (71:71:71))
357       )
358     )
359   )
360   (CELL
361     (CELLTYPE "cycloneii_lcell_comb")
362     (INSTANCE \\inst\|Add0\~108_I\\)
363     (DELAY
364       (ABSOLUTE
365         (IOPATH cin combout (410:410:410) (410:410:410))
366       )
367     )
368   )
369   (CELL
370     (CELLTYPE "cycloneii_lcell_comb")
371     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[3\]\~18_I\\)
372     (DELAY
373       (ABSOLUTE
374         (PORT dataa (277:277:277) (240:240:240))
375         (IOPATH dataa combout (413:413:413) (413:413:413))
376         (IOPATH dataa cout (414:414:414) (414:414:414))
377         (IOPATH datad combout (150:150:150) (150:150:150))
378         (IOPATH cin combout (410:410:410) (410:410:410))
379         (IOPATH cin cout (71:71:71) (71:71:71))
380       )
381     )
382   )
383   (CELL
384     (CELLTYPE "cycloneii_lcell_comb")
385     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[7\]\~26_I\\)
386     (DELAY
387       (ABSOLUTE
388         (IOPATH cin combout (410:410:410) (410:410:410))
389       )
390     )
391   )
392   (CELL
393     (CELLTYPE "cycloneii_lcell_comb")
394     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[48\]\~31_I\\)
395     (DELAY
396       (ABSOLUTE
397         (PORT dataa (466:466:466) (440:440:440))
398         (PORT datad (522:522:522) (473:473:473))
399         (IOPATH dataa combout (438:438:438) (438:438:438))
400         (IOPATH datad combout (150:150:150) (150:150:150))
401       )
402     )
403   )
404   (CELL
405     (CELLTYPE "cycloneii_lcell_comb")
406     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[48\]\~23_I\\)
407     (DELAY
408       (ABSOLUTE
409         (PORT dataa (464:464:464) (439:439:439))
410         (PORT datad (521:521:521) (474:474:474))
411         (IOPATH dataa combout (413:413:413) (413:413:413))
412         (IOPATH datad combout (150:150:150) (150:150:150))
413       )
414     )
415   )
416   (CELL
417     (CELLTYPE "cycloneii_lcell_comb")
418     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[1\]\~18_I\\)
419     (DELAY
420       (ABSOLUTE
421         (PORT datac (247:247:247) (210:210:210))
422         (PORT datad (239:239:239) (206:206:206))
423         (IOPATH datac combout (242:242:242) (242:242:242))
424         (IOPATH datad combout (149:149:149) (149:149:149))
425       )
426     )
427   )
428   (CELL
429     (CELLTYPE "cycloneii_lcell_comb")
430     (INSTANCE \\inst\|Add0\~96_I\\)
431     (DELAY
432       (ABSOLUTE
433         (PORT dataa (505:505:505) (433:433:433))
434         (PORT datab (323:323:323) (292:292:292))
435         (IOPATH dataa combout (437:437:437) (437:437:437))
436         (IOPATH dataa cout (504:504:504) (504:504:504))
437         (IOPATH datab combout (420:420:420) (420:420:420))
438         (IOPATH datab cout (485:485:485) (485:485:485))
439         (IOPATH datad combout (150:150:150) (150:150:150))
440       )
441     )
442   )
443   (CELL
444     (CELLTYPE "cycloneii_lcell_comb")
445     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[54\]\~17_I\\)
446     (DELAY
447       (ABSOLUTE
448         (PORT datab (441:441:441) (376:376:376))
449         (PORT datad (522:522:522) (475:475:475))
450         (IOPATH datab combout (393:393:393) (393:393:393))
451         (IOPATH datad combout (150:150:150) (150:150:150))
452       )
453     )
454   )
455   (CELL
456     (CELLTYPE "cycloneii_lcell_comb")
457     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[53\]\~18_I\\)
458     (DELAY
459       (ABSOLUTE
460         (PORT datab (443:443:443) (379:379:379))
461         (PORT datad (515:515:515) (469:469:469))
462         (IOPATH datab combout (393:393:393) (393:393:393))
463         (IOPATH datad combout (150:150:150) (150:150:150))
464       )
465     )
466   )
467   (CELL
468     (CELLTYPE "cycloneii_lcell_comb")
469     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[52\]\~19_I\\)
470     (DELAY
471       (ABSOLUTE
472         (PORT datab (434:434:434) (367:367:367))
473         (PORT datad (523:523:523) (473:473:473))
474         (IOPATH datab combout (393:393:393) (393:393:393))
475         (IOPATH datad combout (150:150:150) (150:150:150))
476       )
477     )
478   )
479   (CELL
480     (CELLTYPE "cycloneii_lcell_comb")
481     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_6_result_int\[2\]\~16_I\\)
482     (DELAY
483       (ABSOLUTE
484         (PORT datab (259:259:259) (228:228:228))
485         (IOPATH datab combout (420:420:420) (420:420:420))
486         (IOPATH datab cout (393:393:393) (393:393:393))
487         (IOPATH datad combout (150:150:150) (150:150:150))
488       )
489     )
490   )
491   (CELL
492     (CELLTYPE "cycloneii_lcell_comb")
493     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[50\]\~29_I\\)
494     (DELAY
495       (ABSOLUTE
496         (PORT datac (667:667:667) (572:572:572))
497         (PORT datad (705:705:705) (626:626:626))
498         (IOPATH datac combout (275:275:275) (275:275:275))
499         (IOPATH datad combout (150:150:150) (150:150:150))
500       )
501     )
502   )
503   (CELL
504     (CELLTYPE "cycloneii_lcell_comb")
505     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[2\]\~20_I\\)
506     (DELAY
507       (ABSOLUTE
508         (PORT dataa (263:263:263) (223:223:223))
509         (PORT datab (244:244:244) (211:211:211))
510         (IOPATH dataa combout (438:438:438) (438:438:438))
511         (IOPATH dataa cout (504:504:504) (504:504:504))
512         (IOPATH datab combout (420:420:420) (420:420:420))
513         (IOPATH datab cout (485:485:485) (485:485:485))
514         (IOPATH datad combout (150:150:150) (150:150:150))
515       )
516     )
517   )
518   (CELL
519     (CELLTYPE "cycloneii_lcell_comb")
520     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[4\]\~24_I\\)
521     (DELAY
522       (ABSOLUTE
523         (PORT dataa (451:451:451) (377:377:377))
524         (PORT datab (263:263:263) (232:232:232))
525         (IOPATH dataa combout (438:438:438) (438:438:438))
526         (IOPATH dataa cout (414:414:414) (414:414:414))
527         (IOPATH datab combout (420:420:420) (420:420:420))
528         (IOPATH datab cout (393:393:393) (393:393:393))
529         (IOPATH datad combout (150:150:150) (150:150:150))
530         (IOPATH cin combout (410:410:410) (410:410:410))
531         (IOPATH cin cout (71:71:71) (71:71:71))
532       )
533     )
534   )
535   (CELL
536     (CELLTYPE "cycloneii_lcell_comb")
537     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[5\]\~26_I\\)
538     (DELAY
539       (ABSOLUTE
540         (PORT dataa (410:410:410) (376:376:376))
541         (PORT datab (441:441:441) (378:378:378))
542         (IOPATH dataa combout (438:438:438) (438:438:438))
543         (IOPATH dataa cout (414:414:414) (414:414:414))
544         (IOPATH datab combout (420:420:420) (420:420:420))
545         (IOPATH datab cout (393:393:393) (393:393:393))
546         (IOPATH datad combout (150:150:150) (150:150:150))
547         (IOPATH cin combout (410:410:410) (410:410:410))
548         (IOPATH cin cout (71:71:71) (71:71:71))
549       )
550     )
551   )
552   (CELL
553     (CELLTYPE "cycloneii_lcell_comb")
554     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[7\]\~31_I\\)
555     (DELAY
556       (ABSOLUTE
557         (PORT dataa (429:429:429) (399:399:399))
558         (PORT datab (427:427:427) (361:361:361))
559         (IOPATH dataa cout (414:414:414) (414:414:414))
560         (IOPATH datab cout (393:393:393) (393:393:393))
561         (IOPATH cin cout (71:71:71) (71:71:71))
562       )
563     )
564   )
565   (CELL
566     (CELLTYPE "cycloneii_lcell_comb")
567     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[8\]\~32_I\\)
568     (DELAY
569       (ABSOLUTE
570         (IOPATH cin combout (410:410:410) (410:410:410))
571       )
572     )
573   )
574   (CELL
575     (CELLTYPE "cycloneii_lcell_comb")
576     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[57\]\~636_I\\)
577     (DELAY
578       (ABSOLUTE
579         (PORT datab (431:431:431) (369:369:369))
580         (PORT datac (255:255:255) (219:219:219))
581         (PORT datad (682:682:682) (595:595:595))
582         (IOPATH datab combout (420:420:420) (420:420:420))
583         (IOPATH datac combout (271:271:271) (271:271:271))
584         (IOPATH datad combout (150:150:150) (150:150:150))
585       )
586     )
587   )
588   (CELL
589     (CELLTYPE "cycloneii_asynch_io")
590     (INSTANCE \\RESET\~I\\.asynch_inst)
591     (DELAY
592       (ABSOLUTE
593         (IOPATH padio combout (850:850:850) (850:850:850))
594       )
595     )
596   )
597   (CELL
598     (CELLTYPE "cycloneii_lcell_ff")
599     (INSTANCE \\inst\|counter\[1\]\~I\\)
600     (DELAY
601       (ABSOLUTE
602         (PORT clk (1555:1555:1555) (1559:1559:1559))
603         (PORT datain (84:84:84) (84:84:84))
604         (PORT sclr (6914:6914:6914) (7001:7001:7001))
605         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
606       )
607     )
608     (TIMINGCHECK
609       (HOLD datain (posedge clk) (266:266:266))
610       (HOLD sclr (posedge clk) (266:266:266))
611     )
612   )
613   (CELL
614     (CELLTYPE "cycloneii_lcell_comb")
615     (INSTANCE \\inst\|Add0\~98_I\\)
616     (DELAY
617       (ABSOLUTE
618         (PORT datab (316:316:316) (283:283:283))
619         (IOPATH datab combout (420:420:420) (420:420:420))
620         (IOPATH datab cout (393:393:393) (393:393:393))
621         (IOPATH datad combout (150:150:150) (150:150:150))
622         (IOPATH cin combout (410:410:410) (410:410:410))
623         (IOPATH cin cout (71:71:71) (71:71:71))
624       )
625     )
626   )
627   (CELL
628     (CELLTYPE "cycloneii_lcell_comb")
629     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[49\]\~30_I\\)
630     (DELAY
631       (ABSOLUTE
632         (PORT datab (670:670:670) (576:576:576))
633         (PORT datad (706:706:706) (625:625:625))
634         (IOPATH datab combout (420:420:420) (420:420:420))
635         (IOPATH datad combout (150:150:150) (150:150:150))
636       )
637     )
638   )
639   (CELL
640     (CELLTYPE "cycloneii_lcell_comb")
641     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[58\]\~639_I\\)
642     (DELAY
643       (ABSOLUTE
644         (PORT dataa (276:276:276) (238:238:238))
645         (PORT datac (703:703:703) (658:658:658))
646         (PORT datad (680:680:680) (592:592:592))
647         (IOPATH dataa combout (438:438:438) (438:438:438))
648         (IOPATH datac combout (275:275:275) (275:275:275))
649         (IOPATH datad combout (150:150:150) (150:150:150))
650       )
651     )
652   )
653   (CELL
654     (CELLTYPE "cycloneii_lcell_ff")
655     (INSTANCE \\inst\|counter\[2\]\~I\\)
656     (DELAY
657       (ABSOLUTE
658         (PORT clk (1555:1555:1555) (1559:1559:1559))
659         (PORT datain (84:84:84) (84:84:84))
660         (PORT sclr (6914:6914:6914) (7001:7001:7001))
661         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
662       )
663     )
664     (TIMINGCHECK
665       (HOLD datain (posedge clk) (266:266:266))
666       (HOLD sclr (posedge clk) (266:266:266))
667     )
668   )
669   (CELL
670     (CELLTYPE "cycloneii_lcell_comb")
671     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[51\]\~28_I\\)
672     (DELAY
673       (ABSOLUTE
674         (PORT datac (661:661:661) (567:567:567))
675         (PORT datad (706:706:706) (625:625:625))
676         (IOPATH datac combout (275:275:275) (275:275:275))
677         (IOPATH datad combout (150:150:150) (150:150:150))
678       )
679     )
680   )
681   (CELL
682     (CELLTYPE "cycloneii_lcell_comb")
683     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[51\]\~20_I\\)
684     (DELAY
685       (ABSOLUTE
686         (PORT datac (442:442:442) (375:375:375))
687         (PORT datad (515:515:515) (470:470:470))
688         (IOPATH datac combout (271:271:271) (271:271:271))
689         (IOPATH datad combout (150:150:150) (150:150:150))
690       )
691     )
692   )
693   (CELL
694     (CELLTYPE "cycloneii_lcell_comb")
695     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[60\]\~642_I\\)
696     (DELAY
697       (ABSOLUTE
698         (PORT dataa (469:469:469) (404:404:404))
699         (PORT datab (256:256:256) (226:226:226))
700         (PORT datac (908:908:908) (799:799:799))
701         (PORT datad (274:274:274) (247:247:247))
702         (IOPATH dataa combout (437:437:437) (437:437:437))
703         (IOPATH datab combout (420:420:420) (420:420:420))
704         (IOPATH datac combout (271:271:271) (271:271:271))
705         (IOPATH datad combout (150:150:150) (150:150:150))
706       )
707     )
708   )
709   (CELL
710     (CELLTYPE "cycloneii_lcell_ff")
711     (INSTANCE \\inst\|counter\[4\]\~I\\)
712     (DELAY
713       (ABSOLUTE
714         (PORT clk (1557:1557:1557) (1559:1559:1559))
715         (PORT datain (84:84:84) (84:84:84))
716         (PORT sclr (6919:6919:6919) (7003:7003:7003))
717         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
718       )
719     )
720     (TIMINGCHECK
721       (HOLD datain (posedge clk) (266:266:266))
722       (HOLD sclr (posedge clk) (266:266:266))
723     )
724   )
725   (CELL
726     (CELLTYPE "cycloneii_lcell_comb")
727     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[61\]\~640_I\\)
728     (DELAY
729       (ABSOLUTE
730         (PORT dataa (463:463:463) (399:399:399))
731         (PORT datab (444:444:444) (381:381:381))
732         (PORT datac (253:253:253) (219:219:219))
733         (PORT datad (273:273:273) (246:246:246))
734         (IOPATH dataa combout (438:438:438) (438:438:438))
735         (IOPATH datab combout (419:419:419) (419:419:419))
736         (IOPATH datac combout (275:275:275) (275:275:275))
737         (IOPATH datad combout (150:150:150) (150:150:150))
738       )
739     )
740   )
741   (CELL
742     (CELLTYPE "cycloneii_lcell_ff")
743     (INSTANCE \\inst\|counter\[5\]\~I\\)
744     (DELAY
745       (ABSOLUTE
746         (PORT clk (1557:1557:1557) (1559:1559:1559))
747         (PORT datain (84:84:84) (84:84:84))
748         (PORT sclr (6919:6919:6919) (7003:7003:7003))
749         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
750       )
751     )
752     (TIMINGCHECK
753       (HOLD datain (posedge clk) (266:266:266))
754       (HOLD sclr (posedge clk) (266:266:266))
755     )
756   )
757   (CELL
758     (CELLTYPE "cycloneii_lcell_comb")
759     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|add_sub_7_result_int\[0\]\~34_I\\)
760     (DELAY
761       (ABSOLUTE
762         (PORT datad (507:507:507) (450:450:450))
763         (IOPATH datad combout (150:150:150) (150:150:150))
764       )
765     )
766   )
767   (CELL
768     (CELLTYPE "cycloneii_lcell_comb")
769     (INSTANCE \\inst\|Mod0\|auto_generated\|divider\|divider\|StageOut\[56\]\~637_I\\)
770     (DELAY
771       (ABSOLUTE
772         (PORT datab (427:427:427) (362:362:362))
773         (PORT datad (679:679:679) (592:592:592))
774         (IOPATH datab combout (420:420:420) (420:420:420))
775         (IOPATH datac combout (323:323:323) (323:323:323))
776         (IOPATH datad combout (150:150:150) (150:150:150))
777       )
778     )
779   )
780   (CELL
781     (CELLTYPE "cycloneii_lcell_ff")
782     (INSTANCE \\inst\|counter\[0\]\~I\\)
783     (DELAY
784       (ABSOLUTE
785         (PORT clk (1555:1555:1555) (1559:1559:1559))
786         (PORT datain (84:84:84) (84:84:84))
787         (PORT sclr (6914:6914:6914) (7001:7001:7001))
788         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
789       )
790     )
791     (TIMINGCHECK
792       (HOLD datain (posedge clk) (266:266:266))
793       (HOLD sclr (posedge clk) (266:266:266))
794     )
795   )
796   (CELL
797     (CELLTYPE "cycloneii_lcell_comb")
798     (INSTANCE \\inst\|Equal1\~58_I\\)
799     (DELAY
800       (ABSOLUTE
801         (PORT dataa (748:748:748) (656:656:656))
802         (PORT datab (513:513:513) (455:455:455))
803         (PORT datac (493:493:493) (428:428:428))
804         (PORT datad (471:471:471) (450:450:450))
805         (IOPATH dataa combout (398:398:398) (398:398:398))
806         (IOPATH datab combout (415:415:415) (415:415:415))
807         (IOPATH datac combout (275:275:275) (275:275:275))
808         (IOPATH datad combout (150:150:150) (150:150:150))
809       )
810     )
811   )
812   (CELL
813     (CELLTYPE "cycloneii_lcell_comb")
814     (INSTANCE \\inst\|Equal1\~59_I\\)
815     (DELAY
816       (ABSOLUTE
817         (PORT dataa (1013:1013:1013) (942:942:942))
818         (PORT datab (1390:1390:1390) (1216:1216:1216))
819         (PORT datac (735:735:735) (647:647:647))
820         (PORT datad (246:246:246) (217:217:217))
821         (IOPATH dataa combout (413:413:413) (413:413:413))
822         (IOPATH datab combout (371:371:371) (371:371:371))
823         (IOPATH datac combout (275:275:275) (275:275:275))
824         (IOPATH datad combout (150:150:150) (150:150:150))
825       )
826     )
827   )
828   (CELL
829     (CELLTYPE "cycloneii_lcell_comb")
830     (INSTANCE \\inst\|knightlight\~1269_I\\)
831     (DELAY
832       (ABSOLUTE
833         (PORT dataa (386:386:386) (355:355:355))
834         (PORT datac (364:364:364) (338:338:338))
835         (PORT datad (337:337:337) (311:311:311))
836         (IOPATH dataa combout (413:413:413) (413:413:413))
837         (IOPATH datac combout (271:271:271) (271:271:271))
838         (IOPATH datad combout (150:150:150) (150:150:150))
839       )
840     )
841   )
842   (CELL
843     (CELLTYPE "cycloneii_lcell_comb")
844     (INSTANCE \\inst\|knightlight\~1270_I\\)
845     (DELAY
846       (ABSOLUTE
847         (PORT datab (257:257:257) (227:227:227))
848         (PORT datad (1718:1718:1718) (1734:1734:1734))
849         (IOPATH datab combout (419:419:419) (419:419:419))
850         (IOPATH datac combout (323:323:323) (323:323:323))
851         (IOPATH datad combout (150:150:150) (150:150:150))
852       )
853     )
854   )
855   (CELL
856     (CELLTYPE "cycloneii_lcell_ff")
857     (INSTANCE \\inst\|knightlight\[4\]\~I\\)
858     (DELAY
859       (ABSOLUTE
860         (PORT clk (1507:1507:1507) (1511:1511:1511))
861         (PORT datain (84:84:84) (84:84:84))
862         (PORT sclr (7184:7184:7184) (7134:7134:7134))
863         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
864       )
865     )
866     (TIMINGCHECK
867       (HOLD datain (posedge clk) (266:266:266))
868       (HOLD sclr (posedge clk) (266:266:266))
869     )
870   )
871   (CELL
872     (CELLTYPE "cycloneii_lcell_comb")
873     (INSTANCE \\inst\|knightlight\~1271_I\\)
874     (DELAY
875       (ABSOLUTE
876         (PORT datab (2137:2137:2137) (2036:2036:2036))
877         (PORT datac (367:367:367) (341:341:341))
878         (PORT datad (335:335:335) (308:308:308))
879         (IOPATH datab combout (420:420:420) (420:420:420))
880         (IOPATH datac combout (271:271:271) (271:271:271))
881         (IOPATH datad combout (150:150:150) (150:150:150))
882       )
883     )
884   )
885   (CELL
886     (CELLTYPE "cycloneii_lcell_comb")
887     (INSTANCE \\inst\|knightlight\~1272_I\\)
888     (DELAY
889       (ABSOLUTE
890         (PORT datab (1720:1720:1720) (1737:1737:1737))
891         (PORT datad (263:263:263) (235:235:235))
892         (IOPATH datab combout (393:393:393) (393:393:393))
893         (IOPATH datac combout (323:323:323) (323:323:323))
894         (IOPATH datad combout (150:150:150) (150:150:150))
895       )
896     )
897   )
898   (CELL
899     (CELLTYPE "cycloneii_lcell_ff")
900     (INSTANCE \\inst\|knightlight\[3\]\~I\\)
901     (DELAY
902       (ABSOLUTE
903         (PORT clk (1507:1507:1507) (1511:1511:1511))
904         (PORT datain (84:84:84) (84:84:84))
905         (PORT sclr (7184:7184:7184) (7134:7134:7134))
906         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
907       )
908     )
909     (TIMINGCHECK
910       (HOLD datain (posedge clk) (266:266:266))
911       (HOLD sclr (posedge clk) (266:266:266))
912     )
913   )
914   (CELL
915     (CELLTYPE "cycloneii_lcell_comb")
916     (INSTANCE \\inst\|knightlight\~1273_I\\)
917     (DELAY
918       (ABSOLUTE
919         (PORT dataa (338:338:338) (302:302:302))
920         (PORT datab (2407:2407:2407) (2342:2342:2342))
921         (PORT datac (2220:2220:2220) (2030:2030:2030))
922         (IOPATH dataa combout (438:438:438) (438:438:438))
923         (IOPATH datab combout (420:420:420) (420:420:420))
924         (IOPATH datac combout (275:275:275) (275:275:275))
925       )
926     )
927   )
928   (CELL
929     (CELLTYPE "cycloneii_lcell_comb")
930     (INSTANCE \\inst\|knightlight\~1274_I\\)
931     (DELAY
932       (ABSOLUTE
933         (PORT dataa (287:287:287) (251:251:251))
934         (PORT datad (240:240:240) (210:210:210))
935         (IOPATH dataa combout (413:413:413) (413:413:413))
936         (IOPATH datac combout (323:323:323) (323:323:323))
937         (IOPATH datad combout (150:150:150) (150:150:150))
938       )
939     )
940   )
941   (CELL
942     (CELLTYPE "cycloneii_lcell_ff")
943     (INSTANCE \\inst\|knightlight\[2\]\~I\\)
944     (DELAY
945       (ABSOLUTE
946         (PORT clk (1554:1554:1554) (1557:1557:1557))
947         (PORT datain (84:84:84) (84:84:84))
948         (PORT sload (7065:7065:7065) (7149:7149:7149))
949         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
950       )
951     )
952     (TIMINGCHECK
953       (HOLD datain (posedge clk) (266:266:266))
954       (HOLD sload (posedge clk) (266:266:266))
955       (HOLD sdata (posedge clk) (266:266:266))
956     )
957   )
958   (CELL
959     (CELLTYPE "cycloneii_lcell_comb")
960     (INSTANCE \\inst\|knightlight\~1277_I\\)
961     (DELAY
962       (ABSOLUTE
963         (PORT dataa (283:283:283) (247:247:247))
964         (PORT datab (2206:2206:2206) (2010:2010:2010))
965         (PORT datad (514:514:514) (461:461:461))
966         (IOPATH dataa combout (410:410:410) (410:410:410))
967         (IOPATH datab combout (415:415:415) (415:415:415))
968         (IOPATH datac combout (323:323:323) (323:323:323))
969         (IOPATH datad combout (150:150:150) (150:150:150))
970       )
971     )
972   )
973   (CELL
974     (CELLTYPE "cycloneii_lcell_ff")
975     (INSTANCE \\inst\|knightlight\[0\]\~I\\)
976     (DELAY
977       (ABSOLUTE
978         (PORT clk (1554:1554:1554) (1557:1557:1557))
979         (PORT datain (84:84:84) (84:84:84))
980         (PORT sload (7065:7065:7065) (7149:7149:7149))
981         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
982       )
983     )
984     (TIMINGCHECK
985       (HOLD datain (posedge clk) (266:266:266))
986       (HOLD sload (posedge clk) (266:266:266))
987       (HOLD sdata (posedge clk) (266:266:266))
988     )
989   )
990   (CELL
991     (CELLTYPE "cycloneii_lcell_comb")
992     (INSTANCE \\inst\|knightlight\~1275_I\\)
993     (DELAY
994       (ABSOLUTE
995         (PORT datab (310:310:310) (278:278:278))
996         (PORT datac (2223:2223:2223) (2032:2032:2032))
997         (PORT datad (319:319:319) (291:291:291))
998         (IOPATH datab combout (419:419:419) (419:419:419))
999         (IOPATH datac combout (275:275:275) (275:275:275))
1000         (IOPATH datad combout (150:150:150) (150:150:150))
1001       )
1002     )
1003   )
1004   (CELL
1005     (CELLTYPE "cycloneii_lcell_comb")
1006     (INSTANCE \\inst\|knightlight\~1276_I\\)
1007     (DELAY
1008       (ABSOLUTE
1009         (PORT dataa (284:284:284) (247:247:247))
1010         (PORT datad (251:251:251) (221:221:221))
1011         (IOPATH dataa combout (413:413:413) (413:413:413))
1012         (IOPATH datac combout (323:323:323) (323:323:323))
1013         (IOPATH datad combout (150:150:150) (150:150:150))
1014       )
1015     )
1016   )
1017   (CELL
1018     (CELLTYPE "cycloneii_lcell_ff")
1019     (INSTANCE \\inst\|knightlight\[1\]\~I\\)
1020     (DELAY
1021       (ABSOLUTE
1022         (PORT clk (1554:1554:1554) (1557:1557:1557))
1023         (PORT datain (84:84:84) (84:84:84))
1024         (PORT sload (7065:7065:7065) (7149:7149:7149))
1025         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
1026       )
1027     )
1028     (TIMINGCHECK
1029       (HOLD datain (posedge clk) (266:266:266))
1030       (HOLD sload (posedge clk) (266:266:266))
1031       (HOLD sdata (posedge clk) (266:266:266))
1032     )
1033   )
1034   (CELL
1035     (CELLTYPE "cycloneii_lcell_comb")
1036     (INSTANCE \\inst\|ledstate_next\~431_I\\)
1037     (DELAY
1038       (ABSOLUTE
1039         (PORT datab (2138:2138:2138) (2036:2036:2036))
1040         (PORT datac (335:335:335) (303:303:303))
1041         (PORT datad (334:334:334) (308:308:308))
1042         (IOPATH datab combout (419:419:419) (419:419:419))
1043         (IOPATH datac combout (271:271:271) (271:271:271))
1044         (IOPATH datad combout (150:150:150) (150:150:150))
1045       )
1046     )
1047   )
1048   (CELL
1049     (CELLTYPE "cycloneii_lcell_comb")
1050     (INSTANCE \\inst\|ledstate_next\~432_I\\)
1051     (DELAY
1052       (ABSOLUTE
1053         (PORT dataa (376:376:376) (347:347:347))
1054         (PORT datab (2137:2137:2137) (2036:2036:2036))
1055         (PORT datac (1997:1997:1997) (1837:1837:1837))
1056         (PORT datad (243:243:243) (213:213:213))
1057         (IOPATH dataa combout (437:437:437) (437:437:437))
1058         (IOPATH datab combout (419:419:419) (419:419:419))
1059         (IOPATH datac combout (275:275:275) (275:275:275))
1060         (IOPATH datad combout (150:150:150) (150:150:150))
1061       )
1062     )
1063   )
1064   (CELL
1065     (CELLTYPE "cycloneii_lcell_comb")
1066     (INSTANCE \\inst\|ledstate_next\~433_I\\)
1067     (DELAY
1068       (ABSOLUTE
1069         (PORT datab (321:321:321) (290:290:290))
1070         (PORT datad (2402:2402:2402) (2339:2339:2339))
1071         (IOPATH datab combout (420:420:420) (420:420:420))
1072         (IOPATH datad combout (149:149:149) (149:149:149))
1073       )
1074     )
1075   )
1076   (CELL
1077     (CELLTYPE "cycloneii_lcell_comb")
1078     (INSTANCE \\inst\|ledstate_next\~436_I\\)
1079     (DELAY
1080       (ABSOLUTE
1081         (PORT dataa (269:269:269) (230:230:230))
1082         (PORT datab (247:247:247) (214:214:214))
1083         (PORT datad (1903:1903:1903) (1731:1731:1731))
1084         (IOPATH dataa combout (438:438:438) (438:438:438))
1085         (IOPATH datab combout (420:420:420) (420:420:420))
1086         (IOPATH datac combout (323:323:323) (323:323:323))
1087         (IOPATH datad combout (150:150:150) (150:150:150))
1088       )
1089     )
1090   )
1091   (CELL
1092     (CELLTYPE "cycloneii_lcell_ff")
1093     (INSTANCE \\inst\|ledstate\~I\\)
1094     (DELAY
1095       (ABSOLUTE
1096         (PORT clk (1507:1507:1507) (1511:1511:1511))
1097         (PORT datain (84:84:84) (84:84:84))
1098         (PORT sclr (7184:7184:7184) (7134:7134:7134))
1099         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
1100       )
1101     )
1102     (TIMINGCHECK
1103       (HOLD datain (posedge clk) (266:266:266))
1104       (HOLD sclr (posedge clk) (266:266:266))
1105     )
1106   )
1107   (CELL
1108     (CELLTYPE "cycloneii_lcell_comb")
1109     (INSTANCE \\inst\|knightlight\~1267_I\\)
1110     (DELAY
1111       (ABSOLUTE
1112         (PORT datab (329:329:329) (299:299:299))
1113         (PORT datac (375:375:375) (347:347:347))
1114         (PORT datad (336:336:336) (310:310:310))
1115         (IOPATH datab combout (419:419:419) (419:419:419))
1116         (IOPATH datac combout (275:275:275) (275:275:275))
1117         (IOPATH datad combout (150:150:150) (150:150:150))
1118       )
1119     )
1120   )
1121   (CELL
1122     (CELLTYPE "cycloneii_lcell_comb")
1123     (INSTANCE \\inst\|knightlight\~1268_I\\)
1124     (DELAY
1125       (ABSOLUTE
1126         (PORT datab (1719:1719:1719) (1736:1736:1736))
1127         (PORT datad (248:248:248) (219:219:219))
1128         (IOPATH datab combout (393:393:393) (393:393:393))
1129         (IOPATH datac combout (323:323:323) (323:323:323))
1130         (IOPATH datad combout (150:150:150) (150:150:150))
1131       )
1132     )
1133   )
1134   (CELL
1135     (CELLTYPE "cycloneii_lcell_ff")
1136     (INSTANCE \\inst\|knightlight\[5\]\~I\\)
1137     (DELAY
1138       (ABSOLUTE
1139         (PORT clk (1507:1507:1507) (1511:1511:1511))
1140         (PORT datain (84:84:84) (84:84:84))
1141         (PORT sclr (7184:7184:7184) (7134:7134:7134))
1142         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
1143       )
1144     )
1145     (TIMINGCHECK
1146       (HOLD datain (posedge clk) (266:266:266))
1147       (HOLD sclr (posedge clk) (266:266:266))
1148     )
1149   )
1150   (CELL
1151     (CELLTYPE "cycloneii_lcell_comb")
1152     (INSTANCE \\inst\|knightlight\~1265_I\\)
1153     (DELAY
1154       (ABSOLUTE
1155         (PORT datab (341:341:341) (313:313:313))
1156         (PORT datac (373:373:373) (346:346:346))
1157         (PORT datad (485:485:485) (473:473:473))
1158         (IOPATH datab combout (420:420:420) (420:420:420))
1159         (IOPATH datac combout (271:271:271) (271:271:271))
1160         (IOPATH datad combout (150:150:150) (150:150:150))
1161       )
1162     )
1163   )
1164   (CELL
1165     (CELLTYPE "cycloneii_lcell_comb")
1166     (INSTANCE \\inst\|knightlight\~1266_I\\)
1167     (DELAY
1168       (ABSOLUTE
1169         (PORT datab (1722:1722:1722) (1739:1739:1739))
1170         (PORT datad (247:247:247) (217:217:217))
1171         (IOPATH datab combout (393:393:393) (393:393:393))
1172         (IOPATH datac combout (323:323:323) (323:323:323))
1173         (IOPATH datad combout (150:150:150) (150:150:150))
1174       )
1175     )
1176   )
1177   (CELL
1178     (CELLTYPE "cycloneii_lcell_ff")
1179     (INSTANCE \\inst\|knightlight\[6\]\~I\\)
1180     (DELAY
1181       (ABSOLUTE
1182         (PORT clk (1507:1507:1507) (1511:1511:1511))
1183         (PORT datain (84:84:84) (84:84:84))
1184         (PORT sclr (7184:7184:7184) (7134:7134:7134))
1185         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
1186       )
1187     )
1188     (TIMINGCHECK
1189       (HOLD datain (posedge clk) (266:266:266))
1190       (HOLD sclr (posedge clk) (266:266:266))
1191     )
1192   )
1193   (CELL
1194     (CELLTYPE "cycloneii_lcell_comb")
1195     (INSTANCE \\inst\|knightlight\~1264_I\\)
1196     (DELAY
1197       (ABSOLUTE
1198         (PORT dataa (386:386:386) (354:354:354))
1199         (PORT datab (330:330:330) (301:301:301))
1200         (PORT datad (1719:1719:1719) (1735:1735:1735))
1201         (IOPATH dataa combout (438:438:438) (438:438:438))
1202         (IOPATH datab combout (419:419:419) (419:419:419))
1203         (IOPATH datac combout (323:323:323) (323:323:323))
1204         (IOPATH datad combout (150:150:150) (150:150:150))
1205       )
1206     )
1207   )
1208   (CELL
1209     (CELLTYPE "cycloneii_lcell_ff")
1210     (INSTANCE \\inst\|knightlight\[7\]\~I\\)
1211     (DELAY
1212       (ABSOLUTE
1213         (PORT clk (1507:1507:1507) (1511:1511:1511))
1214         (PORT datain (84:84:84) (84:84:84))
1215         (PORT sclr (7184:7184:7184) (7134:7134:7134))
1216         (IOPATH (posedge clk) regout (250:250:250) (250:250:250))
1217       )
1218     )
1219     (TIMINGCHECK
1220       (HOLD datain (posedge clk) (266:266:266))
1221       (HOLD sclr (posedge clk) (266:266:266))
1222     )
1223   )
1224   (CELL
1225     (CELLTYPE "cycloneii_asynch_io")
1226     (INSTANCE \\LEDS\[7\]\~I\\.asynch_inst)
1227     (DELAY
1228       (ABSOLUTE
1229         (PORT datain (4263:4263:4263) (4176:4176:4176))
1230         (IOPATH datain padio (2632:2632:2632) (2632:2632:2632))
1231       )
1232     )
1233   )
1234   (CELL
1235     (CELLTYPE "cycloneii_asynch_io")
1236     (INSTANCE \\LEDS\[6\]\~I\\.asynch_inst)
1237     (DELAY
1238       (ABSOLUTE
1239         (PORT datain (3010:3010:3010) (2978:2978:2978))
1240         (IOPATH datain padio (2642:2642:2642) (2642:2642:2642))
1241       )
1242     )
1243   )
1244   (CELL
1245     (CELLTYPE "cycloneii_asynch_io")
1246     (INSTANCE \\LEDS\[5\]\~I\\.asynch_inst)
1247     (DELAY
1248       (ABSOLUTE
1249         (PORT datain (3047:3047:3047) (3072:3072:3072))
1250         (IOPATH datain padio (2632:2632:2632) (2632:2632:2632))
1251       )
1252     )
1253   )
1254   (CELL
1255     (CELLTYPE "cycloneii_asynch_io")
1256     (INSTANCE \\LEDS\[4\]\~I\\.asynch_inst)
1257     (DELAY
1258       (ABSOLUTE
1259         (PORT datain (4383:4383:4383) (4424:4424:4424))
1260         (IOPATH datain padio (2642:2642:2642) (2642:2642:2642))
1261       )
1262     )
1263   )
1264   (CELL
1265     (CELLTYPE "cycloneii_asynch_io")
1266     (INSTANCE \\LEDS\[3\]\~I\\.asynch_inst)
1267     (DELAY
1268       (ABSOLUTE
1269         (PORT datain (3538:3538:3538) (3534:3534:3534))
1270         (IOPATH datain padio (2642:2642:2642) (2642:2642:2642))
1271       )
1272     )
1273   )
1274   (CELL
1275     (CELLTYPE "cycloneii_asynch_io")
1276     (INSTANCE \\LEDS\[2\]\~I\\.asynch_inst)
1277     (DELAY
1278       (ABSOLUTE
1279         (PORT datain (6134:6134:6134) (6236:6236:6236))
1280         (IOPATH datain padio (2632:2632:2632) (2632:2632:2632))
1281       )
1282     )
1283   )
1284   (CELL
1285     (CELLTYPE "cycloneii_asynch_io")
1286     (INSTANCE \\LEDS\[1\]\~I\\.asynch_inst)
1287     (DELAY
1288       (ABSOLUTE
1289         (PORT datain (5949:5949:5949) (6019:6019:6019))
1290         (IOPATH datain padio (2632:2632:2632) (2632:2632:2632))
1291       )
1292     )
1293   )
1294   (CELL
1295     (CELLTYPE "cycloneii_asynch_io")
1296     (INSTANCE \\LEDS\[0\]\~I\\.asynch_inst)
1297     (DELAY
1298       (ABSOLUTE
1299         (PORT datain (6268:6268:6268) (6365:6365:6365))
1300         (IOPATH datain padio (2622:2622:2622) (2622:2622:2622))
1301       )
1302     )
1303   )
1304 )