one place for all my love
[hwmod.git] / demo / quartus / demo_nativelink_simulation.rpt
1 Info: Start Nativelink Simulation process
2 Info: NativeLink has detected VHDL design -- VHDL simulation models will be used
3
4 ========= EDA Simulation Settings =====================
5
6 Sim Mode              :  RTL
7 Family                :  cycloneii
8 Quartus root          :  /opt/quartus/linux/
9 Quartus sim root      :  /opt/quartus/eda/sim_lib
10 Simulation Tool       :  modelsim
11 Simulation Language   :  vhdl
12 Version               :  93
13 Simulation Mode       :  GUI
14 Sim Output File       :  demo.vho
15 Sim SDF file          :  demo__vhdl.sdo
16 Sim dir               :  simulation/modelsim
17
18 =======================================================
19
20 Info: Starting NativeLink simulation with ModelSim software
21 Sourced NativeLink script /opt/quartus/common/tcl/internal/nativelink/modelsim.tcl
22 Probing transcript
23 ModelSim Info: # //  ModelSim SE-64 6.3 May  4 2007 Linux 2.6.18-92.1.10.el5
24 ModelSim Info: # //
25 ModelSim Info: # //  Copyright 1991-2007 Mentor Graphics Corporation
26 ModelSim Info: # //              All Rights Reserved.
27 ModelSim Info: # //
28 ModelSim Info: # //  THIS WORK CONTAINS TRADE SECRET AND 
29 ModelSim Info: # //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
30 ModelSim Info: # //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
31 ModelSim Info: # //  AND IS SUBJECT TO LICENSE TERMS.
32 ModelSim Info: # //
33 ModelSim Info: # do demo_run_msim_rtl_vhdl.do 
34 ModelSim Info: # if ![file isdirectory vhdl_libs] {
35 ModelSim Info: #        file mkdir vhdl_libs
36 ModelSim Info: # }
37 ModelSim Info: # 
38 ModelSim Info: # vlib vhdl_libs/lpm
39 ModelSim Info: # vmap lpm vhdl_libs/lpm
40 ModelSim Info: # Copying /opt/modelsim/modeltech/linux_x86_64/../modelsim.ini to modelsim.ini
41 ModelSim Info: # Modifying modelsim.ini
42 ModelSim Warning: # ** Warning: Copied /opt/modelsim/modeltech/linux_x86_64/../modelsim.ini to modelsim.ini.
43 ModelSim Info: #          Updated modelsim.ini.
44 ModelSim Info: # vcom -work lpm /opt/quartus/eda/sim_lib/220pack.vhd
45 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
46 ModelSim Info: # -- Loading package standard
47 ModelSim Info: # -- Loading package std_logic_1164
48 ModelSim Info: # -- Compiling package lpm_components
49 ModelSim Info: # vcom -work lpm /opt/quartus/eda/sim_lib/220model.vhd
50 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
51 ModelSim Info: # -- Loading package standard
52 ModelSim Info: # -- Loading package std_logic_1164
53 ModelSim Info: # -- Loading package textio
54 ModelSim Info: # -- Compiling package lpm_common_conversion
55 ModelSim Info: # -- Compiling package body lpm_common_conversion
56 ModelSim Info: # -- Loading package lpm_common_conversion
57 ModelSim Info: # -- Compiling package lpm_hint_evaluation
58 ModelSim Info: # -- Compiling package body lpm_hint_evaluation
59 ModelSim Info: # -- Loading package lpm_hint_evaluation
60 ModelSim Info: # -- Compiling package lpm_device_families
61 ModelSim Info: # -- Compiling package body lpm_device_families
62 ModelSim Info: # -- Loading package lpm_device_families
63 ModelSim Info: # -- Loading package std_logic_arith
64 ModelSim Info: # -- Loading package std_logic_unsigned
65 ModelSim Info: # -- Loading package lpm_components
66 ModelSim Info: # -- Compiling entity lpm_constant
67 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_constant
68 ModelSim Info: # -- Compiling entity lpm_inv
69 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inv
70 ModelSim Info: # -- Compiling entity lpm_and
71 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_and
72 ModelSim Info: # -- Compiling entity lpm_or
73 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_or
74 ModelSim Info: # -- Compiling entity lpm_xor
75 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_xor
76 ModelSim Info: # -- Compiling entity lpm_bustri
77 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bustri
78 ModelSim Info: # -- Compiling entity lpm_mux
79 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mux
80 ModelSim Info: # -- Compiling entity lpm_decode
81 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_decode
82 ModelSim Info: # -- Compiling entity lpm_clshift
83 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_clshift
84 ModelSim Info: # -- Loading package std_logic_signed
85 ModelSim Info: # -- Compiling entity lpm_add_sub_signed
86 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_signed
87 ModelSim Info: # -- Compiling entity lpm_add_sub_unsigned
88 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_unsigned
89 ModelSim Info: # -- Loading entity lpm_add_sub_signed
90 ModelSim Info: # -- Loading entity lpm_add_sub_unsigned
91 ModelSim Info: # -- Compiling entity lpm_add_sub
92 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub
93 ModelSim Info: # -- Compiling entity lpm_compare_signed
94 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_signed
95 ModelSim Info: # -- Compiling entity lpm_compare_unsigned
96 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_unsigned
97 ModelSim Info: # -- Loading entity lpm_compare_signed
98 ModelSim Info: # -- Loading entity lpm_compare_unsigned
99 ModelSim Info: # -- Compiling entity lpm_compare
100 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare
101 ModelSim Info: # -- Loading package lpm_hint_evaluation
102 ModelSim Info: # -- Compiling entity lpm_mult
103 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mult
104 ModelSim Info: # -- Compiling entity lpm_divide
105 ModelSim Info: # -- Compiling architecture behave of lpm_divide
106 ModelSim Info: # -- Compiling entity lpm_abs
107 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_abs
108 ModelSim Info: # -- Loading package lpm_common_conversion
109 ModelSim Info: # -- Compiling entity lpm_counter
110 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_counter
111 ModelSim Info: # -- Compiling entity lpm_latch
112 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_latch
113 ModelSim Info: # -- Compiling entity lpm_ff
114 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ff
115 ModelSim Info: # -- Compiling entity lpm_shiftreg
116 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_shiftreg
117 ModelSim Info: # -- Loading package lpm_device_families
118 ModelSim Info: # -- Compiling entity lpm_ram_dq
119 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dq
120 ModelSim Info: # -- Compiling entity lpm_ram_dp
121 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dp
122 ModelSim Info: # -- Compiling entity lpm_ram_io
123 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_io
124 ModelSim Info: # -- Compiling entity lpm_rom
125 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_rom
126 ModelSim Info: # -- Compiling entity lpm_fifo
127 ModelSim Info: # -- Compiling architecture behavior of lpm_fifo
128 ModelSim Info: # -- Compiling entity lpm_fifo_dc_dffpipe
129 ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_dffpipe
130 ModelSim Info: # -- Compiling entity lpm_fifo_dc_fefifo
131 ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_fefifo
132 ModelSim Info: # -- Loading entity lpm_fifo_dc_fefifo
133 ModelSim Info: # -- Loading entity lpm_fifo_dc_dffpipe
134 ModelSim Info: # -- Compiling entity lpm_fifo_dc_async
135 ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_async
136 ModelSim Info: # -- Loading entity lpm_fifo_dc_async
137 ModelSim Info: # -- Compiling entity lpm_fifo_dc
138 ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc
139 ModelSim Info: # -- Compiling entity lpm_inpad
140 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inpad
141 ModelSim Info: # -- Compiling entity lpm_outpad
142 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_outpad
143 ModelSim Info: # -- Compiling entity lpm_bipad
144 ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bipad
145 ModelSim Info: # 
146 ModelSim Info: # vlib vhdl_libs/altera
147 ModelSim Info: # vmap altera vhdl_libs/altera
148 ModelSim Info: # Modifying modelsim.ini
149 ModelSim Info: # vcom -work altera /opt/quartus/eda/sim_lib/altera_primitives_components.vhd
150 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
151 ModelSim Info: # -- Loading package standard
152 ModelSim Info: # -- Loading package std_logic_1164
153 ModelSim Info: # -- Loading package vital_timing
154 ModelSim Info: # -- Loading package vital_primitives
155 ModelSim Info: # -- Compiling package dffeas_pack
156 ModelSim Info: # -- Loading package dffeas_pack
157 ModelSim Info: # -- Compiling package altera_primitives_components
158 ModelSim Info: # vcom -work altera /opt/quartus/eda/sim_lib/altera_primitives.vhd
159 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
160 ModelSim Info: # -- Loading package standard
161 ModelSim Info: # -- Loading package std_logic_1164
162 ModelSim Info: # -- Compiling entity global
163 ModelSim Info: # -- Compiling architecture behavior of global
164 ModelSim Info: # -- Compiling entity carry
165 ModelSim Info: # -- Compiling architecture behavior of carry
166 ModelSim Info: # -- Compiling entity cascade
167 ModelSim Info: # -- Compiling architecture behavior of cascade
168 ModelSim Info: # -- Compiling entity carry_sum
169 ModelSim Info: # -- Compiling architecture behavior of carry_sum
170 ModelSim Info: # -- Compiling entity exp
171 ModelSim Info: # -- Compiling architecture behavior of exp
172 ModelSim Info: # -- Compiling entity soft
173 ModelSim Info: # -- Compiling architecture behavior of soft
174 ModelSim Info: # -- Compiling entity opndrn
175 ModelSim Info: # -- Compiling architecture behavior of opndrn
176 ModelSim Info: # -- Compiling entity row_global
177 ModelSim Info: # -- Compiling architecture behavior of row_global
178 ModelSim Info: # -- Compiling entity tri
179 ModelSim Info: # -- Compiling architecture behavior of tri
180 ModelSim Info: # -- Compiling entity lut_input
181 ModelSim Info: # -- Compiling architecture behavior of lut_input
182 ModelSim Info: # -- Compiling entity lut_output
183 ModelSim Info: # -- Compiling architecture behavior of lut_output
184 ModelSim Info: # -- Compiling entity latch
185 ModelSim Info: # -- Compiling architecture behavior of latch
186 ModelSim Info: # -- Compiling entity prim_gdff
187 ModelSim Info: # -- Compiling architecture behavior of prim_gdff
188 ModelSim Info: # -- Loading entity prim_gdff
189 ModelSim Info: # -- Compiling entity dff
190 ModelSim Info: # -- Compiling architecture behavior of dff
191 ModelSim Info: # -- Compiling entity dffe
192 ModelSim Info: # -- Compiling architecture behavior of dffe
193 ModelSim Info: # -- Compiling entity dffea
194 ModelSim Info: # -- Compiling architecture behavior of dffea
195 ModelSim Info: # -- Loading package vital_timing
196 ModelSim Info: # -- Loading package vital_primitives
197 ModelSim Info: # -- Loading package dffeas_pack
198 ModelSim Info: # -- Compiling entity dffeas
199 ModelSim Info: # -- Compiling architecture vital_dffeas of dffeas
200 ModelSim Info: # -- Compiling entity prim_gtff
201 ModelSim Info: # -- Compiling architecture behavior of prim_gtff
202 ModelSim Info: # -- Loading entity prim_gtff
203 ModelSim Info: # -- Compiling entity tff
204 ModelSim Info: # -- Compiling architecture behavior of tff
205 ModelSim Info: # -- Compiling entity tffe
206 ModelSim Info: # -- Compiling architecture behavior of tffe
207 ModelSim Info: # -- Compiling entity prim_gjkff
208 ModelSim Info: # -- Compiling architecture behavior of prim_gjkff
209 ModelSim Info: # -- Loading entity prim_gjkff
210 ModelSim Info: # -- Compiling entity jkff
211 ModelSim Info: # -- Compiling architecture behavior of jkff
212 ModelSim Info: # -- Compiling entity jkffe
213 ModelSim Info: # -- Compiling architecture behavior of jkffe
214 ModelSim Info: # -- Compiling entity prim_gsrff
215 ModelSim Info: # -- Compiling architecture behavior of prim_gsrff
216 ModelSim Info: # -- Loading entity prim_gsrff
217 ModelSim Info: # -- Compiling entity srff
218 ModelSim Info: # -- Compiling architecture behavior of srff
219 ModelSim Info: # -- Compiling entity srffe
220 ModelSim Info: # -- Compiling architecture behavior of srffe
221 ModelSim Info: # -- Compiling entity clklock
222 ModelSim Info: # -- Compiling architecture behavior of clklock
223 ModelSim Info: # -- Compiling entity alt_inbuf
224 ModelSim Info: # -- Compiling architecture behavior of alt_inbuf
225 ModelSim Info: # -- Compiling entity alt_outbuf
226 ModelSim Info: # -- Compiling architecture behavior of alt_outbuf
227 ModelSim Info: # -- Compiling entity alt_outbuf_tri
228 ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_tri
229 ModelSim Info: # -- Compiling entity alt_iobuf
230 ModelSim Info: # -- Compiling architecture behavior of alt_iobuf
231 ModelSim Info: # -- Compiling entity alt_inbuf_diff
232 ModelSim Info: # -- Compiling architecture behavior of alt_inbuf_diff
233 ModelSim Info: # -- Compiling entity alt_outbuf_diff
234 ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_diff
235 ModelSim Info: # -- Compiling entity alt_outbuf_tri_diff
236 ModelSim Info: # -- Compiling architecture behavior of alt_outbuf_tri_diff
237 ModelSim Info: # -- Compiling entity alt_iobuf_diff
238 ModelSim Info: # -- Compiling architecture behavior of alt_iobuf_diff
239 ModelSim Info: # -- Compiling entity alt_bidir_diff
240 ModelSim Info: # -- Compiling architecture behavior of alt_bidir_diff
241 ModelSim Info: # 
242 ModelSim Info: # vlib vhdl_libs/altera_mf
243 ModelSim Info: # vmap altera_mf vhdl_libs/altera_mf
244 ModelSim Info: # Modifying modelsim.ini
245 ModelSim Info: # vcom -work altera_mf /opt/quartus/eda/sim_lib/altera_mf_components.vhd
246 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
247 ModelSim Info: # -- Loading package standard
248 ModelSim Info: # -- Loading package std_logic_1164
249 ModelSim Info: # -- Compiling package altera_mf_components
250 ModelSim Info: # vcom -work altera_mf /opt/quartus/eda/sim_lib/altera_mf.vhd
251 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
252 ModelSim Info: # -- Loading package standard
253 ModelSim Info: # -- Loading package std_logic_1164
254 ModelSim Info: # -- Compiling entity lcell
255 ModelSim Info: # -- Compiling architecture behavior of lcell
256 ModelSim Info: # -- Loading package textio
257 ModelSim Info: # -- Compiling package altera_common_conversion
258 ModelSim Info: # -- Compiling package body altera_common_conversion
259 ModelSim Info: # -- Loading package altera_common_conversion
260 ModelSim Info: # -- Compiling package altera_mf_hint_evaluation
261 ModelSim Info: # -- Compiling package body altera_mf_hint_evaluation
262 ModelSim Info: # -- Loading package altera_mf_hint_evaluation
263 ModelSim Info: # -- Compiling package altera_device_families
264 ModelSim Info: # -- Compiling package body altera_device_families
265 ModelSim Info: # -- Loading package altera_device_families
266 ModelSim Info: # -- Compiling package mf_pllpack
267 ModelSim Info: # -- Compiling package body mf_pllpack
268 ModelSim Info: # -- Loading package mf_pllpack
269 ModelSim Info: # -- Compiling entity dffp
270 ModelSim Info: # -- Compiling architecture behave of dffp
271 ModelSim Info: # -- Compiling entity mf_m_cntr
272 ModelSim Info: # -- Compiling architecture behave of mf_m_cntr
273 ModelSim Info: # -- Compiling entity mf_n_cntr
274 ModelSim Info: # -- Compiling architecture behave of mf_n_cntr
275 ModelSim Info: # -- Compiling entity stx_scale_cntr
276 ModelSim Info: # -- Compiling architecture behave of stx_scale_cntr
277 ModelSim Info: # -- Compiling entity mf_pll_reg
278 ModelSim Info: # -- Compiling architecture behave of mf_pll_reg
279 ModelSim Info: # -- Loading package mf_pllpack
280 ModelSim Info: # -- Loading entity mf_m_cntr
281 ModelSim Info: # -- Loading entity mf_n_cntr
282 ModelSim Info: # -- Loading entity stx_scale_cntr
283 ModelSim Info: # -- Loading entity dffp
284 ModelSim Info: # -- Loading entity mf_pll_reg
285 ModelSim Info: # -- Compiling entity mf_stratix_pll
286 ModelSim Info: # -- Compiling architecture vital_pll of mf_stratix_pll
287 ModelSim Info: # -- Compiling entity arm_m_cntr
288 ModelSim Info: # -- Compiling architecture behave of arm_m_cntr
289 ModelSim Info: # -- Compiling entity arm_n_cntr
290 ModelSim Info: # -- Compiling architecture behave of arm_n_cntr
291 ModelSim Info: # -- Compiling entity arm_scale_cntr
292 ModelSim Info: # -- Compiling architecture behave of arm_scale_cntr
293 ModelSim Info: # -- Loading entity arm_m_cntr
294 ModelSim Info: # -- Loading entity arm_n_cntr
295 ModelSim Info: # -- Loading entity arm_scale_cntr
296 ModelSim Info: # -- Compiling entity mf_stratixii_pll
297 ModelSim Info: # -- Compiling architecture vital_pll of mf_stratixii_pll
298 ModelSim Info: # -- Loading package std_logic_arith
299 ModelSim Info: # -- Loading package std_logic_unsigned
300 ModelSim Info: # -- Compiling entity mf_ttn_mn_cntr
301 ModelSim Info: # -- Compiling architecture behave of mf_ttn_mn_cntr
302 ModelSim Info: # -- Compiling entity mf_ttn_scale_cntr
303 ModelSim Info: # -- Compiling architecture behave of mf_ttn_scale_cntr
304 ModelSim Info: # -- Loading entity mf_ttn_mn_cntr
305 ModelSim Info: # -- Loading entity mf_ttn_scale_cntr
306 ModelSim Info: # -- Compiling entity mf_stratixiii_pll
307 ModelSim Info: # -- Compiling architecture vital_pll of mf_stratixiii_pll
308 ModelSim Info: # -- Compiling entity mf_cda_mn_cntr
309 ModelSim Info: # -- Compiling architecture behave of mf_cda_mn_cntr
310 ModelSim Info: # -- Compiling entity mf_cda_scale_cntr
311 ModelSim Info: # -- Compiling architecture behave of mf_cda_scale_cntr
312 ModelSim Info: # -- Loading entity mf_cda_mn_cntr
313 ModelSim Info: # -- Loading entity mf_cda_scale_cntr
314 ModelSim Info: # -- Compiling entity mf_cycloneiii_pll
315 ModelSim Info: # -- Compiling architecture vital_pll of mf_cycloneiii_pll
316 ModelSim Info: # -- Loading package altera_device_families
317 ModelSim Info: # -- Loading entity mf_stratix_pll
318 ModelSim Info: # -- Loading entity mf_stratixii_pll
319 ModelSim Info: # -- Loading entity mf_stratixiii_pll
320 ModelSim Info: # -- Loading entity mf_cycloneiii_pll
321 ModelSim Info: # -- Compiling entity altpll
322 ModelSim Info: # -- Compiling architecture behavior of altpll
323 ModelSim Info: # -- Compiling entity altaccumulate
324 ModelSim Info: # -- Compiling architecture behaviour of altaccumulate
325 ModelSim Info: # -- Compiling entity altmult_accum
326 ModelSim Info: # -- Compiling architecture behaviour of altmult_accum
327 ModelSim Info: # -- Compiling entity altmult_add
328 ModelSim Info: # -- Compiling architecture behaviour of altmult_add
329 ModelSim Info: # -- Loading package altera_common_conversion
330 ModelSim Info: # -- Compiling entity altfp_mult
331 ModelSim Info: # -- Compiling architecture behavior of altfp_mult
332 ModelSim Info: # -- Compiling entity altsqrt
333 ModelSim Info: # -- Compiling architecture behavior of altsqrt
334 ModelSim Info: # -- Compiling entity altclklock
335 ModelSim Info: # -- Compiling architecture behavior of altclklock
336 ModelSim Info: # -- Compiling entity altddio_in
337 ModelSim Info: # -- Compiling architecture behave of altddio_in
338 ModelSim Info: # -- Compiling entity altddio_out
339 ModelSim Info: # -- Compiling architecture behave of altddio_out
340 ModelSim Info: # -- Loading entity altddio_in
341 ModelSim Info: # -- Loading entity altddio_out
342 ModelSim Info: # -- Compiling entity altddio_bidir
343 ModelSim Info: # -- Compiling architecture struct of altddio_bidir
344 ModelSim Info: # -- Compiling entity hssi_pll
345 ModelSim Info: # -- Compiling architecture behavior of hssi_pll
346 ModelSim Info: # -- Compiling entity mf_ram7x20_syn
347 ModelSim Info: # -- Compiling architecture hssi_ram7x20_syn of mf_ram7x20_syn
348 ModelSim Info: # -- Loading entity mf_ram7x20_syn
349 ModelSim Info: # -- Compiling entity hssi_fifo
350 ModelSim Info: # -- Compiling architecture synchronizer of hssi_fifo
351 ModelSim Info: # -- Compiling entity hssi_rx
352 ModelSim Info: # -- Compiling architecture hssi_receiver of hssi_rx
353 ModelSim Info: # -- Compiling entity hssi_tx
354 ModelSim Info: # -- Compiling architecture transmitter of hssi_tx
355 ModelSim Info: # -- Loading entity hssi_pll
356 ModelSim Info: # -- Loading entity hssi_rx
357 ModelSim Info: # -- Loading entity hssi_fifo
358 ModelSim Info: # -- Compiling entity altcdr_rx
359 ModelSim Info: # -- Compiling architecture struct of altcdr_rx
360 ModelSim Info: # -- Loading entity hssi_tx
361 ModelSim Info: # -- Compiling entity altcdr_tx
362 ModelSim Info: # -- Compiling architecture struct of altcdr_tx
363 ModelSim Info: # -- Compiling entity stratixii_lvds_rx
364 ModelSim Info: # -- Compiling architecture behavior of stratixii_lvds_rx
365 ModelSim Info: # -- Compiling entity flexible_lvds_rx
366 ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_rx
367 ModelSim Info: # -- Compiling entity stratixiii_lvds_rx
368 ModelSim Info: # -- Compiling architecture behavior of stratixiii_lvds_rx
369 ModelSim Info: # -- Loading entity altclklock
370 ModelSim Info: # -- Loading entity stratixii_lvds_rx
371 ModelSim Info: # -- Loading entity flexible_lvds_rx
372 ModelSim Info: # -- Loading entity stratixiii_lvds_rx
373 ModelSim Info: # -- Compiling entity altlvds_rx
374 ModelSim Info: # -- Compiling architecture behavior of altlvds_rx
375 ModelSim Info: # -- Compiling entity stratix_tx_outclk
376 ModelSim Info: # -- Compiling architecture behavior of stratix_tx_outclk
377 ModelSim Info: # -- Compiling entity stratixii_tx_outclk
378 ModelSim Info: # -- Compiling architecture behavior of stratixii_tx_outclk
379 ModelSim Info: # -- Compiling entity flexible_lvds_tx
380 ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_tx
381 ModelSim Info: # -- Loading entity stratix_tx_outclk
382 ModelSim Info: # -- Loading entity stratixii_tx_outclk
383 ModelSim Info: # -- Loading entity flexible_lvds_tx
384 ModelSim Info: # -- Compiling entity altlvds_tx
385 ModelSim Info: # -- Compiling architecture behavior of altlvds_tx
386 ModelSim Info: # -- Compiling entity altcam
387 ModelSim Info: # -- Compiling architecture behave of altcam
388 ModelSim Info: # -- Compiling entity altdpram
389 ModelSim Info: # -- Compiling architecture behavior of altdpram
390 ModelSim Info: # -- Compiling entity altsyncram
391 ModelSim Info: # -- Compiling architecture translated of altsyncram
392 ModelSim Info: # -- Loading entity altsyncram
393 ModelSim Info: # -- Compiling entity alt3pram
394 ModelSim Info: # -- Compiling architecture behavior of alt3pram
395 ModelSim Info: # -- Compiling entity altqpram
396 ModelSim Info: # -- Compiling architecture behavior of altqpram
397 ModelSim Info: # -- Loading package altera_mf_components
398 ModelSim Info: # -- Compiling entity parallel_add
399 ModelSim Info: # -- Compiling architecture behaviour of parallel_add
400 ModelSim Info: # -- Compiling entity scfifo
401 ModelSim Info: # -- Compiling architecture behavior of scfifo
402 ModelSim Info: # -- Compiling package dcfifo_pack
403 ModelSim Info: # -- Compiling package body dcfifo_pack
404 ModelSim Info: # -- Loading package dcfifo_pack
405 ModelSim Info: # -- Compiling entity dcfifo_dffpipe
406 ModelSim Info: # -- Compiling architecture behavior of dcfifo_dffpipe
407 ModelSim Info: # -- Compiling entity dcfifo_fefifo
408 ModelSim Info: # -- Compiling architecture behavior of dcfifo_fefifo
409 ModelSim Info: # -- Loading entity dcfifo_fefifo
410 ModelSim Info: # -- Loading entity dcfifo_dffpipe
411 ModelSim Info: # -- Compiling entity dcfifo_async
412 ModelSim Info: # -- Compiling architecture behavior of dcfifo_async
413 ModelSim Info: # -- Compiling entity dcfifo_sync
414 ModelSim Info: # -- Compiling architecture behavior of dcfifo_sync
415 ModelSim Info: # -- Loading package altera_mf_hint_evaluation
416 ModelSim Info: # -- Compiling entity dcfifo_low_latency
417 ModelSim Info: # -- Compiling architecture behavior of dcfifo_low_latency
418 ModelSim Info: # -- Loading entity dcfifo_async
419 ModelSim Info: # -- Loading entity dcfifo_sync
420 ModelSim Info: # -- Loading entity dcfifo_low_latency
421 ModelSim Info: # -- Loading package dcfifo_pack
422 ModelSim Info: # -- Compiling entity dcfifo_mixed_widths
423 ModelSim Info: # -- Compiling architecture behavior of dcfifo_mixed_widths
424 ModelSim Info: # -- Loading entity dcfifo_mixed_widths
425 ModelSim Info: # -- Compiling entity dcfifo
426 ModelSim Info: # -- Compiling architecture behavior of dcfifo
427 ModelSim Info: # -- Compiling entity altshift_taps
428 ModelSim Info: # -- Compiling architecture behavioural of altshift_taps
429 ModelSim Info: # -- Compiling entity a_graycounter
430 ModelSim Info: # -- Compiling architecture behavior of a_graycounter
431 ModelSim Info: # -- Compiling entity altsquare
432 ModelSim Info: # -- Compiling architecture altsquare_syn of altsquare
433 ModelSim Info: # -- Compiling package sld_node
434 ModelSim Info: # -- Compiling package body sld_node
435 ModelSim Info: # -- Loading package sld_node
436 ModelSim Info: # -- Loading package sld_node
437 ModelSim Info: # -- Compiling entity signal_gen
438 ModelSim Info: # -- Compiling architecture simmodel of signal_gen
439 ModelSim Info: # -- Compiling entity jtag_tap_controller
440 ModelSim Info: # -- Compiling architecture fsm of jtag_tap_controller
441 ModelSim Info: # -- Compiling entity dummy_hub
442 ModelSim Info: # -- Compiling architecture behavior of dummy_hub
443 ModelSim Info: # -- Loading entity signal_gen
444 ModelSim Info: # -- Loading entity jtag_tap_controller
445 ModelSim Info: # -- Loading entity dummy_hub
446 ModelSim Info: # -- Compiling entity sld_virtual_jtag
447 ModelSim Info: # -- Compiling architecture structural of sld_virtual_jtag
448 ModelSim Info: # -- Compiling entity sld_signaltap
449 ModelSim Info: # -- Compiling architecture sim_sld_signaltap of sld_signaltap
450 ModelSim Info: # -- Compiling entity altstratixii_oct
451 ModelSim Info: # -- Compiling architecture sim_altstratixii_oct of altstratixii_oct
452 ModelSim Info: # -- Compiling entity altparallel_flash_loader
453 ModelSim Info: # -- Compiling architecture sim_altparallel_flash_loader of altparallel_flash_loader
454 ModelSim Info: # -- Compiling entity altserial_flash_loader
455 ModelSim Info: # -- Compiling architecture sim_altserial_flash_loader of altserial_flash_loader
456 ModelSim Info: # 
457 ModelSim Info: # vlib vhdl_libs/sgate
458 ModelSim Info: # vmap sgate vhdl_libs/sgate
459 ModelSim Info: # Modifying modelsim.ini
460 ModelSim Info: # vcom -work sgate /opt/quartus/eda/sim_lib/sgate_pack.vhd
461 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
462 ModelSim Info: # -- Loading package standard
463 ModelSim Info: # -- Loading package std_logic_1164
464 ModelSim Info: # -- Compiling package sgate_pack
465 ModelSim Info: # -- Compiling package body sgate_pack
466 ModelSim Info: # -- Loading package sgate_pack
467 ModelSim Info: # vcom -work sgate /opt/quartus/eda/sim_lib/sgate.vhd
468 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
469 ModelSim Info: # -- Loading package standard
470 ModelSim Info: # -- Loading package std_logic_1164
471 ModelSim Info: # -- Loading package std_logic_arith
472 ModelSim Info: # -- Loading package std_logic_signed
473 ModelSim Info: # -- Compiling entity oper_add
474 ModelSim Info: # -- Compiling architecture sim_arch of oper_add
475 ModelSim Info: # -- Compiling entity oper_addsub
476 ModelSim Info: # -- Compiling architecture sim_arch of oper_addsub
477 ModelSim Info: # -- Compiling entity mux21
478 ModelSim Info: # -- Compiling architecture sim_arch of mux21
479 ModelSim Info: # -- Compiling entity io_buf_tri
480 ModelSim Info: # -- Compiling architecture sim_arch of io_buf_tri
481 ModelSim Info: # -- Compiling entity io_buf_opdrn
482 ModelSim Info: # -- Compiling architecture sim_arch of io_buf_opdrn
483 ModelSim Info: # -- Compiling entity tri_bus
484 ModelSim Info: # -- Compiling architecture sim_arch of tri_bus
485 ModelSim Info: # -- Compiling entity oper_mult
486 ModelSim Info: # -- Compiling architecture sim_arch of oper_mult
487 ModelSim Info: # -- Loading package lpm_components
488 ModelSim Info: # -- Compiling entity oper_div
489 ModelSim Info: # -- Compiling architecture sim_arch of oper_div
490 ModelSim Info: # -- Compiling entity oper_mod
491 ModelSim Info: # -- Compiling architecture sim_arch of oper_mod
492 ModelSim Info: # -- Loading package std_logic_unsigned
493 ModelSim Info: # -- Compiling entity oper_left_shift
494 ModelSim Info: # -- Compiling architecture sim_arch of oper_left_shift
495 ModelSim Info: # -- Compiling entity oper_right_shift
496 ModelSim Info: # -- Compiling architecture sim_arch of oper_right_shift
497 ModelSim Info: # -- Compiling entity oper_rotate_left
498 ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_left
499 ModelSim Info: # -- Compiling entity oper_rotate_right
500 ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_right
501 ModelSim Info: # -- Compiling entity oper_less_than
502 ModelSim Info: # -- Compiling architecture sim_arch of oper_less_than
503 ModelSim Info: # -- Loading package sgate_pack
504 ModelSim Info: # -- Compiling entity oper_mux
505 ModelSim Info: # -- Compiling architecture sim_arch of oper_mux
506 ModelSim Info: # -- Compiling entity oper_selector
507 ModelSim Info: # -- Compiling architecture sim_arch of oper_selector
508 ModelSim Info: # -- Compiling entity oper_prio_selector
509 ModelSim Info: # -- Compiling architecture sim_arch of oper_prio_selector
510 ModelSim Info: # -- Compiling entity oper_decoder
511 ModelSim Info: # -- Compiling architecture sim_arch of oper_decoder
512 ModelSim Info: # -- Compiling entity oper_bus_mux
513 ModelSim Info: # -- Compiling architecture sim_arch of oper_bus_mux
514 ModelSim Info: # -- Compiling entity oper_latch
515 ModelSim Info: # -- Compiling architecture sim_arch of oper_latch
516 ModelSim Info: # 
517 ModelSim Info: # vlib vhdl_libs/cycloneii
518 ModelSim Info: # vmap cycloneii vhdl_libs/cycloneii
519 ModelSim Info: # Modifying modelsim.ini
520 ModelSim Info: # vcom -work cycloneii /opt/quartus/eda/sim_lib/cycloneii_atoms.vhd
521 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
522 ModelSim Info: # -- Loading package standard
523 ModelSim Info: # -- Loading package std_logic_1164
524 ModelSim Info: # -- Loading package vital_timing
525 ModelSim Info: # -- Loading package vital_primitives
526 ModelSim Info: # -- Compiling package cycloneii_atom_pack
527 ModelSim Info: # -- Compiling package body cycloneii_atom_pack
528 ModelSim Info: # -- Loading package cycloneii_atom_pack
529 ModelSim Info: # -- Compiling package cycloneii_pllpack
530 ModelSim Info: # -- Compiling package body cycloneii_pllpack
531 ModelSim Info: # -- Loading package cycloneii_pllpack
532 ModelSim Info: # -- Loading package cycloneii_atom_pack
533 ModelSim Info: # -- Compiling entity cycloneii_dffe
534 ModelSim Info: # -- Compiling architecture behave of cycloneii_dffe
535 ModelSim Info: # -- Compiling entity cycloneii_mux21
536 ModelSim Info: # -- Compiling architecture altvital of cycloneii_mux21
537 ModelSim Info: # -- Compiling entity cycloneii_mux41
538 ModelSim Info: # -- Compiling architecture altvital of cycloneii_mux41
539 ModelSim Info: # -- Compiling entity cycloneii_and1
540 ModelSim Info: # -- Compiling architecture altvital of cycloneii_and1
541 ModelSim Info: # -- Compiling entity cycloneii_ram_register
542 ModelSim Info: # -- Compiling architecture reg_arch of cycloneii_ram_register
543 ModelSim Info: # -- Compiling entity cycloneii_ram_pulse_generator
544 ModelSim Info: # -- Compiling architecture pgen_arch of cycloneii_ram_pulse_generator
545 ModelSim Info: # -- Loading entity cycloneii_ram_register
546 ModelSim Info: # -- Loading entity cycloneii_ram_pulse_generator
547 ModelSim Info: # -- Compiling entity cycloneii_ram_block
548 ModelSim Info: # -- Compiling architecture block_arch of cycloneii_ram_block
549 ModelSim Info: # -- Compiling entity cycloneii_jtag
550 ModelSim Info: # -- Compiling architecture architecture_jtag of cycloneii_jtag
551 ModelSim Info: # -- Compiling entity cycloneii_crcblock
552 ModelSim Info: # -- Compiling architecture architecture_crcblock of cycloneii_crcblock
553 ModelSim Info: # -- Compiling entity cycloneii_asmiblock
554 ModelSim Info: # -- Compiling architecture architecture_asmiblock of cycloneii_asmiblock
555 ModelSim Info: # -- Compiling entity cycloneii_m_cntr
556 ModelSim Info: # -- Compiling architecture behave of cycloneii_m_cntr
557 ModelSim Info: # -- Compiling entity cycloneii_n_cntr
558 ModelSim Info: # -- Compiling architecture behave of cycloneii_n_cntr
559 ModelSim Info: # -- Compiling entity cycloneii_scale_cntr
560 ModelSim Info: # -- Compiling architecture behave of cycloneii_scale_cntr
561 ModelSim Info: # -- Compiling entity cycloneii_pll_reg
562 ModelSim Info: # -- Compiling architecture behave of cycloneii_pll_reg
563 ModelSim Info: # -- Loading package textio
564 ModelSim Info: # -- Loading package cycloneii_pllpack
565 ModelSim Info: # -- Loading entity cycloneii_m_cntr
566 ModelSim Info: # -- Loading entity cycloneii_n_cntr
567 ModelSim Info: # -- Loading entity cycloneii_scale_cntr
568 ModelSim Info: # -- Loading entity cycloneii_dffe
569 ModelSim Info: # -- Loading entity cycloneii_pll_reg
570 ModelSim Info: # -- Compiling entity cycloneii_pll
571 ModelSim Info: # -- Compiling architecture vital_pll of cycloneii_pll
572 ModelSim Info: # -- Compiling entity cycloneii_routing_wire
573 ModelSim Info: # -- Compiling architecture behave of cycloneii_routing_wire
574 ModelSim Info: # -- Loading entity cycloneii_and1
575 ModelSim Info: # -- Compiling entity cycloneii_lcell_ff
576 ModelSim Info: # -- Compiling architecture vital_lcell_ff of cycloneii_lcell_ff
577 ModelSim Info: # -- Compiling entity cycloneii_lcell_comb
578 ModelSim Info: # -- Compiling architecture vital_lcell_comb of cycloneii_lcell_comb
579 ModelSim Info: # -- Loading package std_logic_arith
580 ModelSim Info: # -- Compiling entity cycloneii_asynch_io
581 ModelSim Info: # -- Compiling architecture behave of cycloneii_asynch_io
582 ModelSim Info: # -- Loading entity cycloneii_asynch_io
583 ModelSim Info: # -- Loading entity cycloneii_mux21
584 ModelSim Info: # -- Compiling entity cycloneii_io
585 ModelSim Info: # -- Compiling architecture structure of cycloneii_io
586 ModelSim Info: # -- Loading package std_logic_unsigned
587 ModelSim Info: # -- Compiling entity cycloneii_clk_delay_ctrl
588 ModelSim Info: # -- Compiling architecture vital_clk_delay_ctrl of cycloneii_clk_delay_ctrl
589 ModelSim Info: # -- Compiling entity cycloneii_clk_delay_cal_ctrl
590 ModelSim Info: # -- Compiling architecture vital_clk_delay_cal_ctrl of cycloneii_clk_delay_cal_ctrl
591 ModelSim Info: # -- Compiling entity cycloneii_mac_data_reg
592 ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_data_reg of cycloneii_mac_data_reg
593 ModelSim Info: # -- Compiling entity cycloneii_mac_sign_reg
594 ModelSim Info: # -- Compiling architecture cycloneii_mac_sign_reg of cycloneii_mac_sign_reg
595 ModelSim Info: # -- Compiling entity cycloneii_mac_mult_internal
596 ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_mult_internal of cycloneii_mac_mult_internal
597 ModelSim Info: # -- Loading entity cycloneii_mac_data_reg
598 ModelSim Info: # -- Loading entity cycloneii_mac_sign_reg
599 ModelSim Info: # -- Loading entity cycloneii_mac_mult_internal
600 ModelSim Info: # -- Compiling entity cycloneii_mac_mult
601 ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_mult of cycloneii_mac_mult
602 ModelSim Info: # -- Compiling entity cycloneii_mac_out
603 ModelSim Info: # -- Compiling architecture vital_cycloneii_mac_out of cycloneii_mac_out
604 ModelSim Info: # -- Compiling entity cycloneii_ena_reg
605 ModelSim Info: # -- Compiling architecture behave of cycloneii_ena_reg
606 ModelSim Info: # -- Loading entity cycloneii_ena_reg
607 ModelSim Info: # -- Compiling entity cycloneii_clkctrl
608 ModelSim Info: # -- Compiling architecture vital_clkctrl of cycloneii_clkctrl
609 ModelSim Info: # vcom -work cycloneii /opt/quartus/eda/sim_lib/cycloneii_components.vhd
610 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
611 ModelSim Info: # -- Loading package standard
612 ModelSim Info: # -- Loading package std_logic_1164
613 ModelSim Info: # -- Loading package vital_timing
614 ModelSim Info: # -- Loading package vital_primitives
615 ModelSim Info: # -- Loading package cycloneii_atom_pack
616 ModelSim Info: # -- Compiling package cycloneii_components
617 ModelSim Info: # 
618 ModelSim Info: # if {[file exists rtl_work]} {
619 ModelSim Info: #        vdel -lib rtl_work -all
620 ModelSim Info: # }
621 ModelSim Info: # vlib rtl_work
622 ModelSim Info: # vmap work rtl_work
623 ModelSim Info: # Modifying modelsim.ini
624 ModelSim Info: # 
625 ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo_pkg.vhd
626 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
627 ModelSim Info: # -- Loading package standard
628 ModelSim Info: # -- Loading package std_logic_1164
629 ModelSim Info: # -- Compiling package demo_pkg
630 ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/pll.vhd
631 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
632 ModelSim Info: # -- Loading package standard
633 ModelSim Info: # -- Loading package std_logic_1164
634 ModelSim Info: # -- Compiling entity pll
635 ModelSim Info: # -- Compiling architecture syn of pll
636 ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo_top.vhd
637 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
638 ModelSim Info: # -- Loading package standard
639 ModelSim Info: # -- Loading package std_logic_1164
640 ModelSim Info: # -- Compiling entity demo_top
641 ModelSim Info: # -- Compiling architecture structure of demo_top
642 ModelSim Info: # vcom -work work /homes/lechner/Lehre/SS09/HW-Modelling/VO_2009/designflow_presentation/src/demo.vhd
643 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
644 ModelSim Info: # -- Loading package standard
645 ModelSim Info: # -- Loading package std_logic_1164
646 ModelSim Info: # -- Loading package numeric_std
647 ModelSim Info: # -- Loading package demo_pkg
648 ModelSim Info: # -- Compiling entity demo
649 ModelSim Info: # -- Compiling architecture behav of demo
650 ModelSim Info: # 
651 ModelSim Info: # do ../../../sim/demo_tb_rtl.do
652 ModelSim Info: # compile testbench
653 ModelSim Info: # vcom -work work ../../../sim/demo_tb.vhd
654 ModelSim Info: # Model Technology ModelSim SE-64 vcom 6.3 Compiler 2007.05 May  4 2007
655 ModelSim Info: # -- Loading package standard
656 ModelSim Info: # -- Loading package std_logic_1164
657 ModelSim Info: # -- Loading package demo_pkg
658 ModelSim Info: # -- Compiling entity demo_tb
659 ModelSim Info: # -- Compiling architecture behav of demo_tb
660 ModelSim Info: # 
661 ModelSim Info: # start simulation
662 ModelSim Info: # vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L cycloneii -L rtl_work -L work -voptargs="+acc" demo_tb
663 ModelSim Info: # vsim -L altera -L lpm -L sgate -L altera_mf -L cycloneii -L rtl_work -L work -voptargs=\"+acc\" -t 1ps demo_tb 
664 ModelSim Info: # ** Note: (vsim-3812) Design is being optimized...
665 ModelSim Info: # Loading std.standard
666 ModelSim Info: # Loading ieee.std_logic_1164(body)
667 ModelSim Info: # Loading work.demo_pkg
668 ModelSim Info: # Loading work.demo_tb(behav)#1
669 ModelSim Info: # Loading work.demo_top(structure)#1
670 ModelSim Info: # Loading ieee.numeric_std(body)
671 ModelSim Info: # Loading work.demo(behav)
672 ModelSim Info: # Loading work.pll(syn)#1
673 ModelSim Info: # Loading altera_mf.altera_device_families(body)
674 ModelSim Info: # Loading std.textio(body)
675 ModelSim Info: # Loading altera_mf.mf_pllpack(body)
676 ModelSim Info: # Loading ieee.std_logic_arith(body)
677 ModelSim Info: # Loading ieee.std_logic_unsigned(body)
678 ModelSim Info: # Loading altera_mf.altpll(behavior)#1
679 ModelSim Info: # Loading altera_mf.mf_stratixii_pll(vital_pll)#2
680 ModelSim Info: # Loading altera_mf.arm_m_cntr(behave)
681 ModelSim Info: # Loading altera_mf.arm_n_cntr(behave)
682 ModelSim Info: # Loading altera_mf.arm_scale_cntr(behave)#1
683 ModelSim Info: # view -undock wave
684 ModelSim Info: # .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf
685 ModelSim Info: # 
686 ModelSim Info: # add signals to waveform
687 ModelSim Info: # add all testbench signals 
688 ModelSim Info: # add wave *
689 ModelSim Info: # 
690 ModelSim Info: # add wave -divider PLL
691 ModelSim Info: # add wave uut/pll_inst/c0
692 ModelSim Info: # 
693 ModelSim Info: # add internal signals of unit under test
694 ModelSim Info: # add wave -divider DEMO
695 ModelSim Info: # add wave uut/demo_inst/counter
696 ModelSim Info: # add wave uut/demo_inst/ledstate
697 ModelSim Info: # 
698 ModelSim Info: # auto-run simulation
699 ModelSim Info: # run 50 us
700 ModelSim Info: # ** Note: StratixII PLL is enabled
701 ModelSim Info: #    Time: 0 ps  Iteration: 2  Instance: /demo_tb/uut/pll_inst/altpll_component/cycloneii_altpll/m3
702 ModelSim Info: # ** Note: StratixII PLL locked to incoming clock
703 ModelSim Info: #    Time: 220 ns  Iteration: 4  Instance: /demo_tb/uut/pll_inst/altpll_component/cycloneii_altpll/m3
704 ModelSim Info: # wave zoomfull
705 ModelSim Info: # 0 ps
706 ModelSim Info: # 52500 ns
707 Info: NativeLink Simulation succeeded
708 Info: Nativelink simulation process ended