one place for all my love
[hwmod.git] / demo / quartus / db / alt_u_div_00f.tdf
1 --alt_u_div DEVICE_FAMILY="Cyclone II" LPM_PIPELINE=0 MAXIMIZE_SPEED=5 SKIP_BITS=0 WIDTH_D=8 WIDTH_N=8 WIDTH_Q=8 WIDTH_R=8 denominator numerator quotient remainder
2 --VERSION_BEGIN 7.0 cbx_cycloneii 2006:09:30:03:03:26:SJ cbx_lpm_abs 2006:04:25:22:52:42:SJ cbx_lpm_add_sub 2006:10:11:06:03:24:SJ cbx_lpm_divide 2006:01:19:01:01:10:SJ cbx_mgl 2006:10:28:00:08:48:SJ cbx_stratix 2006:09:18:18:47:42:SJ cbx_stratixii 2006:10:13:22:01:30:SJ cbx_util_mgl 2006:11:03:18:32:30:SJ  VERSION_END
3
4
5 --  Copyright (C) 1991-2007 Altera Corporation
6 --  Your use of Altera Corporation's design tools, logic functions 
7 --  and other software and tools, and its AMPP partner logic 
8 --  functions, and any output files from any of the foregoing 
9 --  (including device programming or simulation files), and any 
10 --  associated documentation or information are expressly subject 
11 --  to the terms and conditions of the Altera Program License 
12 --  Subscription Agreement, Altera MegaCore Function License 
13 --  Agreement, or other applicable license agreement, including, 
14 --  without limitation, that your use is for the sole purpose of 
15 --  programming logic devices manufactured by Altera and sold by 
16 --  Altera or its authorized distributors.  Please refer to the 
17 --  applicable agreement for further details.
18
19
20 FUNCTION add_sub_lkc (dataa[0..0], datab[0..0])
21 RETURNS ( cout, result[0..0]);
22 FUNCTION add_sub_mkc (dataa[1..0], datab[1..0])
23 RETURNS ( cout, result[1..0]);
24
25 --synthesis_resources = lut 39 
26 SUBDESIGN alt_u_div_00f
27
28         den_out[7..0]   :       output;
29         denominator[7..0]       :       input;
30         numerator[7..0] :       input;
31         quotient[7..0]  :       output;
32         remainder[7..0] :       output;
33
34 VARIABLE 
35         add_sub_0 : add_sub_lkc;
36         add_sub_1 : add_sub_mkc;
37         add_sub_2_result_int[3..0]      :       WIRE;
38         add_sub_2_cout  :       WIRE;
39         add_sub_2_dataa[2..0]   :       WIRE;
40         add_sub_2_datab[2..0]   :       WIRE;
41         add_sub_2_result[2..0]  :       WIRE;
42         add_sub_3_result_int[4..0]      :       WIRE;
43         add_sub_3_cout  :       WIRE;
44         add_sub_3_dataa[3..0]   :       WIRE;
45         add_sub_3_datab[3..0]   :       WIRE;
46         add_sub_3_result[3..0]  :       WIRE;
47         add_sub_4_result_int[5..0]      :       WIRE;
48         add_sub_4_cout  :       WIRE;
49         add_sub_4_dataa[4..0]   :       WIRE;
50         add_sub_4_datab[4..0]   :       WIRE;
51         add_sub_4_result[4..0]  :       WIRE;
52         add_sub_5_result_int[6..0]      :       WIRE;
53         add_sub_5_cout  :       WIRE;
54         add_sub_5_dataa[5..0]   :       WIRE;
55         add_sub_5_datab[5..0]   :       WIRE;
56         add_sub_5_result[5..0]  :       WIRE;
57         add_sub_6_result_int[7..0]      :       WIRE;
58         add_sub_6_cout  :       WIRE;
59         add_sub_6_dataa[6..0]   :       WIRE;
60         add_sub_6_datab[6..0]   :       WIRE;
61         add_sub_6_result[6..0]  :       WIRE;
62         add_sub_7_result_int[8..0]      :       WIRE;
63         add_sub_7_cout  :       WIRE;
64         add_sub_7_dataa[7..0]   :       WIRE;
65         add_sub_7_datab[7..0]   :       WIRE;
66         add_sub_7_result[7..0]  :       WIRE;
67         DenominatorIn[80..0]    : WIRE;
68         DenominatorIn_tmp[80..0]        : WIRE;
69         gnd_wire        : WIRE;
70         nose[71..0]     : WIRE;
71         NumeratorIn[71..0]      : WIRE;
72         NumeratorIn_tmp[71..0]  : WIRE;
73         prestg[63..0]   : WIRE;
74         quotient_tmp[7..0]      : WIRE;
75         sel[71..0]      : WIRE;
76         selnose[71..0]  : WIRE;
77         StageIn[71..0]  : WIRE;
78         StageIn_tmp[71..0]      : WIRE;
79         StageOut[63..0] : WIRE;
80
81 BEGIN 
82         add_sub_0.dataa[0..0] = NumeratorIn[7..7];
83         add_sub_0.datab[0..0] = DenominatorIn[0..0];
84         add_sub_1.dataa[] = ( StageIn[8..8], NumeratorIn[14..14]);
85         add_sub_1.datab[1..0] = DenominatorIn[10..9];
86         add_sub_2_result_int[] = (0, add_sub_2_dataa[]) - (0, add_sub_2_datab[]);
87         add_sub_2_result[] = add_sub_2_result_int[2..0];
88         add_sub_2_cout = !add_sub_2_result_int[3];
89         add_sub_2_dataa[] = ( StageIn[17..16], NumeratorIn[21..21]);
90         add_sub_2_datab[] = DenominatorIn[20..18];
91         add_sub_3_result_int[] = (0, add_sub_3_dataa[]) - (0, add_sub_3_datab[]);
92         add_sub_3_result[] = add_sub_3_result_int[3..0];
93         add_sub_3_cout = !add_sub_3_result_int[4];
94         add_sub_3_dataa[] = ( StageIn[26..24], NumeratorIn[28..28]);
95         add_sub_3_datab[] = DenominatorIn[30..27];
96         add_sub_4_result_int[] = (0, add_sub_4_dataa[]) - (0, add_sub_4_datab[]);
97         add_sub_4_result[] = add_sub_4_result_int[4..0];
98         add_sub_4_cout = !add_sub_4_result_int[5];
99         add_sub_4_dataa[] = ( StageIn[35..32], NumeratorIn[35..35]);
100         add_sub_4_datab[] = DenominatorIn[40..36];
101         add_sub_5_result_int[] = (0, add_sub_5_dataa[]) - (0, add_sub_5_datab[]);
102         add_sub_5_result[] = add_sub_5_result_int[5..0];
103         add_sub_5_cout = !add_sub_5_result_int[6];
104         add_sub_5_dataa[] = ( StageIn[44..40], NumeratorIn[42..42]);
105         add_sub_5_datab[] = DenominatorIn[50..45];
106         add_sub_6_result_int[] = (0, add_sub_6_dataa[]) - (0, add_sub_6_datab[]);
107         add_sub_6_result[] = add_sub_6_result_int[6..0];
108         add_sub_6_cout = !add_sub_6_result_int[7];
109         add_sub_6_dataa[] = ( StageIn[53..48], NumeratorIn[49..49]);
110         add_sub_6_datab[] = DenominatorIn[60..54];
111         add_sub_7_result_int[] = (0, add_sub_7_dataa[]) - (0, add_sub_7_datab[]);
112         add_sub_7_result[] = add_sub_7_result_int[7..0];
113         add_sub_7_cout = !add_sub_7_result_int[8];
114         add_sub_7_dataa[] = ( StageIn[62..56], NumeratorIn[56..56]);
115         add_sub_7_datab[] = DenominatorIn[70..63];
116         den_out[7..0] = DenominatorIn[70..63];
117         DenominatorIn[] = (gnd_wire # DenominatorIn_tmp[]);
118         DenominatorIn_tmp[] = ( DenominatorIn[71..0], ( gnd_wire, denominator[]));
119         gnd_wire = B"0";
120         nose[] = ( B"00000000", (add_sub_7_cout # gnd_wire), B"00000000", (add_sub_6_cout # gnd_wire), B"00000000", (add_sub_5_cout # gnd_wire), B"00000000", (add_sub_4_cout # gnd_wire), B"00000000", (add_sub_3_cout # gnd_wire), B"00000000", (add_sub_2_cout # gnd_wire), B"00000000", (add_sub_1.cout # gnd_wire), B"00000000", (add_sub_0.cout # gnd_wire));
121         NumeratorIn[] = (gnd_wire # NumeratorIn_tmp[]);
122         NumeratorIn_tmp[] = ( NumeratorIn[63..0], numerator[]);
123         prestg[] = ( add_sub_7_result[], GND, add_sub_6_result[], B"00", add_sub_5_result[], B"000", add_sub_4_result[], B"0000", add_sub_3_result[], B"00000", add_sub_2_result[], B"000000", add_sub_1.result[], B"0000000", add_sub_0.result[]);
124         quotient[] = quotient_tmp[];
125         quotient_tmp[] = ( (! selnose[0..0]), (! selnose[9..9]), (! selnose[18..18]), (! selnose[27..27]), (! selnose[36..36]), (! selnose[45..45]), (! selnose[54..54]), (! selnose[63..63]));
126         remainder[7..0] = StageIn[71..64];
127         sel[] = ( gnd_wire, (gnd_wire # (sel[71..71] # DenominatorIn[79..79])), (gnd_wire # (sel[70..70] # DenominatorIn[78..78])), (gnd_wire # (sel[69..69] # DenominatorIn[77..77])), (gnd_wire # (sel[68..68] # DenominatorIn[76..76])), (gnd_wire # (sel[67..67] # DenominatorIn[75..75])), (gnd_wire # (sel[66..66] # DenominatorIn[74..74])), (gnd_wire # (sel[65..65] # DenominatorIn[73..73])), gnd_wire, (gnd_wire # (sel[63..63] # DenominatorIn[70..70])), (gnd_wire # (sel[62..62] # DenominatorIn[69..69])), (gnd_wire # (sel[61..61] # DenominatorIn[68..68])), (gnd_wire # (sel[60..60] # DenominatorIn[67..67])), (gnd_wire # (sel[59..59] # DenominatorIn[66..66])), (gnd_wire # (sel[58..58] # DenominatorIn[65..65])), (gnd_wire # (sel[57..57] # DenominatorIn[64..64])), gnd_wire, (gnd_wire # (sel[55..55] # DenominatorIn[61..61])), (gnd_wire # (sel[54..54] # DenominatorIn[60..60])), (gnd_wire # (sel[53..53] # DenominatorIn[59..59])), (gnd_wire # (sel[52..52] # DenominatorIn[58..58])), (gnd_wire # (sel[51..51] # DenominatorIn[57..57])), (gnd_wire # (sel[50..50] # DenominatorIn[56..56])), (gnd_wire # (sel[49..49] # DenominatorIn[55..55])), gnd_wire, (gnd_wire # (sel[47..47] # DenominatorIn[52..52])), (gnd_wire # (sel[46..46] # DenominatorIn[51..51])), (gnd_wire # (sel[45..45] # DenominatorIn[50..50])), (gnd_wire # (sel[44..44] # DenominatorIn[49..49])), (gnd_wire # (sel[43..43] # DenominatorIn[48..48])), (gnd_wire # (sel[42..42] # DenominatorIn[47..47])), (gnd_wire # (sel[41..41] # DenominatorIn[46..46])), gnd_wire, (gnd_wire # (sel[39..39] # DenominatorIn[43..43])), (gnd_wire # (sel[38..38] # DenominatorIn[42..42])), (gnd_wire # (sel[37..37] # DenominatorIn[41..41])), (gnd_wire # (sel[36..36] # DenominatorIn[40..40])), (gnd_wire # (sel[35..35] # DenominatorIn[39..39])), (gnd_wire # (sel[34..34] # DenominatorIn[38..38])), (gnd_wire # (sel[33..33] # DenominatorIn[37..37])), gnd_wire, (gnd_wire # (sel[31..31] # DenominatorIn[34..34])), (gnd_wire # (sel[30..30] # DenominatorIn[33..33])), (gnd_wire # (sel[29..29] # DenominatorIn[32..32])), (gnd_wire # (sel[28..28] # DenominatorIn[31..31])), (gnd_wire # (sel[27..27] # DenominatorIn[30..30])), (gnd_wire # (sel[26..26] # DenominatorIn[29..29])), (gnd_wire # (sel[25..25] # DenominatorIn[28..28])), gnd_wire, (gnd_wire # (sel[23..23] # DenominatorIn[25..25])), (gnd_wire # (sel[22..22] # DenominatorIn[24..24])), (gnd_wire # (sel[21..21] # DenominatorIn[23..23])), (gnd_wire # (sel[20..20] # DenominatorIn[22..22])), (gnd_wire # (sel[19..19] # DenominatorIn[21..21])), (gnd_wire # (sel[18..18] # DenominatorIn[20..20])), (gnd_wire # (sel[17..17] # DenominatorIn[19..19])), gnd_wire, (gnd_wire # (sel[15..15] # DenominatorIn[16..16])), (gnd_wire # (sel[14..14] # DenominatorIn[15..15])), (gnd_wire # (sel[13..13] # DenominatorIn[14..14])), (gnd_wire # (sel[12..12] # DenominatorIn[13..13])), (gnd_wire # (sel[11..11] # DenominatorIn[12..12])), (gnd_wire # (sel[10..10] # DenominatorIn[11..11])), (gnd_wire # (sel[9..9] # DenominatorIn[10..10])), gnd_wire, (gnd_wire # (sel[7..7] # DenominatorIn[7..7])), (gnd_wire # (sel[6..6] # DenominatorIn[6..6])), (gnd_wire # (sel[5..5] # DenominatorIn[5..5])), (gnd_wire # (sel[4..4] # DenominatorIn[4..4])), (gnd_wire # (sel[3..3] # DenominatorIn[3..3])), (gnd_wire # (sel[2..2] # DenominatorIn[2..2])), (gnd_wire # (sel[1..1] # DenominatorIn[1..1])));
128         selnose[] = ( ((gnd_wire # (! nose[71..71])) # sel[71..71]), ((gnd_wire # (! nose[70..70])) # sel[70..70]), ((gnd_wire # (! nose[69..69])) # sel[69..69]), ((gnd_wire # (! nose[68..68])) # sel[68..68]), ((gnd_wire # (! nose[67..67])) # sel[67..67]), ((gnd_wire # (! nose[66..66])) # sel[66..66]), ((gnd_wire # (! nose[65..65])) # sel[65..65]), ((gnd_wire # (! nose[64..64])) # sel[64..64]), ((gnd_wire # (! nose[63..63])) # sel[63..63]), ((gnd_wire # (! nose[62..62])) # sel[62..62]), ((gnd_wire # (! nose[61..61])) # sel[61..61]), ((gnd_wire # (! nose[60..60])) # sel[60..60]), ((gnd_wire # (! nose[59..59])) # sel[59..59]), ((gnd_wire # (! nose[58..58])) # sel[58..58]), ((gnd_wire # (! nose[57..57])) # sel[57..57]), ((gnd_wire # (! nose[56..56])) # sel[56..56]), ((gnd_wire # (! nose[55..55])) # sel[55..55]), ((gnd_wire # (! nose[54..54])) # sel[54..54]), ((gnd_wire # (! nose[53..53])) # sel[53..53]), ((gnd_wire # (! nose[52..52])) # sel[52..52]), ((gnd_wire # (! nose[51..51])) # sel[51..51]), ((gnd_wire # (! nose[50..50])) # sel[50..50]), ((gnd_wire # (! nose[49..49])) # sel[49..49]), ((gnd_wire # (! nose[48..48])) # sel[48..48]), ((gnd_wire # (! nose[47..47])) # sel[47..47]), ((gnd_wire # (! nose[46..46])) # sel[46..46]), ((gnd_wire # (! nose[45..45])) # sel[45..45]), ((gnd_wire # (! nose[44..44])) # sel[44..44]), ((gnd_wire # (! nose[43..43])) # sel[43..43]), ((gnd_wire # (! nose[42..42])) # sel[42..42]), ((gnd_wire # (! nose[41..41])) # sel[41..41]), ((gnd_wire # (! nose[40..40])) # sel[40..40]), ((gnd_wire # (! nose[39..39])) # sel[39..39]), ((gnd_wire # (! nose[38..38])) # sel[38..38]), ((gnd_wire # (! nose[37..37])) # sel[37..37]), ((gnd_wire # (! nose[36..36])) # sel[36..36]), ((gnd_wire # (! nose[35..35])) # sel[35..35]), ((gnd_wire # (! nose[34..34])) # sel[34..34]), ((gnd_wire # (! nose[33..33])) # sel[33..33]), ((gnd_wire # (! nose[32..32])) # sel[32..32]), ((gnd_wire # (! nose[31..31])) # sel[31..31]), ((gnd_wire # (! nose[30..30])) # sel[30..30]), ((gnd_wire # (! nose[29..29])) # sel[29..29]), ((gnd_wire # (! nose[28..28])) # sel[28..28]), ((gnd_wire # (! nose[27..27])) # sel[27..27]), ((gnd_wire # (! nose[26..26])) # sel[26..26]), ((gnd_wire # (! nose[25..25])) # sel[25..25]), ((gnd_wire # (! nose[24..24])) # sel[24..24]), ((gnd_wire # (! nose[23..23])) # sel[23..23]), ((gnd_wire # (! nose[22..22])) # sel[22..22]), ((gnd_wire # (! nose[21..21])) # sel[21..21]), ((gnd_wire # (! nose[20..20])) # sel[20..20]), ((gnd_wire # (! nose[19..19])) # sel[19..19]), ((gnd_wire # (! nose[18..18])) # sel[18..18]), ((gnd_wire # (! nose[17..17])) # sel[17..17]), ((gnd_wire # (! nose[16..16])) # sel[16..16]), ((gnd_wire # (! nose[15..15])) # sel[15..15]), ((gnd_wire # (! nose[14..14])) # sel[14..14]), ((gnd_wire # (! nose[13..13])) # sel[13..13]), ((gnd_wire # (! nose[12..12])) # sel[12..12]), ((gnd_wire # (! nose[11..11])) # sel[11..11]), ((gnd_wire # (! nose[10..10])) # sel[10..10]), ((gnd_wire # (! nose[9..9])) # sel[9..9]), ((gnd_wire # (! nose[8..8])) # sel[8..8]), ((gnd_wire # (! nose[7..7])) # sel[7..7]), ((gnd_wire # (! nose[6..6])) # sel[6..6]), ((gnd_wire # (! nose[5..5])) # sel[5..5]), ((gnd_wire # (! nose[4..4])) # sel[4..4]), ((gnd_wire # (! nose[3..3])) # sel[3..3]), ((gnd_wire # (! nose[2..2])) # sel[2..2]), ((gnd_wire # (! nose[1..1])) # sel[1..1]), ((gnd_wire # (! nose[0..0])) # sel[0..0]));
129         StageIn[] = (gnd_wire # StageIn_tmp[]);
130         StageIn_tmp[] = ( StageOut[63..0], B"00000000");
131         StageOut[] = ( ((( StageIn[62..56], NumeratorIn[56..56]) & selnose[63..63]) # (prestg[63..56] & (! selnose[63..63]))), ((( StageIn[54..48], NumeratorIn[49..49]) & selnose[54..54]) # (prestg[55..48] & (! selnose[54..54]))), ((( StageIn[46..40], NumeratorIn[42..42]) & selnose[45..45]) # (prestg[47..40] & (! selnose[45..45]))), ((( StageIn[38..32], NumeratorIn[35..35]) & selnose[36..36]) # (prestg[39..32] & (! selnose[36..36]))), ((( StageIn[30..24], NumeratorIn[28..28]) & selnose[27..27]) # (prestg[31..24] & (! selnose[27..27]))), ((( StageIn[22..16], NumeratorIn[21..21]) & selnose[18..18]) # (prestg[23..16] & (! selnose[18..18]))), ((( StageIn[14..8], NumeratorIn[14..14]) & selnose[9..9]) # (prestg[15..8] & (! selnose[9..9]))), ((( StageIn[6..0], NumeratorIn[7..7]) & selnose[0..0]) # (prestg[7..0] & (! selnose[0..0]))));
132 END;
133 --VALID FILE